content
stringlengths
1
1.04M
-------------------------------------------------------------------------------- -- Copyright (C) 1999-2008 Easics NV. -- This source file may be used and distributed without restriction -- provided that this copyright statement is not removed from the file -- and that any derivative work contains the original copyright notice -- and the associated disclaimer. -- -- THIS SOURCE FILE IS PROVIDED "AS IS" AND WITHOUT ANY EXPRESS -- OR IMPLIED WARRANTIES, INCLUDING, WITHOUT LIMITATION, THE IMPLIED -- WARRANTIES OF MERCHANTIBILITY AND FITNESS FOR A PARTICULAR PURPOSE. -- -- Purpose : synthesizable CRC function -- * polynomial: (0 2 12 16) -- * data width: 1 -- -- Info : [email protected] -- http://www.easics.com -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package PCK_CRC16_D1 is -- polynomial: (0 2 12 16) -- data width: 1 -- convention: the first serial bit is D[0] function nextCRC16 (Data: std_logic; crc: std_logic_vector(15 downto 0)) return std_logic_vector; end PCK_CRC16_D1; package body PCK_CRC16_D1 is -- polynomial: (0 2 12 16) -- data width: 1 -- convention: the first serial bit is D[0] function nextCRC16 (Data: std_logic; crc: std_logic_vector(15 downto 0)) return std_logic_vector is variable d: std_logic_vector(0 downto 0); variable c: std_logic_vector(15 downto 0); variable newcrc: std_logic_vector(15 downto 0); begin d(0) := Data; c := crc; newcrc(0) := d(0) xor c(15); newcrc(1) := c(0); newcrc(2) := d(0) xor c(1) xor c(15); newcrc(3) := c(2); newcrc(4) := c(3); newcrc(5) := c(4); newcrc(6) := c(5); newcrc(7) := c(6); newcrc(8) := c(7); newcrc(9) := c(8); newcrc(10) := c(9); newcrc(11) := c(10); newcrc(12) := d(0) xor c(11) xor c(15); newcrc(13) := c(12); newcrc(14) := c(13); newcrc(15) := c(14); return newcrc; end nextCRC16; end PCK_CRC16_D1;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity hls_saturation_enrcU_DSP48_2 is port ( a: in std_logic_vector(19 - 1 downto 0); b: in std_logic_vector(8 - 1 downto 0); p: out std_logic_vector(27 - 1 downto 0)); end entity; architecture behav of hls_saturation_enrcU_DSP48_2 is signal a_cvt: unsigned(19 - 1 downto 0); signal b_cvt: unsigned(8 - 1 downto 0); signal p_cvt: unsigned(27 - 1 downto 0); attribute keep : string; attribute keep of a_cvt : signal is "true"; attribute keep of b_cvt : signal is "true"; attribute keep of p_cvt : signal is "true"; begin a_cvt <= unsigned(a); b_cvt <= unsigned(b); p_cvt <= unsigned (resize(unsigned (unsigned (a_cvt) * unsigned (b_cvt)), 27)); p <= std_logic_vector(p_cvt); end architecture; Library IEEE; use IEEE.std_logic_1164.all; entity hls_saturation_enrcU is generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER); port ( din0 : IN STD_LOGIC_VECTOR(din0_WIDTH - 1 DOWNTO 0); din1 : IN STD_LOGIC_VECTOR(din1_WIDTH - 1 DOWNTO 0); dout : OUT STD_LOGIC_VECTOR(dout_WIDTH - 1 DOWNTO 0)); end entity; architecture arch of hls_saturation_enrcU is component hls_saturation_enrcU_DSP48_2 is port ( a : IN STD_LOGIC_VECTOR; b : IN STD_LOGIC_VECTOR; p : OUT STD_LOGIC_VECTOR); end component; begin hls_saturation_enrcU_DSP48_2_U : component hls_saturation_enrcU_DSP48_2 port map ( a => din0, b => din1, p => dout); end architecture;
-- ------------------------------------------------------------- -- -- Generated Architecture Declaration for rtl of ent_ab -- -- Generated -- by: wig -- on: Mon Apr 10 13:27:22 2006 -- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl -nodelta ../../bitsplice.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: ent_ab-rtl-a.vhd,v 1.1 2006/04/10 15:42:07 wig Exp $ -- $Date: 2006/04/10 15:42:07 $ -- $Log: ent_ab-rtl-a.vhd,v $ -- Revision 1.1 2006/04/10 15:42:07 wig -- Updated testcase (__TOP__) -- -- -- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.79 2006/03/17 09:18:31 wig Exp -- -- Generator: mix_0.pl Revision: 1.44 , [email protected] -- (C) 2003,2005 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/arch -- -- -- Start of Generated Architecture rtl of ent_ab -- architecture rtl of ent_ab is -- Generated Constant Declarations -- -- Components -- -- Generated Components -- -- Nets -- -- -- Generated Signal List -- -- -- End of Generated Signal List -- begin -- -- Generated Concurrent Statements -- -- Generated Signal Assignments -- -- Generated Instances -- -- Generated Instances and Port Mappings end rtl; -- --!End of Architecture/s -- --------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity srom01 is port ( clk_i : std_logic; addr_i : std_logic_vector(3 downto 0); data_o : out std_logic_vector(7 downto 0)); end srom01; architecture behav of srom01 is begin process (clk_i, addr_i) type mem_type is array (0 to 15) of std_logic_vector (7 downto 0); constant mem : mem_type := ( x"f0", x"e1", x"d2", x"c3", x"b4", x"a5", x"96", x"87", x"78", x"69", x"5a", x"4b", x"3c", x"2d", x"1e", x"0f"); variable addr : natural range mem_type'range; begin if rising_edge(clk_i) then addr := to_integer (unsigned (addr_i)); data_o <= mem (addr); end if; end process; end behav;
library work; use work.all; package ShiftReg is type integer_list_t is array (natural range <>) of integer; -- notice this line procedure main(new_sample: integer); end package; package body ShiftReg is procedure main(new_sample: integer) is variable dummy: integer_list_t(0 to 3); -- notice this line begin dummy := new_sample & dummy(0 to dummy'high-1); --no error end procedure; end package body;
library work; use work.all; package ShiftReg is type integer_list_t is array (natural range <>) of integer; -- notice this line procedure main(new_sample: integer); end package; package body ShiftReg is procedure main(new_sample: integer) is variable dummy: integer_list_t(0 to 3); -- notice this line begin dummy := new_sample & dummy(0 to dummy'high-1); --no error end procedure; end package body;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity scaling_values_lut_tb is end entity; architecture scaling_values_lut_tb_arq of scaling_values_lut_tb is signal steps : integer := 0; signal scaling_value : std_logic_vector(31 downto 0) := (others => '0'); component scaling_values_lut is generic(TOTAL_BITS: integer := 32); port( steps: in integer := 0; scaling_value: out std_logic_vector(TOTAL_BITS - 1 downto 0) := (others => '0') ); end component; for scaling_values_lut_0 : scaling_values_lut use entity work.scaling_values_lut; begin scaling_values_lut_0 : scaling_values_lut generic map(TOTAL_BITS => 32) port map( steps => steps, scaling_value => scaling_value ); process type pattern_type is record i : integer; sv : std_logic_vector(31 downto 0); end record; -- The patterns to apply. type pattern_array is array (natural range <>) of pattern_type; constant patterns : pattern_array := ( (1,"00000000000000001011010100000100"), (6,"00000000000000001001101101111011"), (20,"00000000000000001001101101110100") ); begin for i in patterns'range loop -- Set the inputs. steps <= patterns(i).i; wait for 1 ns; assert patterns(i).sv = scaling_value report "BAD SCALING VALUE, GOT: " & integer'image(to_integer(unsigned(scaling_value))); -- Check the outputs. end loop; assert false report "end of test" severity note; wait; end process; end;
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2015.2 (lin64) Build 1266856 Fri Jun 26 16:35:25 MDT 2015 -- Date : Tue Oct 18 17:47:49 2016 -- Host : chinook.andrew.cmu.edu running 64-bit Red Hat Enterprise Linux Server release 7.2 (Maipo) -- Command : write_vhdl -force -mode funcsim -- /afs/ece.cmu.edu/usr/jacobwei/Public/FPGA/FPGA.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_funcsim.vhdl -- Design : blk_mem_gen_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_mux__parameterized0\ is port ( \^doutb\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); DOBDO : in STD_LOGIC_VECTOR ( 1 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 6 downto 0 ); clkb : in STD_LOGIC; DOUTB : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_2\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_3\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_4\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_5\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_6\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_7\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_8\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_9\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_10\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_11\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_12\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_13\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_mux__parameterized0\ : entity is "blk_mem_gen_mux"; end \blk_mem_gen_0_blk_mem_gen_mux__parameterized0\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_mux__parameterized0\ is signal \doutb[0]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[0]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[0]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[0]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[1]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[1]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[1]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[1]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[2]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[2]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[2]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[2]_INST_0_i_4_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_1_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_2_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_3_n_0\ : STD_LOGIC; signal \doutb[3]_INST_0_i_4_n_0\ : STD_LOGIC; signal sel_pipe : STD_LOGIC_VECTOR ( 6 downto 0 ); signal sel_pipe_d1 : STD_LOGIC_VECTOR ( 6 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \doutb[0]_INST_0_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \doutb[1]_INST_0_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \doutb[2]_INST_0_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \doutb[3]_INST_0_i_1\ : label is "soft_lutpair1"; begin \doutb[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"0F004F4F0F004040" ) port map ( I0 => sel_pipe_d1(4), I1 => \doutb[0]_INST_0_i_1_n_0\, I2 => sel_pipe_d1(6), I3 => \doutb[0]_INST_0_i_2_n_0\, I4 => sel_pipe_d1(5), I5 => \doutb[0]_INST_0_i_3_n_0\, O => \^doutb\(0) ); \doutb[0]_INST_0_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \doutb[0]_INST_0_i_4_n_0\, I1 => sel_pipe_d1(3), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(0), O => \doutb[0]_INST_0_i_1_n_0\ ); \doutb[0]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_0\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_1\(0), O => \doutb[0]_INST_0_i_2_n_0\, S => sel_pipe_d1(4) ); \doutb[0]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => DOUTB(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\(0), O => \doutb[0]_INST_0_i_3_n_0\, S => sel_pipe_d1(4) ); \doutb[0]_INST_0_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"00003A0A" ) port map ( I0 => DOBDO(0), I1 => sel_pipe_d1(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(0), I4 => sel_pipe_d1(2), O => \doutb[0]_INST_0_i_4_n_0\ ); \doutb[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"0F004F4F0F004040" ) port map ( I0 => sel_pipe_d1(4), I1 => \doutb[1]_INST_0_i_1_n_0\, I2 => sel_pipe_d1(6), I3 => \doutb[1]_INST_0_i_2_n_0\, I4 => sel_pipe_d1(5), I5 => \doutb[1]_INST_0_i_3_n_0\, O => \^doutb\(1) ); \doutb[1]_INST_0_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \doutb[1]_INST_0_i_4_n_0\, I1 => sel_pipe_d1(3), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(0), O => \doutb[1]_INST_0_i_1_n_0\ ); \doutb[1]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_4\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_5\(0), O => \doutb[1]_INST_0_i_2_n_0\, S => sel_pipe_d1(4) ); \doutb[1]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_2\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_3\(0), O => \doutb[1]_INST_0_i_3_n_0\, S => sel_pipe_d1(4) ); \doutb[1]_INST_0_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"00003A0A" ) port map ( I0 => DOBDO(1), I1 => sel_pipe_d1(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(1), I4 => sel_pipe_d1(2), O => \doutb[1]_INST_0_i_4_n_0\ ); \doutb[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"0F004F4F0F004040" ) port map ( I0 => sel_pipe_d1(4), I1 => \doutb[2]_INST_0_i_1_n_0\, I2 => sel_pipe_d1(6), I3 => \doutb[2]_INST_0_i_2_n_0\, I4 => sel_pipe_d1(5), I5 => \doutb[2]_INST_0_i_3_n_0\, O => \^doutb\(2) ); \doutb[2]_INST_0_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \doutb[2]_INST_0_i_4_n_0\, I1 => sel_pipe_d1(3), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(0), O => \doutb[2]_INST_0_i_1_n_0\ ); \doutb[2]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_8\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_9\(0), O => \doutb[2]_INST_0_i_2_n_0\, S => sel_pipe_d1(4) ); \doutb[2]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_6\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_7\(0), O => \doutb[2]_INST_0_i_3_n_0\, S => sel_pipe_d1(4) ); \doutb[2]_INST_0_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"00003A0A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\(0), I1 => sel_pipe_d1(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(2), I4 => sel_pipe_d1(2), O => \doutb[2]_INST_0_i_4_n_0\ ); \doutb[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"0F004F4F0F004040" ) port map ( I0 => sel_pipe_d1(4), I1 => \doutb[3]_INST_0_i_1_n_0\, I2 => sel_pipe_d1(6), I3 => \doutb[3]_INST_0_i_2_n_0\, I4 => sel_pipe_d1(5), I5 => \doutb[3]_INST_0_i_3_n_0\, O => \^doutb\(3) ); \doutb[3]_INST_0_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \doutb[3]_INST_0_i_4_n_0\, I1 => sel_pipe_d1(3), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(0), O => \doutb[3]_INST_0_i_1_n_0\ ); \doutb[3]_INST_0_i_2\: unisim.vcomponents.MUXF7 port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_12\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_13\(0), O => \doutb[3]_INST_0_i_2_n_0\, S => sel_pipe_d1(4) ); \doutb[3]_INST_0_i_3\: unisim.vcomponents.MUXF7 port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_10\(0), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_11\(0), O => \doutb[3]_INST_0_i_3_n_0\, S => sel_pipe_d1(4) ); \doutb[3]_INST_0_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"00003A0A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\(1), I1 => sel_pipe_d1(0), I2 => sel_pipe_d1(1), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(3), I4 => sel_pipe_d1(2), O => \doutb[3]_INST_0_i_4_n_0\ ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(0), Q => sel_pipe_d1(0), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(1), Q => sel_pipe_d1(1), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(2), Q => sel_pipe_d1(2), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(3), Q => sel_pipe_d1(3), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(4), Q => sel_pipe_d1(4), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(5), Q => sel_pipe_d1(5), R => '0' ); \no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => sel_pipe(6), Q => sel_pipe_d1(6), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(0), Q => sel_pipe(0), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(1), Q => sel_pipe(1), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(2), Q => sel_pipe(2), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(3), Q => sel_pipe(3), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(4), Q => sel_pipe(4), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(5), Q => sel_pipe(5), R => '0' ); \no_softecc_sel_reg.ce_pri.sel_pipe_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clkb, CE => '1', D => addrb(6), Q => sel_pipe(6), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0_blk_mem_gen_prim_wrapper is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0_blk_mem_gen_prim_wrapper : entity is "blk_mem_gen_prim_wrapper"; end blk_mem_gen_0_blk_mem_gen_prim_wrapper; architecture STRUCTURE of blk_mem_gen_0_blk_mem_gen_prim_wrapper is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized0\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized0\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized0\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized0\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized1\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized1\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized1\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized1\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized10\ is port ( \doutb[1]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_]\ : in STD_LOGIC; clka : in STD_LOGIC; \addrb[17]\ : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 14 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 14 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized10\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized10\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized10\ is signal \addra_13__s_net_1\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \addra_13__s_net_1\ <= \addra_13__s_port_]\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 0) => addra(14 downto 0), ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 0) => addrb(14 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => \doutb[1]\(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \addra_13__s_net_1\, ENBWREN => \addrb[17]\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized11\ is port ( ENA : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ : out STD_LOGIC; ENB : out STD_LOGIC; DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); \addrb[17]\ : in STD_LOGIC; clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized11\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized11\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized11\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_21_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_22_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_23_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_24_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_25_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_26_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_27_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_28_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_29_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8_n_0\ : STD_LOGIC; signal \^device_7series.no_bmm_info.sdp.simple_prim18.ram\ : STD_LOGIC; signal \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\ : STD_LOGIC; signal \^ena\ : STD_LOGIC; signal \^enb\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_22\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_24\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_26\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_27\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_28\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_29\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_3\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_4\ : label is "soft_lutpair3"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ <= \^device_7series.no_bmm_info.sdp.simple_prim18.ram\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ <= \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\; ENA <= \^ena\; ENB <= \^enb\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena\, ENBWREN => \^enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena\, ENBWREN => \^enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4_n_0\, I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5_n_0\, I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6_n_0\, I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8_n_0\, O => \^ena\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000001000000" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, I1 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I2 => addra(14), I3 => addra(13), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000400000" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I1 => addra(12), I2 => wea(0), I3 => addra(14), I4 => addra(13), I5 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000100" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I1 => addra(13), I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, I3 => wea(0), I4 => addra(18), I5 => addra(14), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000040" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I1 => addra(12), I2 => wea(0), I3 => addra(13), I4 => addra(14), I5 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14\: unisim.vcomponents.LUT6 generic map( INIT => X"0000040000000000" ) port map ( I0 => addra(13), I1 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, I3 => wea(0), I4 => addra(18), I5 => addra(14), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"0400000000000000" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, I1 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I2 => addra(13), I3 => addra(12), I4 => addra(14), I5 => wea(0), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16\: unisim.vcomponents.LUT5 generic map( INIT => X"00000004" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_26_n_0\, I1 => addra(15), I2 => addra(17), I3 => addra(16), I4 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"0000080000000000" ) port map ( I0 => addra(13), I1 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, I3 => wea(0), I4 => addra(18), I5 => addra(14), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18\: unisim.vcomponents.LUT5 generic map( INIT => X"00000004" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_27_n_0\, I1 => addra(15), I2 => addra(17), I3 => addra(16), I4 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000004000000" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, I1 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I2 => addra(14), I3 => addra(13), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => addrb(16), I1 => \addrb[17]\, O => \^enb\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20\: unisim.vcomponents.LUT6 generic map( INIT => X"0000040000000000" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I1 => addra(13), I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, I3 => wea(0), I4 => addra(18), I5 => addra(14), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_21\: unisim.vcomponents.LUT6 generic map( INIT => X"1000000000000000" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, I1 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I2 => addra(13), I3 => addra(12), I4 => addra(14), I5 => wea(0), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_21_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_22\: unisim.vcomponents.LUT5 generic map( INIT => X"00000004" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_28_n_0\, I1 => addra(15), I2 => addra(17), I3 => addra(16), I4 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_22_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_23\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000400" ) port map ( I0 => addra(13), I1 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, I3 => wea(0), I4 => addra(18), I5 => addra(14), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_23_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_24\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_29_n_0\, I1 => addra(15), I2 => addra(17), I3 => addra(16), I4 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_24_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_25\: unisim.vcomponents.LUT6 generic map( INIT => X"0000010000000000" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I1 => addra(13), I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, I3 => wea(0), I4 => addra(18), I5 => addra(14), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_25_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_26\: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => wea(0), I1 => addra(14), I2 => addra(12), I3 => addra(13), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_26_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_27\: unisim.vcomponents.LUT4 generic map( INIT => X"FF7F" ) port map ( I0 => wea(0), I1 => addra(12), I2 => addra(13), I3 => addra(14), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_27_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_28\: unisim.vcomponents.LUT4 generic map( INIT => X"FFF7" ) port map ( I0 => wea(0), I1 => addra(12), I2 => addra(13), I3 => addra(14), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_28_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_29\: unisim.vcomponents.LUT4 generic map( INIT => X"FF7F" ) port map ( I0 => wea(0), I1 => addra(14), I2 => addra(12), I3 => addra(13), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_29_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"2AFF2A2A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__2_n_0\, I1 => addra(13), I2 => addra(12), I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"8AFF8A8A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12_n_0\, I1 => addra(13), I2 => addra(12), I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"8AFF8A8A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14_n_0\, I1 => addra(13), I2 => addra(12), I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"FAFFFFFFFAFEFEFE" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17_n_0\, I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18_n_0\, I3 => addra(12), I4 => addra(13), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"2AFF2A2A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20_n_0\, I1 => addra(13), I2 => addra(12), I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_21_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFAFFFEFEFAFE" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_22_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_23_n_0\, I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_24_n_0\, I3 => addra(12), I4 => addra(13), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_25_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => addra(16), I1 => addra(15), I2 => addra(17), O => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => addra(16), I1 => addra(17), O => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized12\ is port ( ENA : out STD_LOGIC; ENB : out STD_LOGIC; DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_\ : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized12\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized12\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized12\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_21__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_22__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_23__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_24__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_25__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_26__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\ : STD_LOGIC; signal \^ena\ : STD_LOGIC; signal \^enb\ : STD_LOGIC; signal \addra_13__s_net_1\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_23__0\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_24__0\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_25__0\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_26__0\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1\ : label is "soft_lutpair8"; begin ENA <= \^ena\; ENB <= \^enb\; \addra_13__s_net_1\ <= \addra_13__s_port_\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena\, ENBWREN => \^enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena\, ENBWREN => \^enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => addra(17), I1 => addra(16), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000004000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\, I2 => addra(13), I3 => addra(14), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0400000000000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\, I2 => addra(13), I3 => addra(12), I4 => addra(14), I5 => wea(0), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__2\: unisim.vcomponents.LUT6 generic map( INIT => X"4000000000000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\, I2 => addra(13), I3 => addra(12), I4 => addra(14), I5 => wea(0), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000070000000" ) port map ( I0 => addra(12), I1 => addra(13), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_23__0_n_0\, I3 => addra(15), I4 => addra(16), I5 => addra(17), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0400000000000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\, I2 => addra(14), I3 => addra(13), I4 => addra(12), I5 => wea(0), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000070000000" ) port map ( I0 => addra(12), I1 => addra(13), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_24__0_n_0\, I3 => addra(15), I4 => addra(16), I5 => addra(17), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000010000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\, I2 => addra(13), I3 => addra(14), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__2\: unisim.vcomponents.LUT6 generic map( INIT => X"1000000000000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\, I2 => addra(13), I3 => addra(12), I4 => addra(14), I5 => wea(0), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0004000000000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\, I2 => addra(14), I3 => addra(13), I4 => addra(12), I5 => wea(0), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__2_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__0_n_0\, I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__2_n_0\, I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__1_n_0\, I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__2_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__2_n_0\, O => \^ena\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000D0000000" ) port map ( I0 => addra(12), I1 => addra(13), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_25__0_n_0\, I3 => addra(15), I4 => addra(16), I5 => addra(17), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_21__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0100000000000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\, I2 => addra(13), I3 => addra(12), I4 => addra(14), I5 => wea(0), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_21__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_22__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000D00000" ) port map ( I0 => addra(12), I1 => addra(13), I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_26__0_n_0\, I3 => addra(15), I4 => addra(16), I5 => addra(17), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_22__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_23__0\: unisim.vcomponents.LUT4 generic map( INIT => X"4000" ) port map ( I0 => addra(18), I1 => wea(0), I2 => addra(14), I3 => addra(13), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_23__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_24__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0040" ) port map ( I0 => addra(18), I1 => wea(0), I2 => addra(13), I3 => addra(14), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_24__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_25__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => addra(18), I1 => wea(0), I2 => addra(14), I3 => addra(13), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_25__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_26__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0040" ) port map ( I0 => addra(18), I1 => wea(0), I2 => addra(14), I3 => addra(13), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_26__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => addrb(17), I1 => addrb(16), I2 => addrb(18), O => \^enb\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => addra(18), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\, I2 => wea(0), I3 => \addra_13__s_net_1\, I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000010000" ) port map ( I0 => addra(13), I1 => addra(14), I2 => addra(18), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\, I4 => wea(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__2\: unisim.vcomponents.LUT5 generic map( INIT => X"8AFF8A8A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__2_n_0\, I1 => addra(13), I2 => addra(12), I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__2_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFCCFE" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__2_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__2_n_0\, I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__0_n_0\, I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__2\: unisim.vcomponents.LUT5 generic map( INIT => X"2AFF2A2A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__2_n_0\, I1 => addra(13), I2 => addra(12), I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__2_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFCCFE" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__2_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__0_n_0\, I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_21__0_n_0\, I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_22__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1\: unisim.vcomponents.LUT3 generic map( INIT => X"F8" ) port map ( I0 => addra(16), I1 => addra(15), I2 => addra(17), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__1_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized13\ is port ( ENA : out STD_LOGIC; ENB : out STD_LOGIC; DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_\ : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized13\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized13\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized13\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\ : STD_LOGIC; signal \^ena\ : STD_LOGIC; signal \^enb\ : STD_LOGIC; signal \addra_13__s_net_1\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__0\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9\ : label is "soft_lutpair10"; begin ENA <= \^ena\; ENB <= \^enb\; \addra_13__s_net_1\ <= \addra_13__s_port_\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena\, ENBWREN => \^enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena\, ENBWREN => \^enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => addra(16), I1 => addra(17), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000004000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I2 => addra(13), I3 => addra(14), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000008000000" ) port map ( I0 => addra(12), I1 => wea(0), I2 => addra(13), I3 => addra(14), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000080000000" ) port map ( I0 => addra(12), I1 => wea(0), I2 => addra(13), I3 => addra(14), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000040000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I2 => addra(13), I3 => addra(14), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000400" ) port map ( I0 => addra(18), I1 => wea(0), I2 => \addra_13__s_net_1\, I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000010000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I2 => addra(13), I3 => addra(14), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000040000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I1 => addra(12), I2 => wea(0), I3 => addra(13), I4 => addra(14), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000080000" ) port map ( I0 => addra(12), I1 => wea(0), I2 => addra(13), I3 => addra(14), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000040000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I2 => addra(13), I3 => addra(14), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__0_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__1_n_0\, I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__1_n_0\, I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__0_n_0\, I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__0_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__1_n_0\, O => \^ena\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000040000" ) port map ( I0 => addra(13), I1 => addra(14), I2 => addra(18), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I4 => wea(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_2__1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => addrb(16), I1 => addrb(17), I2 => addrb(18), O => \^enb\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__0\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => addra(18), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I2 => wea(0), I3 => \addra_13__s_net_1\, I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000010000" ) port map ( I0 => addra(13), I1 => addra(14), I2 => addra(18), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\, I4 => wea(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__1\: unisim.vcomponents.LUT5 generic map( INIT => X"8AFF8A8A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__0_n_0\, I1 => addra(13), I2 => addra(12), I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF2FFF2222" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__0_n_0\, I1 => addra(18), I2 => addra(12), I3 => addra(13), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__0_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__0\: unisim.vcomponents.LUT5 generic map( INIT => X"2AFF2A2A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__0_n_0\, I1 => addra(13), I2 => addra(12), I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFF2F2222" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__1_n_0\, I1 => addra(18), I2 => addra(12), I3 => addra(13), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__1_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9\: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => addra(16), I1 => addra(15), I2 => addra(17), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized14\ is port ( ENA : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : out STD_LOGIC; ENB : out STD_LOGIC; DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized14\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized14\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized14\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__2_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__1_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__0_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\ : STD_LOGIC; signal \^device_7series.no_bmm_info.sdp.simple_prim18.ram\ : STD_LOGIC; signal \^ena\ : STD_LOGIC; signal \^enb\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__2\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0\ : label is "soft_lutpair12"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ <= \^device_7series.no_bmm_info.sdp.simple_prim18.ram\; ENA <= \^ena\; ENB <= \^enb\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena\, ENBWREN => \^enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^ena\, ENBWREN => \^enb\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => addra(16), I1 => addra(17), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000004000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I2 => addra(13), I3 => addra(14), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000008000000" ) port map ( I0 => addra(12), I1 => wea(0), I2 => addra(13), I3 => addra(14), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000080000000" ) port map ( I0 => addra(12), I1 => wea(0), I2 => addra(13), I3 => addra(14), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000040000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I2 => addra(13), I3 => addra(14), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__2\: unisim.vcomponents.LUT5 generic map( INIT => X"00000400" ) port map ( I0 => addra(18), I1 => wea(0), I2 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000010000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I2 => addra(13), I3 => addra(14), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000040000000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I1 => addra(12), I2 => wea(0), I3 => addra(13), I4 => addra(14), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000080000" ) port map ( I0 => addra(12), I1 => wea(0), I2 => addra(13), I3 => addra(14), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000040000" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I2 => addra(13), I3 => addra(14), I4 => wea(0), I5 => addra(18), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__1_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__2_n_0\, I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__0_n_0\, I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__2_n_0\, I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__1_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__0_n_0\, O => \^ena\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000040000" ) port map ( I0 => addra(13), I1 => addra(14), I2 => addra(18), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I4 => wea(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_2__2\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => addrb(16), I1 => addrb(17), I2 => addrb(18), O => \^enb\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000010" ) port map ( I0 => addra(18), I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I2 => wea(0), I3 => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\, I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_3__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000010000" ) port map ( I0 => addra(13), I1 => addra(14), I2 => addra(18), I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\, I4 => wea(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_10__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_4__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8AFF8A8A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_11__1_n_0\, I1 => addra(13), I2 => addra(12), I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_12__0_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_5__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF2FFF2222" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_13__1_n_0\, I1 => addra(18), I2 => addra(12), I3 => addra(13), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_14__1_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_15__2_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_6__2_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__1\: unisim.vcomponents.LUT5 generic map( INIT => X"2AFF2A2A" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_16__2_n_0\, I1 => addra(13), I2 => addra(12), I3 => addra(18), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_17__1_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_7__1_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFF2F2222" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_18__0_n_0\, I1 => addra(18), I2 => addra(12), I3 => addra(13), I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_19__0_n_0\, I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_20__2_n_0\, O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_8__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0\: unisim.vcomponents.LUT3 generic map( INIT => X"8F" ) port map ( I0 => addra(16), I1 => addra(15), I2 => addra(17), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__0_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => addra(14), I1 => addra(13), O => \^device_7series.no_bmm_info.sdp.simple_prim18.ram\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized15\ is port ( \doutb[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\ : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\ : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\ : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\ : out STD_LOGIC; clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ); \addra[17]\ : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_\ : in STD_LOGIC; \addra[17]_0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized15\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized15\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized15\ is signal \^device_7series.no_bmm_info.sdp.simple_prim36.ram_0\ : STD_LOGIC; signal \^device_7series.no_bmm_info.sdp.simple_prim36.ram_1\ : STD_LOGIC; signal \^device_7series.no_bmm_info.sdp.simple_prim36.ram_2\ : STD_LOGIC; signal \^device_7series.no_bmm_info.sdp.simple_prim36.ram_3\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_3_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_4_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_5_n_0\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_6_n_0\ : STD_LOGIC; signal \addra_13__s_net_1\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__2\ : label is "soft_lutpair13"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; attribute SOFT_HLUTNM of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_7\ : label is "soft_lutpair13"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\ <= \^device_7series.no_bmm_info.sdp.simple_prim36.ram_0\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\ <= \^device_7series.no_bmm_info.sdp.simple_prim36.ram_1\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\ <= \^device_7series.no_bmm_info.sdp.simple_prim36.ram_2\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\ <= \^device_7series.no_bmm_info.sdp.simple_prim36.ram_3\; \addra_13__s_net_1\ <= \addra_13__s_port_\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_i_9__2\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => addrb(16), I1 => addrb(17), O => \^device_7series.no_bmm_info.sdp.simple_prim36.ram_2\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 0) => addra(14 downto 0), ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 0) => addrb(14 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => \doutb[2]\(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \^device_7series.no_bmm_info.sdp.simple_prim36.ram_0\, ENBWREN => \^device_7series.no_bmm_info.sdp.simple_prim36.ram_1\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_3_n_0\, I1 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_4_n_0\, I2 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_5_n_0\, I3 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_6_n_0\, O => \^device_7series.no_bmm_info.sdp.simple_prim36.ram_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => addrb(18), I1 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram_2\, I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram_3\, O => \^device_7series.no_bmm_info.sdp.simple_prim36.ram_1\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000010000000000" ) port map ( I0 => addra(13), I1 => addra(14), I2 => \addra[17]\, I3 => wea(0), I4 => \addra[17]_0\, I5 => addra(15), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_3_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000080000000000" ) port map ( I0 => addra(13), I1 => addra(14), I2 => \addra[17]\, I3 => wea(0), I4 => \addra[17]_0\, I5 => addra(15), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_4_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"00040000" ) port map ( I0 => \addra[17]\, I1 => wea(0), I2 => \addra_13__s_net_1\, I3 => \addra[17]_0\, I4 => addra(15), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_5_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"0000040000000000" ) port map ( I0 => addra(13), I1 => addra(14), I2 => \addra[17]\, I3 => wea(0), I4 => \addra[17]_0\, I5 => addra(15), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_6_n_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_7\: unisim.vcomponents.LUT3 generic map( INIT => X"F4" ) port map ( I0 => addrb(16), I1 => addrb(15), I2 => addrb(17), O => \^device_7series.no_bmm_info.sdp.simple_prim36.ram_3\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized16\ is port ( \doutb[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; \addra[17]\ : in STD_LOGIC; \addrb[17]\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized16\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized16\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized16\ is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 1, DOB_REG => 1, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 2, READ_WIDTH_B => 2, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 2, WRITE_WIDTH_B => 2 ) port map ( ADDRARDADDR(13 downto 1) => addra(12 downto 0), ADDRARDADDR(0) => '0', ADDRBWRADDR(13 downto 1) => addrb(12 downto 0), ADDRBWRADDR(0) => '0', CLKARDCLK => clka, CLKBWRCLK => clkb, DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1 downto 0) => dina(1 downto 0), DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(15 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 0), DOBDO(15 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\(15 downto 2), DOBDO(1 downto 0) => \doutb[3]\(1 downto 0), DOPADOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1 downto 0), DOPBDOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\(1 downto 0), ENARDEN => \addra[17]\, ENBWREN => \addrb[17]\, REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1) => '1', WEA(0) => '1', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized17\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized17\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized17\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized17\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized18\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized18\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized18\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized18\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized19\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized19\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized19\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized19\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized2\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); \wea[0]\ : in STD_LOGIC; clka : in STD_LOGIC; \addrb[18]\ : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized2\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized2\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized2\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \wea[0]\, ENBWREN => \addrb[18]\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \wea[0]\, ENBWREN => \addrb[18]\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized20\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized20\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized20\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized20\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized21\ is port ( \doutb[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_]\ : in STD_LOGIC; clka : in STD_LOGIC; \addrb[17]\ : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 14 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 14 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized21\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized21\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized21\ is signal \addra_13__s_net_1\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \addra_13__s_net_1\ <= \addra_13__s_port_]\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 0) => addra(14 downto 0), ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 0) => addrb(14 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => \doutb[3]\(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \addra_13__s_net_1\, ENBWREN => \addrb[17]\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized3\ is port ( \doutb[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_]\ : in STD_LOGIC; clka : in STD_LOGIC; \addrb[17]\ : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 14 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 14 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized3\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized3\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized3\ is signal \addra_13__s_net_1\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \addra_13__s_net_1\ <= \addra_13__s_port_]\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 0) => addra(14 downto 0), ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 0) => addrb(14 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => \doutb[0]\(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => \addra_13__s_net_1\, ENBWREN => \addrb[17]\, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized4\ is port ( DOBDO : out STD_LOGIC_VECTOR ( 1 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_1\ : out STD_LOGIC; clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); \addra[17]\ : in STD_LOGIC; \addra[17]_0\ : in STD_LOGIC; \addrb[17]\ : in STD_LOGIC; \addrb[17]_0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized4\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized4\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized4\ is signal \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\ : STD_LOGIC; signal \^device_7series.no_bmm_info.sdp.simple_prim18.ram_1\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ <= \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_1\ <= \^device_7series.no_bmm_info.sdp.simple_prim18.ram_1\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 1, DOB_REG => 1, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 2, READ_WIDTH_B => 2, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 2, WRITE_WIDTH_B => 2 ) port map ( ADDRARDADDR(13 downto 1) => addra(12 downto 0), ADDRARDADDR(0) => '0', ADDRBWRADDR(13 downto 1) => addrb(12 downto 0), ADDRBWRADDR(0) => '0', CLKARDCLK => clka, CLKBWRCLK => clkb, DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1 downto 0) => dina(1 downto 0), DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(15 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 0), DOBDO(15 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\(15 downto 2), DOBDO(1 downto 0) => DOBDO(1 downto 0), DOPADOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1 downto 0), DOPBDOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\(1 downto 0), ENARDEN => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\, ENBWREN => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_1\, REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1) => '1', WEA(0) => '1', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000100000000000" ) port map ( I0 => addra(13), I1 => addra(14), I2 => wea(0), I3 => \addra[17]\, I4 => \addra[17]_0\, I5 => addra(15), O => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_0\ ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"00100000" ) port map ( I0 => addrb(14), I1 => addrb(13), I2 => \addrb[17]\, I3 => \addrb[17]_0\, I4 => addrb(15), O => \^device_7series.no_bmm_info.sdp.simple_prim18.ram_1\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized5\ is port ( \doutb[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); \addra[17]\ : in STD_LOGIC; \addra[17]_0\ : in STD_LOGIC; \addra_13__s_port_\ : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); \addrb[17]\ : in STD_LOGIC; \addrb[17]_0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized5\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized5\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized5\ is signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_4__0_n_0\ : STD_LOGIC; signal \addra_13__s_net_1\ : STD_LOGIC; signal ram_ena : STD_LOGIC; signal ram_enb : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 4 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE"; begin \addra_13__s_net_1\ <= \addra_13__s_port_\; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 1, DOB_REG => 1, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 4, READ_WIDTH_B => 4, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 4, WRITE_WIDTH_B => 4 ) port map ( ADDRARDADDR(13 downto 2) => addra(11 downto 0), ADDRARDADDR(1) => '0', ADDRARDADDR(0) => '0', ADDRBWRADDR(13 downto 2) => addrb(11 downto 0), ADDRBWRADDR(1) => '0', ADDRBWRADDR(0) => '0', CLKARDCLK => clka, CLKBWRCLK => clkb, DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3 downto 0) => dina(3 downto 0), DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(15 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 0), DOBDO(15 downto 4) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\(15 downto 4), DOBDO(3 downto 0) => \doutb[3]\(3 downto 0), DOPADOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1 downto 0), DOPBDOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\(1 downto 0), ENARDEN => ram_ena, ENBWREN => ram_enb, REGCEAREGCE => '0', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1) => '1', WEA(0) => '1', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000200000" ) port map ( I0 => addra(15), I1 => \addra[17]\, I2 => \addra[17]_0\, I3 => \addra_13__s_net_1\, I4 => wea(0), I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_4__0_n_0\, O => ram_ena ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000002000" ) port map ( I0 => addrb(15), I1 => \addrb[17]\, I2 => \addrb[17]_0\, I3 => addrb(13), I4 => addrb(12), I5 => addrb(14), O => ram_enb ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"F8" ) port map ( I0 => addra(13), I1 => addra(12), I2 => addra(14), O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_4__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized6\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized6\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized6\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized6\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized7\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized7\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized7\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized7\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized8\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized8\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized8\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized8\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized9\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized9\ : entity is "blk_mem_gen_prim_wrapper"; end \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized9\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized9\ is signal CASCADEINA : STD_LOGIC; signal CASCADEINB : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 1 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\ : label is "PRIMITIVE"; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "LOWER", RAM_EXTENSION_B => "LOWER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => CASCADEINA, CASCADEOUTB => CASCADEINB, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOBDO_UNCONNECTED\(31 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_B_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 1, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "UPPER", RAM_EXTENSION_B => "UPPER", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 1, READ_WIDTH_B => 1, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "NO_CHANGE", WRITE_WIDTH_A => 1, WRITE_WIDTH_B => 1 ) port map ( ADDRARDADDR(15 downto 0) => addra(15 downto 0), ADDRBWRADDR(15 downto 0) => addrb(15 downto 0), CASCADEINA => CASCADEINA, CASCADEINB => CASCADEINB, CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clkb, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DBITERR_UNCONNECTED\, DIADI(31) => '0', DIADI(30) => '0', DIADI(29) => '0', DIADI(28) => '0', DIADI(27) => '0', DIADI(26) => '0', DIADI(25) => '0', DIADI(24) => '0', DIADI(23) => '0', DIADI(22) => '0', DIADI(21) => '0', DIADI(20) => '0', DIADI(19) => '0', DIADI(18) => '0', DIADI(17) => '0', DIADI(16) => '0', DIADI(15) => '0', DIADI(14) => '0', DIADI(13) => '0', DIADI(12) => '0', DIADI(11) => '0', DIADI(10) => '0', DIADI(9) => '0', DIADI(8) => '0', DIADI(7) => '0', DIADI(6) => '0', DIADI(5) => '0', DIADI(4) => '0', DIADI(3) => '0', DIADI(2) => '0', DIADI(1) => '0', DIADI(0) => dina(0), DIBDI(31) => '0', DIBDI(30) => '0', DIBDI(29) => '0', DIBDI(28) => '0', DIBDI(27) => '0', DIBDI(26) => '0', DIBDI(25) => '0', DIBDI(24) => '0', DIBDI(23) => '0', DIBDI(22) => '0', DIBDI(21) => '0', DIBDI(20) => '0', DIBDI(19) => '0', DIBDI(18) => '0', DIBDI(17) => '0', DIBDI(16) => '0', DIBDI(15) => '0', DIBDI(14) => '0', DIBDI(13) => '0', DIBDI(12) => '0', DIBDI(11) => '0', DIBDI(10) => '0', DIBDI(9) => '0', DIBDI(8) => '0', DIBDI(7) => '0', DIBDI(6) => '0', DIBDI(5) => '0', DIBDI(4) => '0', DIBDI(3) => '0', DIBDI(2) => '0', DIBDI(1) => '0', DIBDI(0) => '0', DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOBDO_UNCONNECTED\(31 downto 1), DOBDO(0) => DOUTB(0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_DOPBDOP_UNCONNECTED\(3 downto 0), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ENA, ENBWREN => ENB, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '1', REGCEB => '1', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_SBITERR_UNCONNECTED\, WEA(3) => '1', WEA(2) => '1', WEA(1) => '1', WEA(0) => '1', WEBWE(7) => '0', WEBWE(6) => '0', WEBWE(5) => '0', WEBWE(4) => '0', WEBWE(3) => '0', WEBWE(2) => '0', WEBWE(1) => '0', WEBWE(0) => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0_blk_mem_gen_prim_width is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; end blk_mem_gen_0_blk_mem_gen_prim_width; architecture STRUCTURE of blk_mem_gen_0_blk_mem_gen_prim_width is begin \prim_noinit.ram\: entity work.blk_mem_gen_0_blk_mem_gen_prim_wrapper port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized0\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized0\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized0\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized0\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized1\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized1\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized1\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized1\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized10\ is port ( \doutb[1]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_]\ : in STD_LOGIC; clka : in STD_LOGIC; \addrb[17]\ : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 14 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 14 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized10\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized10\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized10\ is signal \addra_13__s_net_1\ : STD_LOGIC; begin \addra_13__s_net_1\ <= \addra_13__s_port_]\; \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized10\ port map ( addra(14 downto 0) => addra(14 downto 0), \addra_13__s_port_]\ => \addra_13__s_net_1\, addrb(14 downto 0) => addrb(14 downto 0), \addrb[17]\ => \addrb[17]\, clka => clka, clkb => clkb, dina(0) => dina(0), \doutb[1]\(0) => \doutb[1]\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized11\ is port ( ENA : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ : out STD_LOGIC; ENB : out STD_LOGIC; DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 16 downto 0 ); \addrb[17]\ : in STD_LOGIC; clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized11\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized11\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized11\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized11\ port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\, DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(18 downto 0) => addra(18 downto 0), addrb(16 downto 0) => addrb(16 downto 0), \addrb[17]\ => \addrb[17]\, clka => clka, clkb => clkb, dina(0) => dina(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized12\ is port ( ENA : out STD_LOGIC; ENB : out STD_LOGIC; DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_\ : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized12\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized12\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized12\ is signal \addra_13__s_net_1\ : STD_LOGIC; begin \addra_13__s_net_1\ <= \addra_13__s_port_\; \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized12\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(18 downto 0) => addra(18 downto 0), \addra_13__s_port_\ => \addra_13__s_net_1\, addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized13\ is port ( ENA : out STD_LOGIC; ENB : out STD_LOGIC; DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_\ : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized13\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized13\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized13\ is signal \addra_13__s_net_1\ : STD_LOGIC; begin \addra_13__s_net_1\ <= \addra_13__s_port_\; \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized13\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(18 downto 0) => addra(18 downto 0), \addra_13__s_port_\ => \addra_13__s_net_1\, addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized14\ is port ( ENA : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : out STD_LOGIC; ENB : out STD_LOGIC; DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized14\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized14\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized14\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized14\ port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\, DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(18 downto 0) => addra(18 downto 0), addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized15\ is port ( \doutb[2]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\ : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\ : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\ : out STD_LOGIC; clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ); \addra[17]\ : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_\ : in STD_LOGIC; \addra[17]_0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized15\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized15\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized15\ is signal \addra_13__s_net_1\ : STD_LOGIC; begin \addra_13__s_net_1\ <= \addra_13__s_port_\; \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized15\ port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\ => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\ => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\ => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_3\ => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\, addra(15 downto 0) => addra(15 downto 0), \addra[17]\ => \addra[17]\, \addra[17]_0\ => \addra[17]_0\, \addra_13__s_port_\ => \addra_13__s_net_1\, addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0), \doutb[2]\(0) => \doutb[2]\(0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized16\ is port ( \doutb[3]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; \addra[17]\ : in STD_LOGIC; \addrb[17]\ : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 12 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 12 downto 0 ); dina : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized16\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized16\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized16\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized16\ port map ( addra(12 downto 0) => addra(12 downto 0), \addra[17]\ => \addra[17]\, addrb(12 downto 0) => addrb(12 downto 0), \addrb[17]\ => \addrb[17]\, clka => clka, clkb => clkb, dina(1 downto 0) => dina(1 downto 0), \doutb[3]\(1 downto 0) => \doutb[3]\(1 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized17\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized17\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized17\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized17\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized17\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized18\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized18\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized18\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized18\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized18\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized19\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized19\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized19\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized19\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized19\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized2\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); \wea[0]\ : in STD_LOGIC; clka : in STD_LOGIC; \addrb[18]\ : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized2\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized2\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized2\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized2\ port map ( DOUTB(0) => DOUTB(0), addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), \addrb[18]\ => \addrb[18]\, clka => clka, clkb => clkb, dina(0) => dina(0), \wea[0]\ => \wea[0]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized20\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized20\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized20\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized20\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized20\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized21\ is port ( \doutb[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_]\ : in STD_LOGIC; clka : in STD_LOGIC; \addrb[17]\ : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 14 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 14 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized21\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized21\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized21\ is signal \addra_13__s_net_1\ : STD_LOGIC; begin \addra_13__s_net_1\ <= \addra_13__s_port_]\; \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized21\ port map ( addra(14 downto 0) => addra(14 downto 0), \addra_13__s_port_]\ => \addra_13__s_net_1\, addrb(14 downto 0) => addrb(14 downto 0), \addrb[17]\ => \addrb[17]\, clka => clka, clkb => clkb, dina(0) => dina(0), \doutb[3]\(0) => \doutb[3]\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized3\ is port ( \doutb[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \addra_13__s_port_]\ : in STD_LOGIC; clka : in STD_LOGIC; \addrb[17]\ : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 14 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 14 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized3\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized3\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized3\ is signal \addra_13__s_net_1\ : STD_LOGIC; begin \addra_13__s_net_1\ <= \addra_13__s_port_]\; \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized3\ port map ( addra(14 downto 0) => addra(14 downto 0), \addra_13__s_port_]\ => \addra_13__s_net_1\, addrb(14 downto 0) => addrb(14 downto 0), \addrb[17]\ => \addrb[17]\, clka => clka, clkb => clkb, dina(0) => dina(0), \doutb[0]\(0) => \doutb[0]\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized4\ is port ( DOBDO : out STD_LOGIC_VECTOR ( 1 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : out STD_LOGIC; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ : out STD_LOGIC; clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 1 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); \addra[17]\ : in STD_LOGIC; \addra[17]_0\ : in STD_LOGIC; \addrb[17]\ : in STD_LOGIC; \addrb[17]_0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized4\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized4\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized4\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized4\ port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_1\ => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\, DOBDO(1 downto 0) => DOBDO(1 downto 0), addra(15 downto 0) => addra(15 downto 0), \addra[17]\ => \addra[17]\, \addra[17]_0\ => \addra[17]_0\, addrb(15 downto 0) => addrb(15 downto 0), \addrb[17]\ => \addrb[17]\, \addrb[17]_0\ => \addrb[17]_0\, clka => clka, clkb => clkb, dina(1 downto 0) => dina(1 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized5\ is port ( \doutb[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); \addra[17]\ : in STD_LOGIC; \addra[17]_0\ : in STD_LOGIC; \addra_13__s_port_\ : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); \addrb[17]\ : in STD_LOGIC; \addrb[17]_0\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized5\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized5\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized5\ is signal \addra_13__s_net_1\ : STD_LOGIC; begin \addra_13__s_net_1\ <= \addra_13__s_port_\; \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized5\ port map ( addra(15 downto 0) => addra(15 downto 0), \addra[17]\ => \addra[17]\, \addra[17]_0\ => \addra[17]_0\, \addra_13__s_port_\ => \addra_13__s_net_1\, addrb(15 downto 0) => addrb(15 downto 0), \addrb[17]\ => \addrb[17]\, \addrb[17]_0\ => \addrb[17]_0\, clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), \doutb[3]\(3 downto 0) => \doutb[3]\(3 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized6\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized6\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized6\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized6\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized6\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized7\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized7\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized7\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized7\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized7\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized8\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized8\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized8\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized8\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized8\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized9\ is port ( DOUTB : out STD_LOGIC_VECTOR ( 0 to 0 ); ENA : in STD_LOGIC; clka : in STD_LOGIC; ENB : in STD_LOGIC; clkb : in STD_LOGIC; addra : in STD_LOGIC_VECTOR ( 15 downto 0 ); addrb : in STD_LOGIC_VECTOR ( 15 downto 0 ); dina : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized9\ : entity is "blk_mem_gen_prim_width"; end \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized9\; architecture STRUCTURE of \blk_mem_gen_0_blk_mem_gen_prim_width__parameterized9\ is begin \prim_noinit.ram\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_wrapper__parameterized9\ port map ( DOUTB(0) => DOUTB(0), ENA => ENA, ENB => ENB, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0_blk_mem_gen_generic_cstr is port ( doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; end blk_mem_gen_0_blk_mem_gen_generic_cstr; architecture STRUCTURE of blk_mem_gen_0_blk_mem_gen_generic_cstr is signal \ramloop[0].ram.r_n_0\ : STD_LOGIC; signal \ramloop[10].ram.r_n_0\ : STD_LOGIC; signal \ramloop[11].ram.r_n_0\ : STD_LOGIC; signal \ramloop[12].ram.r_n_0\ : STD_LOGIC; signal \ramloop[12].ram.r_n_1\ : STD_LOGIC; signal \ramloop[12].ram.r_n_2\ : STD_LOGIC; signal \ramloop[12].ram.r_n_3\ : STD_LOGIC; signal \ramloop[12].ram.r_n_4\ : STD_LOGIC; signal \ramloop[13].ram.r_n_0\ : STD_LOGIC; signal \ramloop[13].ram.r_n_1\ : STD_LOGIC; signal \ramloop[13].ram.r_n_2\ : STD_LOGIC; signal \ramloop[14].ram.r_n_0\ : STD_LOGIC; signal \ramloop[14].ram.r_n_1\ : STD_LOGIC; signal \ramloop[14].ram.r_n_2\ : STD_LOGIC; signal \ramloop[15].ram.r_n_0\ : STD_LOGIC; signal \ramloop[15].ram.r_n_1\ : STD_LOGIC; signal \ramloop[15].ram.r_n_2\ : STD_LOGIC; signal \ramloop[15].ram.r_n_3\ : STD_LOGIC; signal \ramloop[16].ram.r_n_0\ : STD_LOGIC; signal \ramloop[16].ram.r_n_1\ : STD_LOGIC; signal \ramloop[16].ram.r_n_2\ : STD_LOGIC; signal \ramloop[16].ram.r_n_3\ : STD_LOGIC; signal \ramloop[16].ram.r_n_4\ : STD_LOGIC; signal \ramloop[17].ram.r_n_0\ : STD_LOGIC; signal \ramloop[17].ram.r_n_1\ : STD_LOGIC; signal \ramloop[18].ram.r_n_0\ : STD_LOGIC; signal \ramloop[19].ram.r_n_0\ : STD_LOGIC; signal \ramloop[1].ram.r_n_0\ : STD_LOGIC; signal \ramloop[20].ram.r_n_0\ : STD_LOGIC; signal \ramloop[21].ram.r_n_0\ : STD_LOGIC; signal \ramloop[22].ram.r_n_0\ : STD_LOGIC; signal \ramloop[2].ram.r_n_0\ : STD_LOGIC; signal \ramloop[3].ram.r_n_0\ : STD_LOGIC; signal \ramloop[4].ram.r_n_0\ : STD_LOGIC; signal \ramloop[5].ram.r_n_0\ : STD_LOGIC; signal \ramloop[5].ram.r_n_1\ : STD_LOGIC; signal \ramloop[5].ram.r_n_2\ : STD_LOGIC; signal \ramloop[5].ram.r_n_3\ : STD_LOGIC; signal \ramloop[6].ram.r_n_0\ : STD_LOGIC; signal \ramloop[6].ram.r_n_1\ : STD_LOGIC; signal \ramloop[6].ram.r_n_2\ : STD_LOGIC; signal \ramloop[6].ram.r_n_3\ : STD_LOGIC; signal \ramloop[7].ram.r_n_0\ : STD_LOGIC; signal \ramloop[8].ram.r_n_0\ : STD_LOGIC; signal \ramloop[9].ram.r_n_0\ : STD_LOGIC; begin \has_mux_b.B\: entity work.\blk_mem_gen_0_blk_mem_gen_mux__parameterized0\ port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T\(0) => \ramloop[1].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_0\(0) => \ramloop[2].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_1\(0) => \ramloop[3].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_10\(0) => \ramloop[18].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_11\(0) => \ramloop[19].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_12\(0) => \ramloop[20].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_13\(0) => \ramloop[21].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_2\(0) => \ramloop[7].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_3\(0) => \ramloop[8].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_4\(0) => \ramloop[9].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_5\(0) => \ramloop[10].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_6\(0) => \ramloop[12].ram.r_n_4\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_7\(0) => \ramloop[13].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_8\(0) => \ramloop[14].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.CASCADED_PRIM36.ram_T_9\(0) => \ramloop[15].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(3) => \ramloop[6].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(2) => \ramloop[6].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(1) => \ramloop[6].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\(0) => \ramloop[6].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\(1) => \ramloop[17].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\(0) => \ramloop[17].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(0) => \ramloop[4].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(0) => \ramloop[11].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\(0) => \ramloop[16].ram.r_n_0\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\(0) => \ramloop[22].ram.r_n_0\, DOBDO(1) => \ramloop[5].ram.r_n_0\, DOBDO(0) => \ramloop[5].ram.r_n_1\, DOUTB(0) => \ramloop[0].ram.r_n_0\, addrb(6 downto 0) => addrb(18 downto 12), clkb => clkb, \^doutb\(3 downto 0) => doutb(3 downto 0) ); \ramloop[0].ram.r\: entity work.blk_mem_gen_0_blk_mem_gen_prim_width port map ( DOUTB(0) => \ramloop[0].ram.r_n_0\, ENA => \ramloop[12].ram.r_n_0\, ENB => \ramloop[12].ram.r_n_3\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); \ramloop[10].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized9\ port map ( DOUTB(0) => \ramloop[10].ram.r_n_0\, ENA => \ramloop[15].ram.r_n_0\, ENB => \ramloop[15].ram.r_n_2\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(1) ); \ramloop[11].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized10\ port map ( addra(14 downto 0) => addra(14 downto 0), \addra_13__s_port_]\ => \ramloop[16].ram.r_n_1\, addrb(14 downto 0) => addrb(14 downto 0), \addrb[17]\ => \ramloop[16].ram.r_n_2\, clka => clka, clkb => clkb, dina(0) => dina(1), \doutb[1]\(0) => \ramloop[11].ram.r_n_0\ ); \ramloop[12].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized11\ port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ => \ramloop[12].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ => \ramloop[12].ram.r_n_2\, DOUTB(0) => \ramloop[12].ram.r_n_4\, ENA => \ramloop[12].ram.r_n_0\, ENB => \ramloop[12].ram.r_n_3\, addra(18 downto 0) => addra(18 downto 0), addrb(16) => addrb(18), addrb(15 downto 0) => addrb(15 downto 0), \addrb[17]\ => \ramloop[16].ram.r_n_3\, clka => clka, clkb => clkb, dina(0) => dina(2), wea(0) => wea(0) ); \ramloop[13].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized12\ port map ( DOUTB(0) => \ramloop[13].ram.r_n_2\, ENA => \ramloop[13].ram.r_n_0\, ENB => \ramloop[13].ram.r_n_1\, addra(18 downto 0) => addra(18 downto 0), \addra_13__s_port_\ => \ramloop[15].ram.r_n_1\, addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(0) => dina(2), wea(0) => wea(0) ); \ramloop[14].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized13\ port map ( DOUTB(0) => \ramloop[14].ram.r_n_2\, ENA => \ramloop[14].ram.r_n_0\, ENB => \ramloop[14].ram.r_n_1\, addra(18 downto 0) => addra(18 downto 0), \addra_13__s_port_\ => \ramloop[15].ram.r_n_1\, addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(0) => dina(2), wea(0) => wea(0) ); \ramloop[15].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized14\ port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ => \ramloop[15].ram.r_n_1\, DOUTB(0) => \ramloop[15].ram.r_n_3\, ENA => \ramloop[15].ram.r_n_0\, ENB => \ramloop[15].ram.r_n_2\, addra(18 downto 0) => addra(18 downto 0), addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(0) => dina(2), wea(0) => wea(0) ); \ramloop[16].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized15\ port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ => \ramloop[16].ram.r_n_1\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\ => \ramloop[16].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_1\ => \ramloop[16].ram.r_n_3\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_2\ => \ramloop[16].ram.r_n_4\, addra(15) => addra(18), addra(14 downto 0) => addra(14 downto 0), \addra[17]\ => \ramloop[12].ram.r_n_1\, \addra[17]_0\ => \ramloop[12].ram.r_n_2\, \addra_13__s_port_\ => \ramloop[15].ram.r_n_1\, addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(0) => dina(2), \doutb[2]\(0) => \ramloop[16].ram.r_n_0\, wea(0) => wea(0) ); \ramloop[17].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized16\ port map ( addra(12 downto 0) => addra(12 downto 0), \addra[17]\ => \ramloop[5].ram.r_n_2\, addrb(12 downto 0) => addrb(12 downto 0), \addrb[17]\ => \ramloop[5].ram.r_n_3\, clka => clka, clkb => clkb, dina(1 downto 0) => dina(3 downto 2), \doutb[3]\(1) => \ramloop[17].ram.r_n_0\, \doutb[3]\(0) => \ramloop[17].ram.r_n_1\ ); \ramloop[18].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized17\ port map ( DOUTB(0) => \ramloop[18].ram.r_n_0\, ENA => \ramloop[12].ram.r_n_0\, ENB => \ramloop[12].ram.r_n_3\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(3) ); \ramloop[19].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized18\ port map ( DOUTB(0) => \ramloop[19].ram.r_n_0\, ENA => \ramloop[13].ram.r_n_0\, ENB => \ramloop[13].ram.r_n_1\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(3) ); \ramloop[1].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized0\ port map ( DOUTB(0) => \ramloop[1].ram.r_n_0\, ENA => \ramloop[13].ram.r_n_0\, ENB => \ramloop[13].ram.r_n_1\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); \ramloop[20].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized19\ port map ( DOUTB(0) => \ramloop[20].ram.r_n_0\, ENA => \ramloop[14].ram.r_n_0\, ENB => \ramloop[14].ram.r_n_1\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(3) ); \ramloop[21].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized20\ port map ( DOUTB(0) => \ramloop[21].ram.r_n_0\, ENA => \ramloop[15].ram.r_n_0\, ENB => \ramloop[15].ram.r_n_2\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(3) ); \ramloop[22].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized21\ port map ( addra(14 downto 0) => addra(14 downto 0), \addra_13__s_port_]\ => \ramloop[16].ram.r_n_1\, addrb(14 downto 0) => addrb(14 downto 0), \addrb[17]\ => \ramloop[16].ram.r_n_2\, clka => clka, clkb => clkb, dina(0) => dina(3), \doutb[3]\(0) => \ramloop[22].ram.r_n_0\ ); \ramloop[2].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized1\ port map ( DOUTB(0) => \ramloop[2].ram.r_n_0\, ENA => \ramloop[14].ram.r_n_0\, ENB => \ramloop[14].ram.r_n_1\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(0) ); \ramloop[3].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized2\ port map ( DOUTB(0) => \ramloop[3].ram.r_n_0\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), \addrb[18]\ => \ramloop[15].ram.r_n_2\, clka => clka, clkb => clkb, dina(0) => dina(0), \wea[0]\ => \ramloop[15].ram.r_n_0\ ); \ramloop[4].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized3\ port map ( addra(14 downto 0) => addra(14 downto 0), \addra_13__s_port_]\ => \ramloop[16].ram.r_n_1\, addrb(14 downto 0) => addrb(14 downto 0), \addrb[17]\ => \ramloop[16].ram.r_n_2\, clka => clka, clkb => clkb, dina(0) => dina(0), \doutb[0]\(0) => \ramloop[4].ram.r_n_0\ ); \ramloop[5].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized4\ port map ( \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ => \ramloop[5].ram.r_n_2\, \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_0\ => \ramloop[5].ram.r_n_3\, DOBDO(1) => \ramloop[5].ram.r_n_0\, DOBDO(0) => \ramloop[5].ram.r_n_1\, addra(15) => addra(18), addra(14 downto 0) => addra(14 downto 0), \addra[17]\ => \ramloop[12].ram.r_n_1\, \addra[17]_0\ => \ramloop[12].ram.r_n_2\, addrb(15) => addrb(18), addrb(14 downto 0) => addrb(14 downto 0), \addrb[17]\ => \ramloop[16].ram.r_n_4\, \addrb[17]_0\ => \ramloop[16].ram.r_n_3\, clka => clka, clkb => clkb, dina(1 downto 0) => dina(1 downto 0), wea(0) => wea(0) ); \ramloop[6].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized5\ port map ( addra(15) => addra(18), addra(14 downto 0) => addra(14 downto 0), \addra[17]\ => \ramloop[12].ram.r_n_2\, \addra[17]_0\ => \ramloop[12].ram.r_n_1\, \addra_13__s_port_\ => \ramloop[15].ram.r_n_1\, addrb(15) => addrb(18), addrb(14 downto 0) => addrb(14 downto 0), \addrb[17]\ => \ramloop[16].ram.r_n_3\, \addrb[17]_0\ => \ramloop[16].ram.r_n_4\, clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), \doutb[3]\(3) => \ramloop[6].ram.r_n_0\, \doutb[3]\(2) => \ramloop[6].ram.r_n_1\, \doutb[3]\(1) => \ramloop[6].ram.r_n_2\, \doutb[3]\(0) => \ramloop[6].ram.r_n_3\, wea(0) => wea(0) ); \ramloop[7].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized6\ port map ( DOUTB(0) => \ramloop[7].ram.r_n_0\, ENA => \ramloop[12].ram.r_n_0\, ENB => \ramloop[12].ram.r_n_3\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(1) ); \ramloop[8].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized7\ port map ( DOUTB(0) => \ramloop[8].ram.r_n_0\, ENA => \ramloop[13].ram.r_n_0\, ENB => \ramloop[13].ram.r_n_1\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(1) ); \ramloop[9].ram.r\: entity work.\blk_mem_gen_0_blk_mem_gen_prim_width__parameterized8\ port map ( DOUTB(0) => \ramloop[9].ram.r_n_0\, ENA => \ramloop[14].ram.r_n_0\, ENB => \ramloop[14].ram.r_n_1\, addra(15 downto 0) => addra(15 downto 0), addrb(15 downto 0) => addrb(15 downto 0), clka => clka, clkb => clkb, dina(0) => dina(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0_blk_mem_gen_top is port ( doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0_blk_mem_gen_top : entity is "blk_mem_gen_top"; end blk_mem_gen_0_blk_mem_gen_top; architecture STRUCTURE of blk_mem_gen_0_blk_mem_gen_top is begin \valid.cstr\: entity work.blk_mem_gen_0_blk_mem_gen_generic_cstr port map ( addra(18 downto 0) => addra(18 downto 0), addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0_blk_mem_gen_v8_2_synth is port ( doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); clka : in STD_LOGIC; clkb : in STD_LOGIC; dina : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0_blk_mem_gen_v8_2_synth : entity is "blk_mem_gen_v8_2_synth"; end blk_mem_gen_0_blk_mem_gen_v8_2_synth; architecture STRUCTURE of blk_mem_gen_0_blk_mem_gen_v8_2_synth is begin \gnativebmg.native_blk_mem_gen\: entity work.blk_mem_gen_0_blk_mem_gen_top port map ( addra(18 downto 0) => addra(18 downto 0), addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0_blk_mem_gen_v8_2 is port ( clka : in STD_LOGIC; rsta : in STD_LOGIC; ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); douta : out STD_LOGIC_VECTOR ( 3 downto 0 ); clkb : in STD_LOGIC; rstb : in STD_LOGIC; enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 3 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ); injectsbiterr : in STD_LOGIC; injectdbiterr : in STD_LOGIC; eccpipece : in STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; rdaddrecc : out STD_LOGIC_VECTOR ( 18 downto 0 ); sleep : in STD_LOGIC; deepsleep : in STD_LOGIC; shutdown : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_injectsbiterr : in STD_LOGIC; s_axi_injectdbiterr : in STD_LOGIC; s_axi_sbiterr : out STD_LOGIC; s_axi_dbiterr : out STD_LOGIC; s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 18 downto 0 ) ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 19; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 19; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "3"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "36"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "Estimated Power for IP : 16.198881 mW"; attribute C_FAMILY : string; attribute C_FAMILY of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "zynq"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 1; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "blk_mem_gen_0.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "no_coe_file_loaded"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 1; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 307200; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 307200; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 4; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 4; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 307200; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 307200; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "NO_CHANGE"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 4; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is 4; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "zynq"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "blk_mem_gen_v8_2"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of blk_mem_gen_0_blk_mem_gen_v8_2 : entity is "yes"; end blk_mem_gen_0_blk_mem_gen_v8_2; architecture STRUCTURE of blk_mem_gen_0_blk_mem_gen_v8_2 is signal \<const0>\ : STD_LOGIC; begin dbiterr <= \<const0>\; douta(3) <= \<const0>\; douta(2) <= \<const0>\; douta(1) <= \<const0>\; douta(0) <= \<const0>\; rdaddrecc(18) <= \<const0>\; rdaddrecc(17) <= \<const0>\; rdaddrecc(16) <= \<const0>\; rdaddrecc(15) <= \<const0>\; rdaddrecc(14) <= \<const0>\; rdaddrecc(13) <= \<const0>\; rdaddrecc(12) <= \<const0>\; rdaddrecc(11) <= \<const0>\; rdaddrecc(10) <= \<const0>\; rdaddrecc(9) <= \<const0>\; rdaddrecc(8) <= \<const0>\; rdaddrecc(7) <= \<const0>\; rdaddrecc(6) <= \<const0>\; rdaddrecc(5) <= \<const0>\; rdaddrecc(4) <= \<const0>\; rdaddrecc(3) <= \<const0>\; rdaddrecc(2) <= \<const0>\; rdaddrecc(1) <= \<const0>\; rdaddrecc(0) <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(3) <= \<const0>\; s_axi_bid(2) <= \<const0>\; s_axi_bid(1) <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_dbiterr <= \<const0>\; s_axi_rdaddrecc(18) <= \<const0>\; s_axi_rdaddrecc(17) <= \<const0>\; s_axi_rdaddrecc(16) <= \<const0>\; s_axi_rdaddrecc(15) <= \<const0>\; s_axi_rdaddrecc(14) <= \<const0>\; s_axi_rdaddrecc(13) <= \<const0>\; s_axi_rdaddrecc(12) <= \<const0>\; s_axi_rdaddrecc(11) <= \<const0>\; s_axi_rdaddrecc(10) <= \<const0>\; s_axi_rdaddrecc(9) <= \<const0>\; s_axi_rdaddrecc(8) <= \<const0>\; s_axi_rdaddrecc(7) <= \<const0>\; s_axi_rdaddrecc(6) <= \<const0>\; s_axi_rdaddrecc(5) <= \<const0>\; s_axi_rdaddrecc(4) <= \<const0>\; s_axi_rdaddrecc(3) <= \<const0>\; s_axi_rdaddrecc(2) <= \<const0>\; s_axi_rdaddrecc(1) <= \<const0>\; s_axi_rdaddrecc(0) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(3) <= \<const0>\; s_axi_rid(2) <= \<const0>\; s_axi_rid(1) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_sbiterr <= \<const0>\; s_axi_wready <= \<const0>\; sbiterr <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_blk_mem_gen: entity work.blk_mem_gen_0_blk_mem_gen_v8_2_synth port map ( addra(18 downto 0) => addra(18 downto 0), addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dina(3 downto 0) => dina(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0 is port ( clka : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 18 downto 0 ); dina : in STD_LOGIC_VECTOR ( 3 downto 0 ); clkb : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 18 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of blk_mem_gen_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of blk_mem_gen_0 : entity is "blk_mem_gen_0,blk_mem_gen_v8_2,{}"; attribute core_generation_info : string; attribute core_generation_info of blk_mem_gen_0 : entity is "blk_mem_gen_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2015.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=blk_mem_gen_0.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=4,C_READ_WIDTH_A=4,C_WRITE_DEPTH_A=307200,C_READ_DEPTH_A=307200,C_ADDRA_WIDTH=19,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=4,C_READ_WIDTH_B=4,C_WRITE_DEPTH_B=307200,C_READ_DEPTH_B=307200,C_ADDRB_WIDTH=19,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=1,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=36,C_COUNT_18K_BRAM=3,C_EST_POWER_SUMMARY=Estimated Power for IP _ 16.198881 mW}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of blk_mem_gen_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of blk_mem_gen_0 : entity is "blk_mem_gen_v8_2,Vivado 2015.2"; end blk_mem_gen_0; architecture STRUCTURE of blk_mem_gen_0 is signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_douta_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 18 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 18 downto 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_ADDRA_WIDTH : integer; attribute C_ADDRA_WIDTH of U0 : label is 19; attribute C_ADDRB_WIDTH : integer; attribute C_ADDRB_WIDTH of U0 : label is 19; attribute C_ALGORITHM : integer; attribute C_ALGORITHM of U0 : label is 1; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 4; attribute C_AXI_SLAVE_TYPE : integer; attribute C_AXI_SLAVE_TYPE of U0 : label is 0; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_BYTE_SIZE : integer; attribute C_BYTE_SIZE of U0 : label is 9; attribute C_COMMON_CLK : integer; attribute C_COMMON_CLK of U0 : label is 0; attribute C_COUNT_18K_BRAM : string; attribute C_COUNT_18K_BRAM of U0 : label is "3"; attribute C_COUNT_36K_BRAM : string; attribute C_COUNT_36K_BRAM of U0 : label is "36"; attribute C_CTRL_ECC_ALGO : string; attribute C_CTRL_ECC_ALGO of U0 : label is "NONE"; attribute C_DEFAULT_DATA : string; attribute C_DEFAULT_DATA of U0 : label is "0"; attribute C_DISABLE_WARN_BHV_COLL : integer; attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0; attribute C_DISABLE_WARN_BHV_RANGE : integer; attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0; attribute C_ELABORATION_DIR : string; attribute C_ELABORATION_DIR of U0 : label is "./"; attribute C_ENABLE_32BIT_ADDRESS : integer; attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0; attribute C_EN_DEEPSLEEP_PIN : integer; attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0; attribute C_EN_ECC_PIPE : integer; attribute C_EN_ECC_PIPE of U0 : label is 0; attribute C_EN_RDADDRA_CHG : integer; attribute C_EN_RDADDRA_CHG of U0 : label is 0; attribute C_EN_RDADDRB_CHG : integer; attribute C_EN_RDADDRB_CHG of U0 : label is 0; attribute C_EN_SHUTDOWN_PIN : integer; attribute C_EN_SHUTDOWN_PIN of U0 : label is 0; attribute C_EN_SLEEP_PIN : integer; attribute C_EN_SLEEP_PIN of U0 : label is 0; attribute C_EST_POWER_SUMMARY : string; attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 16.198881 mW"; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_ENA : integer; attribute C_HAS_ENA of U0 : label is 0; attribute C_HAS_ENB : integer; attribute C_HAS_ENB of U0 : label is 0; attribute C_HAS_INJECTERR : integer; attribute C_HAS_INJECTERR of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_A : integer; attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MEM_OUTPUT_REGS_B : integer; attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 1; attribute C_HAS_MUX_OUTPUT_REGS_A : integer; attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0; attribute C_HAS_MUX_OUTPUT_REGS_B : integer; attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0; attribute C_HAS_REGCEA : integer; attribute C_HAS_REGCEA of U0 : label is 0; attribute C_HAS_REGCEB : integer; attribute C_HAS_REGCEB of U0 : label is 0; attribute C_HAS_RSTA : integer; attribute C_HAS_RSTA of U0 : label is 0; attribute C_HAS_RSTB : integer; attribute C_HAS_RSTB of U0 : label is 0; attribute C_HAS_SOFTECC_INPUT_REGS_A : integer; attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0; attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer; attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0; attribute C_INITA_VAL : string; attribute C_INITA_VAL of U0 : label is "0"; attribute C_INITB_VAL : string; attribute C_INITB_VAL of U0 : label is "0"; attribute C_INIT_FILE : string; attribute C_INIT_FILE of U0 : label is "blk_mem_gen_0.mem"; attribute C_INIT_FILE_NAME : string; attribute C_INIT_FILE_NAME of U0 : label is "no_coe_file_loaded"; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_LOAD_INIT_FILE : integer; attribute C_LOAD_INIT_FILE of U0 : label is 0; attribute C_MEM_TYPE : integer; attribute C_MEM_TYPE of U0 : label is 1; attribute C_MUX_PIPELINE_STAGES : integer; attribute C_MUX_PIPELINE_STAGES of U0 : label is 0; attribute C_PRIM_TYPE : integer; attribute C_PRIM_TYPE of U0 : label is 1; attribute C_READ_DEPTH_A : integer; attribute C_READ_DEPTH_A of U0 : label is 307200; attribute C_READ_DEPTH_B : integer; attribute C_READ_DEPTH_B of U0 : label is 307200; attribute C_READ_WIDTH_A : integer; attribute C_READ_WIDTH_A of U0 : label is 4; attribute C_READ_WIDTH_B : integer; attribute C_READ_WIDTH_B of U0 : label is 4; attribute C_RSTRAM_A : integer; attribute C_RSTRAM_A of U0 : label is 0; attribute C_RSTRAM_B : integer; attribute C_RSTRAM_B of U0 : label is 0; attribute C_RST_PRIORITY_A : string; attribute C_RST_PRIORITY_A of U0 : label is "CE"; attribute C_RST_PRIORITY_B : string; attribute C_RST_PRIORITY_B of U0 : label is "CE"; attribute C_SIM_COLLISION_CHECK : string; attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL"; attribute C_USE_BRAM_BLOCK : integer; attribute C_USE_BRAM_BLOCK of U0 : label is 0; attribute C_USE_BYTE_WEA : integer; attribute C_USE_BYTE_WEA of U0 : label is 0; attribute C_USE_BYTE_WEB : integer; attribute C_USE_BYTE_WEB of U0 : label is 0; attribute C_USE_DEFAULT_DATA : integer; attribute C_USE_DEFAULT_DATA of U0 : label is 0; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_SOFTECC : integer; attribute C_USE_SOFTECC of U0 : label is 0; attribute C_USE_URAM : integer; attribute C_USE_URAM of U0 : label is 0; attribute C_WEA_WIDTH : integer; attribute C_WEA_WIDTH of U0 : label is 1; attribute C_WEB_WIDTH : integer; attribute C_WEB_WIDTH of U0 : label is 1; attribute C_WRITE_DEPTH_A : integer; attribute C_WRITE_DEPTH_A of U0 : label is 307200; attribute C_WRITE_DEPTH_B : integer; attribute C_WRITE_DEPTH_B of U0 : label is 307200; attribute C_WRITE_MODE_A : string; attribute C_WRITE_MODE_A of U0 : label is "NO_CHANGE"; attribute C_WRITE_MODE_B : string; attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST"; attribute C_WRITE_WIDTH_A : integer; attribute C_WRITE_WIDTH_A of U0 : label is 4; attribute C_WRITE_WIDTH_B : integer; attribute C_WRITE_WIDTH_B of U0 : label is 4; attribute C_XDEVICEFAMILY : string; attribute C_XDEVICEFAMILY of U0 : label is "zynq"; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of U0 : label is std.standard.true; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.blk_mem_gen_0_blk_mem_gen_v8_2 port map ( addra(18 downto 0) => addra(18 downto 0), addrb(18 downto 0) => addrb(18 downto 0), clka => clka, clkb => clkb, dbiterr => NLW_U0_dbiterr_UNCONNECTED, deepsleep => '0', dina(3 downto 0) => dina(3 downto 0), dinb(3) => '0', dinb(2) => '0', dinb(1) => '0', dinb(0) => '0', douta(3 downto 0) => NLW_U0_douta_UNCONNECTED(3 downto 0), doutb(3 downto 0) => doutb(3 downto 0), eccpipece => '0', ena => '0', enb => '0', injectdbiterr => '0', injectsbiterr => '0', rdaddrecc(18 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(18 downto 0), regcea => '0', regceb => '0', rsta => '0', rstb => '0', s_aclk => '0', s_aresetn => '0', s_axi_araddr(31) => '0', s_axi_araddr(30) => '0', s_axi_araddr(29) => '0', s_axi_araddr(28) => '0', s_axi_araddr(27) => '0', s_axi_araddr(26) => '0', s_axi_araddr(25) => '0', s_axi_araddr(24) => '0', s_axi_araddr(23) => '0', s_axi_araddr(22) => '0', s_axi_araddr(21) => '0', s_axi_araddr(20) => '0', s_axi_araddr(19) => '0', s_axi_araddr(18) => '0', s_axi_araddr(17) => '0', s_axi_araddr(16) => '0', s_axi_araddr(15) => '0', s_axi_araddr(14) => '0', s_axi_araddr(13) => '0', s_axi_araddr(12) => '0', s_axi_araddr(11) => '0', s_axi_araddr(10) => '0', s_axi_araddr(9) => '0', s_axi_araddr(8) => '0', s_axi_araddr(7) => '0', s_axi_araddr(6) => '0', s_axi_araddr(5) => '0', s_axi_araddr(4) => '0', s_axi_araddr(3) => '0', s_axi_araddr(2) => '0', s_axi_araddr(1) => '0', s_axi_araddr(0) => '0', s_axi_arburst(1) => '0', s_axi_arburst(0) => '0', s_axi_arid(3) => '0', s_axi_arid(2) => '0', s_axi_arid(1) => '0', s_axi_arid(0) => '0', s_axi_arlen(7) => '0', s_axi_arlen(6) => '0', s_axi_arlen(5) => '0', s_axi_arlen(4) => '0', s_axi_arlen(3) => '0', s_axi_arlen(2) => '0', s_axi_arlen(1) => '0', s_axi_arlen(0) => '0', s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arsize(2) => '0', s_axi_arsize(1) => '0', s_axi_arsize(0) => '0', s_axi_arvalid => '0', s_axi_awaddr(31) => '0', s_axi_awaddr(30) => '0', s_axi_awaddr(29) => '0', s_axi_awaddr(28) => '0', s_axi_awaddr(27) => '0', s_axi_awaddr(26) => '0', s_axi_awaddr(25) => '0', s_axi_awaddr(24) => '0', s_axi_awaddr(23) => '0', s_axi_awaddr(22) => '0', s_axi_awaddr(21) => '0', s_axi_awaddr(20) => '0', s_axi_awaddr(19) => '0', s_axi_awaddr(18) => '0', s_axi_awaddr(17) => '0', s_axi_awaddr(16) => '0', s_axi_awaddr(15) => '0', s_axi_awaddr(14) => '0', s_axi_awaddr(13) => '0', s_axi_awaddr(12) => '0', s_axi_awaddr(11) => '0', s_axi_awaddr(10) => '0', s_axi_awaddr(9) => '0', s_axi_awaddr(8) => '0', s_axi_awaddr(7) => '0', s_axi_awaddr(6) => '0', s_axi_awaddr(5) => '0', s_axi_awaddr(4) => '0', s_axi_awaddr(3) => '0', s_axi_awaddr(2) => '0', s_axi_awaddr(1) => '0', s_axi_awaddr(0) => '0', s_axi_awburst(1) => '0', s_axi_awburst(0) => '0', s_axi_awid(3) => '0', s_axi_awid(2) => '0', s_axi_awid(1) => '0', s_axi_awid(0) => '0', s_axi_awlen(7) => '0', s_axi_awlen(6) => '0', s_axi_awlen(5) => '0', s_axi_awlen(4) => '0', s_axi_awlen(3) => '0', s_axi_awlen(2) => '0', s_axi_awlen(1) => '0', s_axi_awlen(0) => '0', s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awsize(2) => '0', s_axi_awsize(1) => '0', s_axi_awsize(0) => '0', s_axi_awvalid => '0', s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED, s_axi_injectdbiterr => '0', s_axi_injectsbiterr => '0', s_axi_rdaddrecc(18 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(18 downto 0), s_axi_rdata(3 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(3 downto 0), s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED, s_axi_wdata(3) => '0', s_axi_wdata(2) => '0', s_axi_wdata(1) => '0', s_axi_wdata(0) => '0', s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(0) => '0', s_axi_wvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, shutdown => '0', sleep => '0', wea(0) => wea(0), web(0) => '0' ); end STRUCTURE;
package pack1 is type my_int1 is range 0 to 10; end package; ------------------------------------------------------------------------------- package pack2 is type my_int1 is range 0 to 10; end package; ------------------------------------------------------------------------------- use work.pack1; use work.pack2; entity no_use_clause is port ( a : in pack1.my_int1; b : out pack2.my_int1 ); end entity; ------------------------------------------------------------------------------- architecture a of no_use_clause is type my_int1 is range 10 to 50; begin process is begin -- Should fail as types have same name but from different packages b <= a; end process; process is variable v : pack2.my_int1; begin b <= v; -- OK end process; process is variable v : my_int1; begin -- Should fail as local my_int1 distinct from pack1.my_int1 v := a; end process; end architecture; ------------------------------------------------------------------------------- use work.pack1.all; entity foo is generic ( g : my_int1 ); port ( p : in my_int1 ); end entity; ------------------------------------------------------------------------------- architecture a of foo is -- Architecture decls exist in same scope as entity so this should -- generate an error signal g : my_int1; begin end architecture; ------------------------------------------------------------------------------- architecture b of foo is -- Should also generate an error signal p : my_int1; begin end architecture; ------------------------------------------------------------------------------- architecture c of foo is begin -- This is OK as processes define a new scope process is variable p : my_int1; variable g : my_int1; begin g := 6; p := 2; wait for 1 ns; end process; end architecture; ------------------------------------------------------------------------------- entity overload is port ( SI: in bit; SO: out bit ); end ; architecture behave of overload is begin foo_inst: SO <= SI; end behave; ------------------------------------------------------------------------------- use work.all; entity no_use_clause is port ( a : in pack1.my_int1; -- OK b : out my_int1 ); -- Error end entity; ------------------------------------------------------------------------------- package pack3 is type my_enum is (E1, E2, E3); end package; ------------------------------------------------------------------------------- use work.pack3.all; package pack4 is type my_enum_array is array (integer range <>) of my_enum; end package; ------------------------------------------------------------------------------- use work.pack4.all; architecture a of foo is signal x : my_enum_array(1 to 3); -- OK signal y : my_enum_array(1 to 3) := (others => E1); -- Error: E1 not visible begin end architecture; ------------------------------------------------------------------------------- package pack5 is function func1(x : integer) return boolean; function func2(x : integer) return boolean; function "and"(x, y : integer) return boolean; end package; ------------------------------------------------------------------------------- use work.pack5.func1; architecture a2 of foo is begin process is begin assert func1(4); -- OK assert func2(5); -- Error end process; end architecture; ------------------------------------------------------------------------------- use work.pack5.not_here; -- Error architecture a3 of foo is begin end architecture; ------------------------------------------------------------------------------- entity bar is end entity; architecture a4 of bar is begin process is use work.pack1.all; variable x : my_int1; -- OK begin x := 5; end process; process is variable x : my_int1; -- Error begin end process; b: block is use work.pack1; signal x : pack1.my_int1; -- OK begin end block; end architecture; ------------------------------------------------------------------------------- use work.pack5."and"; architecture a5 of bar is begin process is begin assert 1 and 2; -- OK assert work.pack5."and"(1, 2); -- OK assert pack5."and"(1, 2); -- OK end process; end architecture; ------------------------------------------------------------------------------- package pack6 is component bar is end component; end package; ------------------------------------------------------------------------------- use work.pack6.all; architecture a6 of bar is begin process is begin report bar'path_name; -- OK (references entity) end process; end architecture; ------------------------------------------------------------------------------- use foo.bar.all; -- Error architecture a7 of bar is begin end architecture; ------------------------------------------------------------------------------- package pack7 is function foo(x : in integer) return boolean; function foo(y : in real) return boolean; end package; ------------------------------------------------------------------------------- use work.pack7.foo; architecture issue62 of bar is begin process is begin assert foo(integer'(1)); -- OK assert foo(real'(1.6)); -- OK end process; end architecture; ------------------------------------------------------------------------------- use work.all; use work.pack1.all; architecture issue63 of bar is signal x : my_int1; -- OK begin end architecture; ------------------------------------------------------------------------------- package pack8 is function min(x, y : in integer) return integer; end package; ------------------------------------------------------------------------------- use work.pack8.all; -- OK architecture unit_decl_crash of bar is begin process is variable x : integer := min(1, 2); -- OK begin end process; end architecture; ------------------------------------------------------------------------------- architecture labels of bar is signal mySignalVector: bit_vector (7 downto 0); signal myOtherSignal: bit := '1'; begin process begin L1: for i in 0 to 9 loop for i in 0 to 7 loop mySignalVector(i) <= myOtherSignal; report "outer loop i = " & integer'image(L1.i); report "inner loop i = " & integer'image(i); report integer'image(L1.x); -- Error end loop; end loop; wait; end process; end architecture; ------------------------------------------------------------------------------- architecture more_labels of bar is begin p1: process is variable x : boolean; begin p1.x := true; -- OK for x in 1 to 10 loop p1.x := false; -- OK end loop; end process; b1: block is constant x : integer := 2; begin process is variable x : boolean; begin x := true; -- OK assert b1.x = 2; -- OK end process; end block; end architecture;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1041.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s04b00x00p03n01i01041ent IS END c06s04b00x00p03n01i01041ent; ARCHITECTURE c06s04b00x00p03n01i01041arch OF c06s04b00x00p03n01i01041ent IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN if k(1) = 1 then NULL; end if; assert FALSE report "***FAILED TEST: c06s04b00x00p03n01i01041 - Prefix of an indexed name can only denote an array type." severity ERROR; wait; END PROCESS TESTING; END c06s04b00x00p03n01i01041arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1041.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s04b00x00p03n01i01041ent IS END c06s04b00x00p03n01i01041ent; ARCHITECTURE c06s04b00x00p03n01i01041arch OF c06s04b00x00p03n01i01041ent IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN if k(1) = 1 then NULL; end if; assert FALSE report "***FAILED TEST: c06s04b00x00p03n01i01041 - Prefix of an indexed name can only denote an array type." severity ERROR; wait; END PROCESS TESTING; END c06s04b00x00p03n01i01041arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1041.vhd,v 1.2 2001-10-26 16:30:05 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s04b00x00p03n01i01041ent IS END c06s04b00x00p03n01i01041ent; ARCHITECTURE c06s04b00x00p03n01i01041arch OF c06s04b00x00p03n01i01041ent IS BEGIN TESTING: PROCESS variable k : integer := 0; BEGIN if k(1) = 1 then NULL; end if; assert FALSE report "***FAILED TEST: c06s04b00x00p03n01i01041 - Prefix of an indexed name can only denote an array type." severity ERROR; wait; END PROCESS TESTING; END c06s04b00x00p03n01i01041arch;
------------------------------------------------------------------------------- --! @project Unrolled (factor 2) hardware implementation of Asconv128128 --! @author Michael Fivez --! @license This project is released under the GNU Public License. --! The license and distribution terms for this file may be --! found in the file LICENSE in this distribution or at --! http://www.gnu.org/licenses/gpl-3.0.txt --! @note This is an hardware implementation made for my graduation thesis --! at the KULeuven, in the COSIC department (year 2015-2016) --! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions', --! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications) ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Sbox is port( X0In : in std_logic_vector(63 downto 0); X1In : in std_logic_vector(63 downto 0); X2In : in std_logic_vector(63 downto 0); X3In : in std_logic_vector(63 downto 0); X4In : in std_logic_vector(63 downto 0); RoundNr : in std_logic_vector(3 downto 0); X0Out : out std_logic_vector(63 downto 0); X1Out : out std_logic_vector(63 downto 0); X2Out : out std_logic_vector(63 downto 0); X3Out : out std_logic_vector(63 downto 0); X4Out : out std_logic_vector(63 downto 0)); end entity Sbox; architecture structural of Sbox is begin Sbox: process(X0In,X1In,X2In,X3In,X4In,RoundNr) is -- Procedure for 5-bit Sbox procedure doSboxPart ( variable SboxPartIn : in std_logic_vector(4 downto 0); variable SboxPartOut : out std_logic_vector(4 downto 0)) is -- Temp variable variable SboxPartTemp : std_logic_vector(17 downto 0); begin -- Sbox Interconnections SboxPartTemp(0) := SboxPartIn(0) xor SboxPartIn(4); SboxPartTemp(1) := SboxPartIn(2) xor SboxPartIn(1); SboxPartTemp(2) := SboxPartIn(4) xor SboxPartIn(3); SboxPartTemp(3) := not SboxPartTemp(0); SboxPartTemp(4) := not SboxPartIn(1); SboxPartTemp(5) := not SboxPartTemp(1); SboxPartTemp(6) := not SboxPartIn(3); SboxPartTemp(7) := not SboxPartTemp(2); SboxPartTemp(8) := SboxPartIn(1) and SboxPartTemp(3); SboxPartTemp(9) := SboxPartTemp(1) and SboxPartTemp(4); SboxPartTemp(10) := SboxPartIn(3) and SboxPartTemp(5); SboxPartTemp(11) := SboxPartTemp(2) and SboxPartTemp(6); SboxPartTemp(12) := SboxPartTemp(0) and SboxPartTemp(7); SboxPartTemp(13) := SboxPartTemp(0) xor SboxPartTemp(9); SboxPartTemp(14) := SboxPartIn(1) xor SboxPartTemp(10); SboxPartTemp(15) := SboxPartTemp(1) xor SboxPartTemp(11); SboxPartTemp(16) := SboxPartIn(3) xor SboxPartTemp(12); SboxPartTemp(17) := SboxPartTemp(2) xor SboxPartTemp(8); SboxPartOut(0) := SboxPartTemp(13) xor SboxPartTemp(17); SboxPartOut(1) := SboxPartTemp(13) xor SboxPartTemp(14); SboxPartOut(2) := not SboxPartTemp(15); SboxPartOut(3) := SboxPartTemp(15) xor SboxPartTemp(16); SboxPartOut(4) := SboxPartTemp(17); end procedure doSboxPart; variable X2TempIn : std_logic_vector(63 downto 0); variable TempIn,TempOut : std_logic_vector(4 downto 0); begin -- Xor with round constants X2TempIn(3 downto 0) := X2In(3 downto 0) xor RoundNr; X2TempIn(7 downto 4) := X2In(7 downto 4) xnor RoundNr; X2TempIn(63 downto 8) := X2In(63 downto 8); -- Apply 5-bit Sbox 64 times for i in X0In'range loop TempIn(0) := X0In(i); TempIn(1) := X1In(i); TempIn(2) := X2TempIn(i); TempIn(3) := X3In(i); TempIn(4) := X4In(i); doSboxPart(TempIn,TempOut); X0Out(i) <= TempOut(0); X1Out(i) <= TempOut(1); X2Out(i) <= TempOut(2); X3Out(i) <= TempOut(3); X4Out(i) <= TempOut(4); end loop; end process Sbox; end architecture structural;
library ieee; use ieee.std_logic_1164.all; use work.my_lib.all; use ieee.std_logic_misc.all; use ieee.numeric_std.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity keyboard is port( clk: in std_logic; clr: in std_logic; PS2C: in std_logic; PS2D: in std_logic; keyval1: out std_logic_vector(7 downto 0); keyval2: out std_logic_vector(7 downto 0); keyval3: out std_logic_vector(7 downto 0) ); end keyboard; architecture Behavioral of keyboard is type state_type is (start, wait_clk_hi_1, wait_clk_lo_1, get_key_1, wait_clk_hi_2, wait_clk_lo_2, get_key_2, breakkey, wait_clk_hi_3, wait_clk_lo_3, get_key_3); signal state: state_type; signal PS2Cf, PS2Df: std_logic; signal PS2C_filter,PS2D_filter: std_logic_vector(7 downto 0); signal shift1,shift2,shift3: std_logic_vector(10 downto 0); signal keyval1s,keyval2s,keyval3s: std_logic_vector(7 downto 0); signal bit_count: std_logic_vector(3 downto 0); constant bit_count_max: std_logic_vector(3 downto 0) := "1011"; begin --filter the signal filterC: process(clk,clr) begin if clr = '1' then PS2C_filter <= (others=>'0'); PS2Cf <= '1'; else if (clk'event and clk ='1' ) then --shift down PS2C_filter(7) <= PS2C; PS2C_filter(6 downto 0) <= PS2C_filter(7 downto 1); if PS2C_filter = X"FF" then PS2Cf <= '1'; else if PS2C_filter = X"00" then PS2Cf <= '0'; end if; end if; end if; end if; end process filterC; --filter the signal filterD: process(clk,clr) begin if clr = '1' then PS2D_filter <= (others=>'0'); PS2Df <= '1'; else if (clk'event and clk ='1' ) then --shift down PS2D_filter(7) <= PS2D; PS2D_filter(6 downto 0) <= PS2D_filter(7 downto 1); if PS2D_filter = X"FF" then PS2Df <= '1'; else if PS2D_filter = X"00" then PS2Df <= '0'; end if; end if; end if; end if; end process filterD; --state machine skey: process(clk,clr) begin if(clr = '1') then state <= start; bit_count <= (others=>'0'); shift1 <= (others=>'0'); shift2 <= (others=>'0'); shift2 <= (others=>'0'); keyval1s <= (others=>'0'); keyval2s <= (others=>'0'); keyval3s <= (others=>'0'); else if (clk'event and clk = '1') then case state is when start => if PS2Df = '1' then state <=start; else state <= wait_clk_lo_1; end if; when wait_clk_lo_1 => if bit_count < bit_count_max then if PS2Cf = '1' then state <= wait_clk_lo_1; else state <= wait_clk_hi_1; shift1 <= PS2Df & shift1(10 downto 1); end if; else state <= get_key_1; end if; when wait_clk_hi_1 => if PS2Cf = '0' then state <= wait_clk_hi_1; else state <= wait_clk_lo_1; bit_count <= bit_count + 1; end if; when get_key_1 => keyval1s <= shift1(8 downto 1); bit_count <= (others=>'0'); state <= wait_clk_lo_2; ---- when wait_clk_lo_2 => if bit_count < bit_count_max then if PS2Cf = '1' then state <= wait_clk_lo_2; else state <= wait_clk_hi_2; shift2 <= PS2Df & shift2(10 downto 1); end if; else state <= get_key_2; end if; when wait_clk_hi_2 => if PS2Cf = '0' then state <= wait_clk_hi_2; else state <= wait_clk_lo_2; bit_count <= bit_count + 1; end if; when get_key_2 => keyval2s <= shift2(8 downto 1); bit_count <= (others=>'0'); state <= breakkey; when breakkey => if keyval2s = X"F0" then state <= wait_clk_lo_3; else if keyval1s = X"E0" then state <= wait_clk_lo_1; else state <= wait_clk_lo_2; end if; end if; when wait_clk_lo_3 => if bit_count < bit_count_max then if PS2Cf = '1' then state <= wait_clk_lo_3; else state <= wait_clk_hi_3; shift3 <= PS2Df & shift3(10 downto 1); end if; else state <= get_key_3; end if; when wait_clk_hi_3 => if PS2Cf = '0' then state <= wait_clk_hi_3; else state <= wait_clk_lo_3; bit_count <= bit_count +1; end if; when get_key_3 => keyval3s <= shift3(8 downto 1); bit_count <= (others=>'0'); state <= wait_clk_lo_1; end case; end if; end if; end process skey; keyval1 <= keyval1s; keyval2 <= keyval2s; keyval3 <= keyval3s; end Behavioral;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_mm2s_sm.vhd -- Description: This entity contains the MM2S DMA Controller State Machine -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_dma_v7_1_10; use axi_dma_v7_1_10.axi_dma_pkg.all; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.lib_pkg.clog2; ------------------------------------------------------------------------------- entity axi_dma_mm2s_sm is generic ( C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for MM2S Read Port C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14; -- Width of Buffer Length, Transferred Bytes, and BTT fields C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0; -- Include or Exclude Scatter Gather Descriptor Queuing -- 0 = Exclude SG Descriptor Queuing -- 1 = Include SG Descriptor Queuing C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1; -- Depth of DataMover command FIFO C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0 ); port ( m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Channel 1 Control and Status -- mm2s_run_stop : in std_logic ; -- mm2s_keyhole : in std_logic ; mm2s_ftch_idle : in std_logic ; -- mm2s_stop : in std_logic ; -- mm2s_cmnd_idle : out std_logic ; -- mm2s_sts_idle : out std_logic ; -- mm2s_desc_flush : out std_logic ; -- -- -- MM2S Descriptor Fetch Request (from mm2s_sm) -- desc_available : in std_logic ; -- desc_fetch_req : out std_logic ; -- desc_fetch_done : in std_logic ; -- desc_update_done : in std_logic ; -- updt_pending : in std_logic ; packet_in_progress : in std_logic ; -- -- -- DataMover Command -- mm2s_cmnd_wr : out std_logic ; -- mm2s_cmnd_data : out std_logic_vector -- ((C_M_AXI_MM2S_ADDR_WIDTH-32+64+CMD_BASE_WIDTH+46)-1 downto 0); -- mm2s_cmnd_pending : in std_logic ; -- -- -- Descriptor Fields -- mm2s_cache_info : in std_logic_vector (32-1 downto 0); -- mm2s_desc_baddress : in std_logic_vector -- (C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); -- mm2s_desc_blength : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_v : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_blength_s : in std_logic_vector -- (BUFFER_LENGTH_WIDTH-1 downto 0) ; -- mm2s_desc_eof : in std_logic ; -- mm2s_desc_sof : in std_logic -- ); end axi_dma_mm2s_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_mm2s_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant MM2S_CMD_TAG : std_logic_vector(2 downto 0) := (others => '0'); -- DataMover Command Destination Stream Offset constant MM2S_CMD_DSA : std_logic_vector(5 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant MM2S_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_MM2S_ADDR_WIDTH) := (others => '0'); -- Queued commands counter width constant COUNTER_WIDTH : integer := clog2(C_PRMY_CMDFIFO_DEPTH+1); -- Queued commands zero count constant ZERO_COUNT : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_MM2S_STATE_TYPE is ( IDLE, FETCH_DESCRIPTOR, -- EXECUTE_XFER, WAIT_STATUS ); signal mm2s_cs : SG_MM2S_STATE_TYPE; signal mm2s_ns : SG_MM2S_STATE_TYPE; -- State Machine Signals signal desc_fetch_req_cmb : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal mm2s_cmnd_wr_i : std_logic := '0'; signal cmnds_queued : std_logic_vector(COUNTER_WIDTH - 1 downto 0) := (others => '0'); signal cmnds_queued_shift : std_logic_vector(C_PRMY_CMDFIFO_DEPTH - 1 downto 0) := (others => '0'); signal count_incr : std_logic := '0'; signal count_decr : std_logic := '0'; signal mm2s_desc_flush_i : std_logic := '0'; signal queue_more : std_logic := '0'; signal burst_type : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin mm2s_cmnd_wr <= mm2s_cmnd_wr_i; mm2s_desc_flush <= mm2s_desc_flush_i; -- Flush any fetch descriptors if stopped due to errors or soft reset -- or if not in middle of packet and run/stop clears mm2s_desc_flush_i <= '1' when (mm2s_stop = '1') or (packet_in_progress = '0' and mm2s_run_stop = '0') else '0'; burst_type <= '1' and (not mm2s_keyhole); -- A 0 on mm2s_kyhole means increment type burst -- 1 means fixed burst ------------------------------------------------------------------------------- -- MM2S Transfer State Machine ------------------------------------------------------------------------------- MM2S_MACHINE : process(mm2s_cs, mm2s_run_stop, packet_in_progress, desc_available, updt_pending, -- desc_fetch_done, desc_update_done, mm2s_cmnd_pending, mm2s_stop, mm2s_desc_flush_i -- queue_more ) begin -- Default signal assignment desc_fetch_req_cmb <= '0'; write_cmnd_cmb <= '0'; mm2s_cmnd_idle <= '0'; mm2s_ns <= mm2s_cs; case mm2s_cs is ------------------------------------------------------------------- when IDLE => -- Running or Stopped but in middle of xfer and Descriptor -- data available, No errors logged, and Room to queue more -- commands, then fetch descriptor -- if (updt_pending = '1') then -- mm2s_ns <= IDLE; if( (mm2s_run_stop = '1' or packet_in_progress = '1') -- and desc_available = '1' and mm2s_stop = '0' and queue_more = '1' and updt_pending = '0') then and desc_available = '1' and mm2s_stop = '0' and updt_pending = '0') then if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- coverage off mm2s_ns <= WAIT_STATUS; write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '1'; end if; else mm2s_cmnd_idle <= '1'; write_cmnd_cmb <= '0'; end if; ------------------------------------------------------------------- when FETCH_DESCRIPTOR => -- error detected or run/stop cleared if(mm2s_desc_flush_i = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; -- descriptor fetch complete -- elsif(desc_fetch_done = '1')then -- desc_fetch_req_cmb <= '0'; -- mm2s_ns <= EXECUTE_XFER; elsif(mm2s_cmnd_pending = '0')then desc_fetch_req_cmb <= '0'; if (updt_pending = '0') then if(C_SG_INCLUDE_DESC_QUEUE = 1)then mm2s_ns <= IDLE; -- coverage off write_cmnd_cmb <= '1'; -- coverage on else mm2s_ns <= WAIT_STATUS; end if; end if; else mm2s_ns <= FETCH_DESCRIPTOR; desc_fetch_req_cmb <= '0'; end if; ------------------------------------------------------------------- -- when EXECUTE_XFER => -- -- error detected -- if(mm2s_stop = '1')then -- mm2s_ns <= IDLE; -- -- Write another command if there is not one already pending -- elsif(mm2s_cmnd_pending = '0')then -- if (updt_pending = '0') then -- write_cmnd_cmb <= '1'; -- end if; -- if(C_SG_INCLUDE_DESC_QUEUE = 1)then -- mm2s_ns <= IDLE; -- else -- mm2s_ns <= WAIT_STATUS; -- end if; -- else -- mm2s_ns <= EXECUTE_XFER; -- end if; -- ------------------------------------------------------------------- -- coverage off when WAIT_STATUS => -- wait until desc update complete or error occurs if(desc_update_done = '1' or mm2s_stop = '1')then mm2s_ns <= IDLE; else mm2s_ns <= WAIT_STATUS; end if; -- coverage on ------------------------------------------------------------------- -- coverage off when others => mm2s_ns <= IDLE; -- coverage on end case; end process MM2S_MACHINE; ------------------------------------------------------------------------------- -- register state machine states ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cs <= IDLE; else mm2s_cs <= mm2s_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- register state machine signals ------------------------------------------------------------------------------- --SM_SIG_REGISTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- desc_fetch_req <= '0' ; -- else -- if (C_SG_INCLUDE_DESC_QUEUE = 0) then -- desc_fetch_req <= '1'; --desc_fetch_req_cmb ; -- else -- desc_fetch_req <= desc_fetch_req_cmb ; -- end if; -- end if; -- end if; -- end process SM_SIG_REGISTER; desc_fetch_req <= '1' when (C_SG_INCLUDE_DESC_QUEUE = 0) else desc_fetch_req_cmb ; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- If Bytes To Transfer (BTT) width less than 23, need to add pad GEN_CMD_BTT_LESS_23 : if C_SG_LENGTH_WIDTH < 23 generate constant PAD_VALUE : std_logic_vector(22 - C_SG_LENGTH_WIDTH downto 0) := (others => '0'); begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 -- & PAD_VALUE -- & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole operation'1' -- mm2s_desc_type IR#545697 & PAD_VALUE & mm2s_desc_blength(C_SG_LENGTH_WIDTH-1 downto 0); end generate GEN_CMD_BTT_LESS_23; -- If Bytes To Transfer (BTT) width equal 23, no required pad GEN_CMD_BTT_EQL_23 : if C_SG_LENGTH_WIDTH = 23 generate begin -- When command by sm, drive command to mm2s_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then mm2s_cmnd_wr_i <= '0'; -- mm2s_cmnd_data <= (others => '0'); -- Fetch SM issued a command write -- -- Note: change to mode where EOF generates IOC interrupt as -- opposed to a IOC bit in the descriptor negated need for an -- EOF and IOC tag. Given time, these two bits could be combined -- into 1. Associated logic in SG engine would also need to be -- modified as well as in mm2s_sg_if. elsif(write_cmnd_cmb = '1')then mm2s_cmnd_wr_i <= '1'; -- mm2s_cmnd_data <= mm2s_cache_info -- & mm2s_desc_blength_v -- & mm2s_desc_blength_s -- & MM2S_CMD_RSVD -- -- Command Tag -- & '0' -- & '0' -- & mm2s_desc_eof -- Cat. EOF to CMD Tag -- & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- -- Command -- & mm2s_desc_baddress -- & mm2s_desc_sof -- & mm2s_desc_eof -- & MM2S_CMD_DSA -- & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 -- & mm2s_desc_blength; else mm2s_cmnd_wr_i <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; mm2s_cmnd_data <= mm2s_cache_info & mm2s_desc_blength_v & mm2s_desc_blength_s & MM2S_CMD_RSVD -- Command Tag & '0' & '0' & mm2s_desc_eof -- Cat. EOF to CMD Tag & mm2s_desc_eof -- Cat. IOC to CMD Tag (ioc changed to EOF) -- Command & mm2s_desc_baddress & mm2s_desc_sof & mm2s_desc_eof & MM2S_CMD_DSA & burst_type -- key Hole Operation'1' -- mm2s_desc_type IR#545697 & mm2s_desc_blength; end generate GEN_CMD_BTT_EQL_23; ------------------------------------------------------------------------------- -- Counter for keepting track of pending commands/status in primary datamover -- Use this to determine if primary datamover for mm2s is Idle. ------------------------------------------------------------------------------- -- increment with each command written count_incr <= '1' when mm2s_cmnd_wr_i = '1' and desc_update_done = '0' else '0'; -- decrement with each status received count_decr <= '1' when mm2s_cmnd_wr_i = '0' and desc_update_done = '1' else '0'; -- count number of queued commands to keep track of what datamover is still -- working on --CMD2STS_COUNTER : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then -- cmnds_queued <= (others => '0'); -- elsif(count_incr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) + 1); -- elsif(count_decr = '1')then -- cmnds_queued <= std_logic_vector(unsigned(cmnds_queued(COUNTER_WIDTH - 1 downto 0)) - 1); -- end if; -- end if; -- end process CMD2STS_COUNTER; QUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 1 generate begin CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift <= (others => '0'); elsif(count_incr = '1')then cmnds_queued_shift <= cmnds_queued_shift (2 downto 0) & '1'; elsif(count_decr = '1')then cmnds_queued_shift <= '0' & cmnds_queued_shift (3 downto 1); end if; end if; end process CMD2STS_COUNTER1; end generate QUEUE_COUNT; NOQUEUE_COUNT : if C_SG_INCLUDE_DESC_QUEUE = 0 generate begin -- coverage off CMD2STS_COUNTER1 : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or mm2s_stop = '1')then cmnds_queued_shift(0) <= '0'; elsif(count_incr = '1')then cmnds_queued_shift (0) <= '1'; elsif(count_decr = '1')then cmnds_queued_shift (0) <= '0'; end if; end if; end process CMD2STS_COUNTER1; end generate NOQUEUE_COUNT; -- coverage on -- Indicate status is idle when no cmnd/sts queued --mm2s_sts_idle <= '1' when cmnds_queued_shift = "0000" -- else '0'; mm2s_sts_idle <= not cmnds_queued_shift (0); ------------------------------------------------------------------------------- -- Queue only the amount of commands that can be queued on descriptor update -- else lock up can occur. Note datamover command fifo depth is set to number -- of descriptors to queue. ------------------------------------------------------------------------------- --QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- if(m_axi_sg_aresetn = '0')then -- queue_more <= '0'; -- elsif(cmnds_queued < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; -- else -- queue_more <= '0'; -- end if; -- end if; -- end process QUEUE_MORE_PROCESS; QUEUE_MORE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then queue_more <= '0'; -- elsif(cmnds_queued_shift(3) /= '1') then -- < std_logic_vector(to_unsigned(C_PRMY_CMDFIFO_DEPTH,COUNTER_WIDTH)))then -- queue_more <= '1'; else queue_more <= not (cmnds_queued_shift(C_PRMY_CMDFIFO_DEPTH-1)); end if; end if; end process QUEUE_MORE_PROCESS; end implementation;
entity tb_ent is end tb_ent; library ieee; use ieee.std_logic_1164.all; architecture behav of tb_ent is signal s : std_ulogic; signal din : std_ulogic_vector(15 downto 0); signal dout : std_ulogic; begin dut: entity work.ent port map (s, din, dout); process begin s <= '1'; din <= x"00_00"; wait for 1 ns; assert dout = '0' severity failure; din <= x"04_00"; wait for 1 ns; assert dout = '1' severity failure; din <= x"10_40"; wait for 1 ns; assert dout = '0' severity failure; din <= x"80_01"; wait for 1 ns; assert dout = '0' severity failure; din <= x"80_00"; wait for 1 ns; assert dout = '1' severity failure; s <= '0'; din <= x"80_00"; wait for 1 ns; assert dout = '0' severity failure; wait; end process; end behav;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iQ2w2kV+K5Rt45Cn/JQIUWXwezr7QaqOLgnFeaVbvsSkYTKy2Ui7jq86b703ibg5T5SoIWRvayvq OwEuAUqLVA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A4R9Tcy4ylvYt6JCfD0PSilEwcnULx2XP/1AyO2RhPN+RIx3zbYd5tKviuW4uZBrvCpWGS6PRl8f 7OkgSehIjZuw1Ae/xz4MmBBRq4WLSYGyBNYmvoKhf+C96JJpxof3OvmrDolwdsqfp6F9Qx8olik9 eJMR03lcQDs7gwenVBA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HYhnOEeC7bQAmdbJ/J91pdZUIxbCxI9d4PcLZzvzffdsZ/jKY76/lSxOW4e1FPhX8RgUq2HGnowP wf0JnflFXsbCbsVhJQu8GiTdaY4diJtD/Aqkl5FogcTF7D0/OsJYqpa/4fDVgjLGID2YYjigZqb/ Pqa4srR3xV0phNpqdkAO+JH6KxRP4DTBLWSyn2SQQZktQfrHqFdYrNsWsnV7sVkScaXQGq0gV2kx OnBIwb7UNO27RZ6pcK62itiWjKl08WiclOnBGPajhaOq/TYVbh7CuKMuQiHJKue798o9tm6X5ysl zOM1KIOBcH66bezGYhP+0DyzuWHwHO6RbmvvSg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BeKmCXXNTZa5uIhddeX3d3KjCSCN+BLRtt/hZv8BZcfAUjtC16gqiPlPhh3OBQ7joHYH5ObD2Po5 TC3q/WUcbDMW1VJnsZ/7/nFJvPw79eNzVkDQCPV9eTuU0gALu7kmIVkJzcZ/n8ri2vwknZ65h5by ZrxuS82FQkeKBCc6qQ4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UCdrNlABg9gGB+DdZ1TPfLl2mmykEX++vC0OZIoyQB+/ESLGuXY4PiyZqKGNqyMkaKDACa9XJqny u1RBbNo9/UeOo50wpriM5wNY2e4HrdbM4t0pEMVTJq3P2Z0E0ndywlcB4KltrBWbLq1qfmZ+Xvqf OjtK4jEoIV9oK8atje8Z8kfmQ33H3Da8/1+r4pCY+FH2nFe+8fOCqN0BdhK+KPUPC4DGqm4zl/Bp l+aNMWMsxnlSS6dUmxgALIyZXd4zty3dKR7i7LOt6VzAk50QxxKOhVbwvZy93snsQD5aSSTZm//D Lxb7O++Lz81fnDjURwPxrr95bMavOEBgzNsp5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57856) `protect data_block eFpE3hGU/S3svAeCFws6W+7q71Gx+A0Gm4ehX5nYNFvLNJ0ppyH7z2aAIh1YoHDwNj65nb9DXCgX uIvbm+vvgpG/Lm8kQvcIuZgmPqtmAl4pjdc1XlbKxb18F0vVvTtKjZkt2ohVOWCT7Hp9cY8Wq7hy 80Y9l+7twtikv8rKcXPbFn+/WKgwwPR15iNTxWxqn8Zwn4pbs6adqfSCDRbKePyaX3daJFPqeccl cFTRX/DJOLydq80zrW2AlHG1aN7qSelq3tsb2mDt5Uyp7TwY8Tq6Thh71XeviPmeP00gEVRlMuRH V5MCP45ofXX6/UH+RP9mBgZlI0eQ9Dz8ivWAt69wJDZlTNQ84fUX6iXwkGbIsMmIBECFQ73d0HPZ L3Czgs1+lJEtWG2ALf1289+S4lK1KKKW933SxZ5T0ugDO3Y5ov/MMpEhQ/q4Byf+yQUCGVozq7CD 9EQbt0zZDnrLn5LCrhS6DDY7XHh4NfYM94U7hk5RzMFdBBiQ48WCm10eN56dDe3afqMNQ7sSCbgj PnOWM0t5JGjqsGtogqYSFr6P3xb/mV1uDsaPYaDiaZa0OPXpyPIzhpoxm7Le2LXC25nrK02hQ7C3 naMvui8kB6NWv5VrRiyk3gyqgyQFIx96xdsX9j6R3PpcbmySIRYO9fFwavY08akWZd8f6dZ+HTZk LTCJ81ov6yYRyhrZD6L+3bIMxVCef2tJ7WQbFiS5YoXGyxOwcF5CEHNtlKY3WtJb11rN+ycqkrb4 hHt5Pg2BmCmPgaCH10EDLbau2wzGBZIZp/2VhIUaCEV66F4DKeO8lCvq8Zs6Q2nin62gN/dLqajS 0EKfrsjR+nAEy/uWSJU2NNrkSalizasFpYeUolDxYthPchzNnxfVzfHR8l+K8hfo3yS13KkvLnmm rZwvDgmO8xexJAj2YndRMZLTIG99F+K0jFdxjkh/chxJ4EHwjXhvBaw1pk/O4djcUeSJ8iMTVEzh GILeSgt9rwl+e4siQ2bDUFEKoAOU+mqG/NfjUn2hvgXhGSu+qYy1c6dmKog2IYvBvSHxyiFiOql9 09fAhQiGnnJr/JwzQL60p1o+wXmLH+U5wL+QOQSWWbgnpcRMvzGV/STqAy7Ni5mBQ0wF9ud5afQ/ he/eAjWFzBbJWNZBQ7qa6hBN5AjYhE/7/O2Yy6k1c7RiEhjR/yt8WV1428wBJZH0vCsiygHQ7U7I FaTuFJ0oWvJSnDguyjkzIr4patOu9alrwp0L22OVXerMYh/IRbpAiXdbFtXxSQWYPPjIYwhHejFK YwuxY7XBWAoe+uqgIlBes1gVUVKfx/srXKzCCJw2sQS9JrJ1aE8xvWaEPVfk3kR+EMgVZuSKH3JM +jD//TSiJOB+KLhoVO9eKnuHfy0czCGXjNqesXgDWtRnGlFXHa4IrNCdJtmIb5ImCmu00c4JXimv DOdAZ/W5aP/82/68g+fkagqsNBGVsejBfdctfZxMwQHc4Chqo6Ide1WARVx78MRiOxrIeBcCOXuQ eVeeB6EqGkxtSoqTM2XkjZCD9QmBxYnij+3eQshc6o7GY3lwrHZ2jJinNA9uX4m7+NZoa5dMDH1G 48fhkL4swXL/KMFJhwCMa7NkZo10CTnPwSOk7+wd/2raLrFgDMkx9KzK3jx6syiuFWmmZ7jGNNyn eMFeV5uVCMbx1m0m57buXQ4dccnVriSskETqla7XJjY8XntTchxc0sjIxRi0qy/AuvuxMfblSZpa 7dYXoaSrJTuxLFHlLNXG3e2RgBGHe1WMc5kn0C6Uc1O1AO6+tg/Si49M5AD1zFYCpmASkNYABT1t TQTc3A9vxojsCcd+AY6PhXb+Mm+gKFfA0rc+GMiGD1TwjaiyzDKHE78tqL3InelyrEbUiHIJm2NF u8RUDv4zgODM/7sUAm+v6wlKw6+O2u4WyE+Di8cpD27gdnVnkyPNdsJvMosd61AbLjrMS9VRGdr9 GujejeVIxRE84NhJ3ffZDQJ+bqRYqz9YG0imm23hR2v3+ckzWqJ8xvKlcPJtavSfKX5auu6tl1zz WwQUo8a6pWHkET9gQFtrUocZL/0WSH5pnNe6HgPbtl/FCCLqikbW1q2x9bznTaKKDgbSe87Lw1ol AY0OInVG/mPzPw1Dd4mb4nA+GiIvD/J8D5Mq0MP7Nos6m5XIEgHaEPbWxkQWKGonZvDz+n6wP8T8 EsaUGhRuNboJ9RsBGUVK1ggLXhmaO4JMORJRYYqv/s6L8e60HKAhWYQdC6LwVbsFd4QWkR70lP/8 AV47opuVOF8PSOQfNxJ6d19eAXEt9PZBgLdL7Its8esKT5lkoofKfpjeA2iYUsf85u/22N3L6OU5 p4f8wIcaqXSb8thsG7LL0XGa1uqZ23Qc6LqRM7gHp+2TtXjs0kwGFtHBKukK1Z0kBHVmvgAOlt/j U6mp0Llr9uLaz8KOGvwVvwuyggbaTLgKBa80wNOAEDIJuglGTjRyKoomOm+75sNKlzh4PPUdylhC rMCJEUmgTazkSnr+Wx/ESa95lR/iJftPIQmWV1GvFE+IkKT8+SQQKkSatezTDyRJdZ9kBueeMHbP 5sWVrrA1G7apnabw377T7/HiBLIxvr7af+eqMKu7H/Omd+9ESzmOPTRWN6fSOQVeifNyUk9u5n7E SX5OObts+Ou2ME5evw2YTC9nB8C3MfmCixdFUO2cM1mev0pFI5I/ebPxC0Qy8DMtnak2b3mMQC43 SNT/UHBfXzGqdlf4uK9K96ZB9EjlVM9kmM3Tucr55Yk2ZSt6hG0HbZF2j+KEwUo4MKjNfQp1a/Hr rqqFkEsn8dX97IWM6fhZipn0zCC6zKO4olr/h1Nr1O4SSSFWJoWoZebGndGgG0Gafw186b3utB7m SVLT/QSOyw8NuP431/iTmMK2uENM+00yo47Dk0/jMjidLSOWg4kdkWwsvBeBt25cHhRs7p1GEkXh lnbw+pY8+ech1V4wdUGqsXPtStBRRmPs8fUvXi+IHbnFD1Pjuab2KF6GKxYadFQIRJI9FJ2wXdk1 n7zUeS/SBy3I6PcSItRhn+4V/bgc0p7Fhr07zGQswGae6qKIqqU+OSHnpKnw2rIstMtdKpd0nVUW tBPqQl3hna3tizMaLf0igOiUMn6I9LFrQU+WpsbqT9Oxaa970WOrAzwPXXhgo01HvZqavwI3OsFV Ujub8fhCLso7cPC/INTk4xYy61+FfLkpxd3oKoAbNBsooI/cAUiobhsQVdHP8QZUFP1IpbW897V4 Iwt4NkapnWgfCWkDtf6qVuIOUX6/6+wei0+rkqw6n5j5whd/tbgzAsNX9vcjzS113Ls0Jkcz4xLt um6eTXFvLOHmQlaChxzWtlakEAnHebG+Qld0opKEM50et+QFZFBXNTqEtBayHPCOBI/xiLH7YQlZ mD8eGgGWLYDuB2jS8hR6KbfVC882mPnawf1xkg2QFppMcmKDZ7w9hWJ/D4K79PY4pQsNMF3LNvej UIoCGNGxBfl6dwXFXER81pIsDWVPZnUCdjYvNCZ3WKaNovFCTyqAo2AZ7Ts53xUI7U9/b284qy96 6aiiv08t15n4km40iKXgGcum8QLqVkm8nBeblyrHovwG9IuT2ypmRSm5X5+Z5ZsDZ7zmrYq5SvtU GE6zqsE5nkI4xnJRQfGDiPORGKohEXddxk0tQ3DxFUNAu2pUTB1L9SUhuJm9vt6q9ataaoMjQ/Dd xNCR4QC6Tfu6Ne+7MgaNb/PXF8PHlFyT5rfrDV/sdof772x2+UctVpwBqwXwgsx+yHc8p9NNsiTL KcDSmKc0ELW4vx8AepZpuIK7y/wKFNKNrYQd6AJ+Vcy+WBLYXKcNw8x46HL8W2uJITJ15EsviHoh UkBGqQ/5vy6jqoLsCeB9R1hMpAPpk1c89ST8tp96cKryE3hwQHE7TQIZw8AwjFG/3RGWM/+4vuOa eP7iCnAAImXwFPfgu0J4QMbHW6g+WH+uisPB7jVxq2T+YiWrW6uupO6ZIG2t70CKQz7zWP4p0YJ7 +PcRpPaTzx5YxAEMS2oYAMab5cuChTpox1WMjkz6CVekHV1wpKdWRknDqGeF63dsy8lF5InDUG9G okHrqotVhgCVlx8rMRShut/wDIOCAe/GShtZF0f9SxyYl9O6EQ7+uLAi0D0yFpFFpsL/3VWi9p7B atn5IN4C3WdOGadyr5xUXyyIa/5FnrSBo9+ukRdri/hFMcYr3TpQDzgZHg0d6sMM5HK+nM+HIeL+ /FOeY5AOTonf5wTODn7cVb5oiDOdMOHD43jNB+kVZQYyVPzCZG3UeeOcoHI43p6aV8af2HPkZazc /JeCwKtb7sw6n/BXw9tvw9zHBYk7mjS36dRJLlRCsg8ZJKtbcMMuDxtPA9tetKMNcTWJUJhJqeY0 RppE9lKiSJFqk4s16wUmDW9tOxIeq5dL3ItX2FDPuMheM2/68uOt8vMRwzS/y22lV3D9A5326SM5 LTD07UcKJFH2yNVc36r85clWpB5qZ7IGDY0Hjqdpbp/yT2STht8/4wvADuNOxcxtASxcWT01dApa S8JH2DcKxSHww65xGKfn27kJZfes0L1wsnEDOZ7xzyaomNsu4frJk5XuAIp5A7db+MchfA4jD7fT JmznQJwCpWifpzBpWUkqxV1dnv2s0DisFdVOg7pbdc65EOn+jhcIgpjA8IOhFaTXMiXnS5+nftl9 TkSs+VoYWGozaPJ7RDE7j02Dij1v1+zhrPc6aTM7zJNHE8B+B0yhAMOyqPU476tZzKAAVHVuCZSP RNcBOgKquMUNfOq8Ccu/TRu4zMQqYxZtT+bmOYudNfCZ6PwSKlxqdY3ngepfWP5NSSA+buo8Fx4+ VsL6tU1N2zFLBcidVu6mzN887RvQq5ow04QkE6lKvaGGE7sw4mv/drjUc9aojA/SpoUYUVsrXN2j ED3W4Cr8B848fWcGNr+9KbA3ysHzml8eNKoIxo6n8Z6WGGnWvvlx2CTcWUOnfaBYJ380OYZ2mW+8 KKfIP4omEAYChtjB/hKG7QFyAFbe3t0jEoHL0dzNYUBAZPwC7lR9s9RGaSy7zZWtC989QlAd6r0n Tlv/NQiUUdnQOPnJdbwFTQGGmFWgUCjSSqntrdfY5yrMUfvokt0vJnYJOUFyQFuVdaKoyKlDzOod hPz0KvY3kyjJyGYxltig8DnmCNHDdragtM+tua641B0k8/dMvXXn1Wy0TWOqgVsMFS4H+yOGI/q7 ZGMKz/w0UTjLKEvieY2SpMxQUXV2R4MHk+7khCTUNTOCDd9EuJvQydvnXUsS/y2LZYd3n56EDsdP HqTSQzZ2EvwOhQy5n5qMBoobLaInvg/YyiKNzcTBG4g7luccozm9giOb271pEcf5uaeMCP9XPyXR JAWIhvGGVhZMsiwEZ0ze9P+liSTJfP4nH2xnSHpvQ87zZXovvoM3A1a1bkHzdcjPRV5OiBWFnmoT kf2D/i7Gqw0RdH/ee9IFxnk+7m2pmxE3OozqeawBJeXQywm/jx2zJKK8i20fOUggO9muh8LTV5HB nTJG0FAD6SVlO2wuxUAqiFFU2SKovXFLaSnbzFHEfCkXnM9Zduj70Tj2KpE+xn4lxKkSt97bZMgF Yr6WWU8bwaudTk7FaP4YBgHeSzzfDb0PxSNDB2S3Jth6JpmdLd6mHy6vSaVOYE1FaShlxpSTeDZc u52c411JAvwJjsQTTST6BPx1VnBG5oL6dlJWLYk/XrChE/l78ERzLNwMaqM8qsi/0+nyRk4JKpsx flwGL0Sn82hIRFJWz8KSFRw/E+SbRhvOsMMtK24ZkE9rnuaFoPN5NeUejWV5UC42Njir9whDQLNF NtVnPcRygHHSzz3OeU1JOodY5HzN/K+ZMlqAfQidzqaCwVcfNdSpaZWMTpF0e9UOGpKA8J2A/hH3 vxkRxr/ssn+pdkJQN/kuMN98uMGm56sf6B/0e7DAlyub6uO7Fr93LWG+u0AXqM0dJbIAYd+1SGSV bgz8qssW9cDYFNK88UvhigD0j43WHjf9x6VeATcV3vZBhEErViKurl3NsSRCHbHk8lrA40CUW3Mv eQ8bgyntTHzpN2CLGDvN0UMR/pLeh4n0wfXBoQd0D0X9U0ko7oezE/M2UzCmxrTcc3gCJwI8N935 arpPIbnSDI4N5rWYnn9R1d9WDqrokVo18EoIXUXwqWRmvkoA0b5/bU7TeqvD8xCQJnjzFLZxd2IJ lShXvAevI80YH13T1dMJIPH8+vmPZW7Rk9ssZKqphaiGcK18H242BF9Ek15fGlKAz5Wy+WymQgSd qbE4A0uFU8iobnkdX1lzmM0W8oss8B7cfyOoqrSCYjiI0DrSPnMcIEZUrJe/Sc+qUHo31pYpMXtR GtKGNkwsCaiqz0TIXH7bwOnBqW3RdMP6ctV7eniTxu5zJ5G2Hcw35ojKxfBKgJh6Q4lmD9C9QUKu 2x/ZHnIVM32y0WkukQ3A9smYXbXjwjTf2q0Gce0wSQiEck3rMCP+LVM8QfF4wTT1AK1qzNpEYfaF T9FTZTSgQ8Wr721Hqv8kVjwKDfpAq6skJ6zsWnzEXS8K5Y8/VFGBChzNnyIavwlV1vvfE8ERmeG+ UAzkyASTASvtBW+kyJhhRQEPQTdhaGRZX4OY8J3sxayGTgNi8oV0ErriUjtXBq6GI8lVJjqM0pw8 U42tCTVbibE9NTPsE4xHXnf7tAlez3Ozrbh6sOt4mPE4IGNDotE6g3Io54WkcqVkwvMwhPRfzddy CNV+nzyHMqVKklRYYLzmeDcZWJHrTM3hX2XdMsWUbcYk4U2iFgMqUmiNCwoVbleCJoTVRix9kiZk nZ+mDc9vAUZpEGDMoN94UghgaZW1qjQUKkgABSXfP0VETBUcgJS24NLCcifaW5fwAoShI2sOJf1A Y3fvuyKsH5d/R7HdRGQ2Yy9Bx541+KxwnyJDzlExJ9RrmdVq9Lpw6oqtj59hBX+zO7tsFnG2mheL Sw5Gacp2FwLRvF2BUZ1lXHfxelXlhxhHYUbh+5ISe/eg1IGMAJhhUY6BsfKzVCCTcpGWLpI79Eu3 YkidlTTeo7j7Qq1K1ZocWaalDO0Nr3sFWe/PwKvU3hf7fhRqVTRuibTX1pyXA4UsGCrDurye28CH yGF1eDa10TBEdWIKqtgPtQbKbbPOQh/Fz3DunvwdQjcduuVOHHwJ2G/Llqrmf6z3k831hk4wnMKs gkBmcBFJPmcsQk/FpCSJcBnLeFELfFSV0oCs62AG0zColLkwUIkxSdcJeRGjlcML71W55lsr4UTI QsEYi8bZYakxjpXulvf5BOnHx0bmNLRpJJl0fd3p/4nG/jptaKExKFWes+HGEIiONwgO0pv8aTIe l1naCquW6Ljd5xIRJJfErS/8+O+6nkhEkEj+fXylG9vcRCnRRdcGcQv1E67l204ctm0TNF8rE75q pa8GK17mLOGcfB1345ErcXuTCSwZkvB4Xw0uZleyYUYB1tzesKntNTuujS1J8LQ7wUrCByihxjoj htcrDY1OdlWI05Qxc6YEFf1ZbhkCbcSqv5aevGPJTg6Rn/0YRa5E3QosGT48VjgsfsacdaGhmWmv +WdCGNPS6Mb95Ymdzqq6jxRpUev9abcZC7jDY14sSEdJTVhrtFM0mJKV1qOcsZbXSaGEamY3X9KP LQ5BmnxNcuAxwhazV5wiULJVQm8XyfBOQU0zCosrb+zT2c7R1pNudFXCn3/T93J5OsacG8+9P96l cWvuEx+K379bWT08vvqtxoDwYLZKxxfTKpFC7RhdhwTz7YeI+E5Bl6k7QRD5624MQ7fa6I8bCaBB Cns3zyxxmWzrKPdXgud0asVTouf877V5IKeya4AcYXKbiOvkcH1v3aO8otKQSozhjAWXThh6joM8 cM2uAjZ8BSNXlBwIT1GeqP8lxTM+mybSP5YAnsAbEiLopP8e74cIY/FIJvyelQRoVJ6fzOl1EI+s igu8/DF+4O0QYZR9grXQSTewfy9LuwiiisM94jzafJgCkFTZcBdBOTx5bkIYTpwP8DipY/lU0TO/ Ln0E/YWZnRuzhfsyxxWEU61RZRCf/IuhrCIOD5MEAIG2veSNeAqg5mCIjK2sP+fTYMTEeSaQ98p6 znyQ8wVzzuH6NBkoayK1Rz8BlC18z+1jImjkf80UWEDvnpDYmft/clPWfTmrhjoHGo/QK42IOXA+ 56RKrkCdjMmTuCPTpSX7QZEyHkYKuVri0UctexHYz5GNh2RbVJUpqAbjG5MFUV3gOkI9ehsTUloP CTFuoaTAXHE0+kDfSFsRULeGpgVVvjiJ4qexSPbD2Nz6vGJQNsm1Q3BAzpDC4ENny/dE+tNp9+qA FhkC6womcoN0l6RNZlwHzwPYaLiY/xUAfAx+JgoZ7wEjoH8qB0qVO9PVZJP5irbbd3lLL/KotJG9 DTjyWh13ZLZpbeJ5xP/tEzryA1JH9HD51Mx5AySIystxl6AeX/xNBvBCvBLDfd58wnrBJP4shTA4 nK8kGPWUIde8Jhyj7sIr7Hm2VNCvIfINxVvvQSm1eo5a5hEPthrDqAsYcjgptjuaJkn1441KAjXg /veyzFxo5XTyONoTZIgkSNOP9UcfKFjUe3BXA6Opv7TYrNFgbJztJkYCzpkalxFiFBx5VVzPc3Xg pVfY0sOVAIV7jgqJMnO/ov3zkDyFs5dv2W2hNqoG6Z2ocwQ+oAVcfhUmiVUYRj9MnLEE8/DMIY/m lIEjHhIO63Vmlf4ZYq3BMJMy2T0BqNebdYopjVg73JvqbIOgmweLPvb7WA1VIrUDxucKw0A8r2ml zcXiOCFV26Z42rCm4Rxpsa+/URTZLPXAf5AyyIkJTr4u5Yul73e1ssjnp0o0RReuFdpctrySD2GL NdpzZEbGj05cinCDsNKW1wr2CR5lkZwB9MIi199oaDNFwC2bl6Oy1I5ks2qL2VtYHR9cRdMdYALT 99Yv+qOHGYzAsgdPvXA+X/WhwjoamdcrmR437HO/Ze75j/JPbHsCxPvQKcmHvo2lfeAxQ+wY7PA1 yaR4JVWfhG5kwnRM83DsRHO2elEv+S6n1Zy4Ab19sJKFZzFEBkd+gcj4uyZ8TOzAnM8NYJUwWh9w bRB8rN2/1N+t0iCvR5dHLRHzFMnJa32BZq0Hxo3Ub9G2C1fQZMe2cvKlQqhEN7jZR53lpxQn4v3w vOw0c6uHJtgg901VFyixuH18M1zxrW+EgswmTxQKKZjiIVwVYwfgOYnv+861bXIIb8Uw0lxRbL6x v8ayquSSQM23aEP7D/1tE+JX3fg04+H8Xmi3F1+e0+ylQrP0lXyxolp9pqpFgCOHJDDXOajpazNl IHJgh76tKRXmwxxleI3gsmf7CdJc8xGd7FCJVOwsiY7C0KPhI3h9HB5W6/hasNvtz8pvGVT2Y+kZ LepYob+gA8BG0O1Cr6Qq4ucEihkZlMZgJhdu1MdJRvS66NQSnHFNCVtTZdxwyNX9E1+0XYGKyGFi vl0SGKXlvsMyG2H/pEIM5XF28s3pz2HTS2oqIysNEL19eGkbfeIPM9CVM0RYyFDpurzDRCwPomfn fhxdHIIfyUdwwqV/iTodbH3VtDkXjfdfK7c4Is4cRJDv9luqfWIcHv9+Quqhu60JI5eBsLMZ5lZi C0qhMRUzVA3sco8W1JRRmPHIY1/tJZapALbpgDs5iIOAg71tuT3fyljVNzimqtQh6ZtjYSJTB6aY PVfjdBbe1bTzW+w3kL3UsJJLyZcemhdMc9R1Rd301xLekqOelK0Irf9B1D6+TN9iNplKcnq2D6e+ yr7QH7Tc8Ajq9jmDn/g8lZgzb2RbQm4DUz8vpyrRiGEEa/4CBQqWaAezk4G9IvzGGzX45JGQ9htx RlLvITqDXC+Ms0vfmqrivifVKYoKFbiYmoOgvDP3kvqfncLx0WCQwhas9MBhRl+mIuIsrcNY4E3F ASsXb/r6zaCJkdBiJgpXXVRrGxWpPGIZ4IZcvgk04Gb6QCDF+ByAqp6SLdqJClilhcFEG2pcFaoZ pDxaB2D1qzcOqBKHcgMvWN/5yD5VtPDdrj+7v0r0iG08VKNfVCVExZqWci8umQ7Yb51kaysRHEbD Rra31tAYITvQiHLm6c6ZWX5c3S1V9CqOamZwKqwZilFvodXwUo27MRo7VDY081hG5Stqrk7QoL4H eTzEbYf32idOQJoyNA093Qu3bEZlsIwyDgODFZEOCBSubP1FJHQ5C8NlAbY2I9GM0JtX5Utovi6a /YdkleLBiAse1CLEyjcg4v0pNQZKNoWAAlrLL+LVptTRzjoKmccrOjV1If10RkGqyd2Ftz7s88IL 2nh4pRcL4CfLZzBSJIeX/DVy9ik0zFVBUh/X1Be8/Y1MFuRmBXETVMEX5gkvrM/bvt9ZYYZrXqa4 DdZCh7zEDcclCEIrvzhMP+2pTSLeUu6wBrOSkmDnPoRxhSTn+UG7mO30mex0ciuT4yCRdWEE7MFF WqG9YGUBSvAqev5Rs0y0Cq0FhhoTyxMdhuPZetEbaE81xzuwlF3YlOzAO27wvIwgwwCACllLtFkZ sTpByWVGSep2lwePRgQPBt6S3amDhb32muQ5zkszsc2GeEAnfeCRP0wSZPCQxJ9ou5y2DEQHeLFE 65F/m4dm147KJm/SH3kxd9SpPHn30gvGfvOYDPITYm0AFXeinVRKiMN+jpurcX62ee5IjHVcSTg7 iWK6xnLksQ/dnI1p65VbIFcTiNg4B3C5vZE8PefjBTECmcOlg8Sd4jeS9GxoQlDExguNV9mVdTvA PsGf2NCxggOO4HX03Qyj8rDz0Yyc82irpakmk9l9kMIT6D4Zw9wBOWMUjvxnz8Y+mCIH9/1a6rgE LW3QfL824D8oG/aM7G5BGASQ2ivkQcj1g+itKmIy7FXNai3ymE8AuCiJpQTlM/6Cke17xy0l1/QQ vkBHJsLIlh7vGh2sh6LqrFkt+/GANSDADmxGiUnNznMShBPVH02nC464bpF4XHKuDuBqwXPNRzn6 4nBgqFEPu3xwiyx6FmOUiAwlTouh6QtFl2WK39fMVfTzhAUDZHpCT5aYuWQAr/X8hStTRcftAlDj c2CdD/iunFZqwccoGYLKPlaQzD/eSMr7+kY+EE8jutB0K58wtSzQ7jtFG60qAttqFFK+Yfu88okb vUEQPNRtceOGKda+qvvtK/knGgDGFHAC7ns/jFgooJT6lzNwHEW12OOS8199UKwTNNXlXCgt1Cy7 fOT3lf4W7lXlBejHSxMf+Zd8Z2naNvxz2yIijXzJoiNxm6Fn/SJ9hLCJtglhgXEvaogMkgg8wK1a dTlRHiquvuH6Q70Z+ieZ3CSyVN0WNso8ek7U6A8WEQ6X+wcei+RvZnfGaf77yIlJz415jfJnWOIT u5LQy/ydy2k+0pLuIGnFdIhDivjKWtJz3nsWppAD7Ydfc9s0850EZAJ7uUoXwAtY3BE4LZamD6Ng KYI8SM0xqT0zpZ18j56uUUIH696GuZT85w9EtPJyynXrmS5cbjZZ88x/jWSRbAlWUpu/K2OoTqDF RvDlj1oJfMNQL1QCxy6zLmORn8Lh/bYZph7hRs7JV7iYqF9jrIevrSmxWqJ1I5V6veTtZSoaYoa8 05cYheVK/w7kz6ulzoipLzBXeaeEzH0oxsW3X2x9UjSQ0r2uu15qDfHtJ7f1ekG0bN/1BsAyCOv1 xHUHJgG875BjRtME980RefKuWZjYQiwFzyFJk8b01sZo84HLzLb3q528K2OQws/tedtpqezE9ooX iTiacjylrFFyjDt02I4PwlfRpiqEPDzBezqmX2wxrZIfoZTH0ZvuDaDFLFdZsg0/92PeCW5/xtoZ xc+gsH/9mSrQRPCQVmcxwnNKmy/H/p55/CO+O+7Ryw6OqJGuXltsjMX+/w7vjZpS6FsrzlUo4ehW yX0lEGWGysSXo72uZhhyKMKaByM8fmMx6RXPDxNw60/JQsEaZE62x8VWZaTy8SxIqu3CdR29CBNO q0bIeyzZ8gwTcBEFJJvpbkTn2oaR0IGLfFjBKKXndWCC1GSLhvu6CiEReb2NAjpVlrEidGRnIAHF s88DjZJVbeiWozZGg2q3EWNOEPz8D/ZGCllvPijEIl82lcIMrTQYeTBKkpxohRDN1b0JO9f9m/SM Xms1Ld+CU/H0JZ77mrAM0qspMNgioz7uGFMPXaMsoFvOfdwZQDE2w7GpHvaPAfacGP4fMiL+p7TH qx/d6FSaQxSPaRLHoRggRP2Kbg5bC70j/+54eweOPehiqmozI3GIvsIQoVQkC6MLaxomFDnAGtGw 9N7CwBG4YUrmk4wCZGsMBRqk5DBrIbUWoSfWJwCUQIGoIeb23CoMa347PgMSqJfgv06yAx4cFZsC BYy9LI6QJUmFdyiEFj1nxM1nFXCRr9xPrdSm9iBEq8Vtjpac48B6k1+7CXI5XnvsczmOwUSdYTaD hdbXBekNk/gptms1U+2QsJrCUh8xCvY1E/jrcCRO02sbKIlXp5joujx4ZGtd+UMbLXqtWUtowcuz iGP2w3JDia3BovEerTGV8hHBCBxsMBVQMXjVOCCFl+xAgGtrzDJpFKnQGeCNnZHXa8Aopt7HExjp 17DqZR2v/TjV9n9111SCLuRZ2Lu8bKafqazBuWKtDmULX1C3lQ/tthu8uCnnAmU31Lq1R/lvV7rn SjUPeTXnX8kY0F+RZ1fcCyQ+lgZHExsY+3Mimxb2yOEvkxRKPNzUR6rE8oS2UKBY0DuV93eWnehE GDnRpR8hxsetJCx1qp1XdJbiCHE/Q5qojWa0ViBkLGj/j7vNUbZrC8aVchubsJg/cRotljJpmlFC s5caFOQumUWhWLP17LUz/if0l6GHURq00UKtzBId+0AqiE9R1+RDagAzjB775YoyVweFREHIzsQi Pf0SEIbsQ2yNgzRFuVXmufmK6xtV//CPGm5NAV19TodeRiRYUr5DHPLV2sZWTRbtx21JF/g3curS zd9tnwa9FHJ27sVQJE70F0tE+26yumKYgu+ygzbgNiY7xffw+MNaXVggE0w4WQhFuYoJNrgsasy5 gWfiiLur7HK01kqSj3HTzR5VVVxHNgmL30ET6blD0+JZgK0kMESyybZmblAygylNRZTPnoUNJ3Cd OvaQNQLvnZMR4l41GfiuM8Aad6M7H6RMvqttiVkLHs8hWxEoxlyQ+0cb1P5NJLdxp/SD9qpfBeoC gJNOivwbew8K7zw0/lO03nNEgYiMif2e7SK6Mf70z9aRd3N5PnDjVSQQYX1kHffZri2l4EnZnPoB LCO3EtnInNp468sr/LFEIDo3nHVSX/PvRbcKRKJguEZgxmUYvU4nWV9Mvh/qFAQTlQM/GcG2sOml 4xzZGwxPZ0Ksymlh6oe1KH2ZXDfFk2uaI8ID4V0XWQjCosOtExNWUIFM58+CXpw5RLBEzEyUfUJh w094jm1feeuTe7UfrNRlnrn271RkbNpk+9MFqVLoOUn4ZqYUURtO8D1bgYbuWKs4d+JOVIHcoVeg 9S1VDgADXxFdnaB+o1dtmipxHSPWw27b4CEMS3glSInw89h1KkZ1WUqjild5M673GXRDgHaO0oru ti5kZ6G8S59M+sFS8cOun/f+MHB4jGeB/VuFnvlZnGvaGCSYBqgg7nxjq5fEVLIifuVk1ynJVmXL oW7HHDeP95ZFQX5nae8mK+scM2GnL5OzrrwRmAAYz/kc/a6f1KGioxhT2K3WYWYDuoDJBIONCXJ6 8YaiH4zny6DdxMHas/ixUC6q8PHHgH0xyG1fyPyd7lgInzmSwN6FkzlIkfrTc8sXfG+JgEhW7oGN uWh+qfPjIUcaVr1DhilJOsDjSASmcvkvOrhc+/QmSBOMsHOelfGXv07c+D/ob3fvQwU/CEyWBVEI V1PkshSByMbrlDRogiBSSnOfuInq0U09gFYLyEzvgXU1/puHS9g4FQcobKJyy7qi9TIRARfeyY2M Oz5sl8j+w/fErXcbEsZ1fKQb9BJChuF4s83dbWvLi9bFb8S4CeOa9UGKh1bnfPmO5mUZ099JFg1/ dKhl1IXw90iSV2KtpjGAP9jHa+9ceSpbpx5xUm5rOi2mzKUArgjMMju7zOLrlRSKKLGAONsY2CrA sCBvkLkD61jhUMf61+vmFOe7R8FqnhGKbo/uoZFEOn3stt4wBOgRZS2BRp9Lc/QPk5yXmsCrjkJN 3K0RuDILGWpXVIUcFRqse2itxOw0D2KQczTL3vV2T9wIkGRgvY5St2fYnVow5EdHPEN3t1Jjq0i6 y8kZ5JuDQnrXChaU/z2crTDRzD4qkKDg/7Pp+gP7Uhr8nueWFEukLAiFNdNimyMoEaLUP0x8ozcm ZiFFVuoUWFOoCeo8sG82+ZvYSPJG+BLUbgISslKzYfFO0+VgruA6dGaEOkiu+Fv21TUohkGZhHIZ 7hHBhEeTJZHO6iKpxt7Ybc1YVv31noelKDMHkfAJqYEQhVJVpG3zs6lOrMOIABWqbrAMwnMGAPTA wprXGnAw7+PdYFkl2OLn3CEf0WRG4Y+1bJg+c3tb4cF8Xj6YLh2Dce9Vr69jW0+hUEngApLGUbz3 /r14/g5nooeEWAn0jhIzXgBl6Ys0nrQr2pp5GIMj7NpAWV551DDm6k3rsdZV9Dtm2NEQO8ZRaUoJ 2LAGWW0wW7TQDpE+Wh70UQ6xM18rXnyo5/H5sf0ewMghBs7xG7gc14pcKlwipxpI4qjCdw4FHa9P jsxrArG2KAInl/n0v0A5PrwAK5MO0IDXSWn2j8W8JzHzrKqGTKsyDFy1vPvncqF/FntOvHExM1mq SA7NmrHDt37ltX0/ZOn2KF/4YdXqxEFV6peUX+3IZWfqe1xNMbY4QkJEfjo1CYd0TeouzcjqS450 JBqIHtwdszzGkqFFQIPqy6xQYXuDcbpjbP+STGvYuhGFX9rLmU+BO5LJa/LlfETcONx4+q9FPDVz lqpzAHAV0ccV1u6ZfcAsmP+vCvE9FJVTLCRYlzTxyiE2aCBzKFN6rYGjvLYKcjJQJYWijHGyyOz3 C92Usx/Ms7Z4l5SOFULQ4VPWw93/RQJnt2BxxwpfnTX7H5z9x5TEk7ROyWKZQ2nSojajbNwTYCxA 3M7tkMylqD9TrSWq+ltsUDlZQadH7sPLJI5cBXeWzVWo+bmiANfq59PpVMc469mEqx4BzqE0FEzR TKOGH/qVLE2ymtu/kLp4cf/TN8PFc+D7Qj7ZQ07nOMVdaSgwXYzSyUAR2xq4UntTmNx5AijvFE1y XQ9WPMgTQZlPce7SB9h+JwWjdrJMQoXNbpdrHV3Hbr7P1Od2MJ4hu5dSVf20+lcfSOmEzxRJ/9QB qmjBEu5Jfd3KOtwmWE1ROtrnHHp8JEIm+6hh82Py2bV4wANvlM5wc2MIshK6Vlti94SbUs2qYGFg jI/VXTlpAsfn9If6I+/d2/gjbwI2b4U2E1sl4LqmsHlj8eiQFXV06L+oKyQ2jOMgqVv9OJpea7TA BGo0DjqZ2RZ9VFehnggSScpe3GkkCk7YEcGQliidALbAFaDAN/SX6xzwTXsF/AIut6++M3XmAMoH iRPjzBL8KxW7fXQyQYjgdEen1pyhpPI2+PFWXxoXJSQ1X5EJbFC3bm6bhS8L4R1NJ5xbBOa7TAGu JvKyTVrK86ih9zG+PQcjjCEB6oO29wAt7mqolk5keV7bOrxJY/DlQfNFZmYbO7Ch0UOlfBTBUZqY TGnXgiutOadNfAcdbRnN6C8vGQlPXFhR3d1O43FC29YZJrniqbX58sxxNl4tFRmCuOBevW3Yxx0C JSzYB67oIhsNcobu5FFgsKz89kcOpyIfltfQu1p9s6EG7JolyjLcUtxR21XPdaZSWJkBjf8XEVnb 6vpK/MrMWFI++/ZZOhxBW00Wd/4pyBfT2bj9KcM2tphvddU3gGBG8MP8HVUdW1G2q8DNjEzeKGsH iyT/DkcQQPBy09z8SYbcLCM6I5dtFZp1TCCxnHNLC7YaFcg2c4n/rQztkQZmu3iL+2Tplv4+CcdY vfVvEkavoaIFUbSsd174u4qcEfESnaN0M/qGzQ7E+ad/MOuZsgCa1Rk4/F9jknn9Cn8/QrsXwhEr 0+AEMgGzci/5tqXNIHXkbp5nDyqfoRRyK5iaoWgcybo8nuNdNWi6EsDmkYmjtR/WX2kyOvOflFWB SSVl1yzSPNPYLp7snRICDY68GDbTkVxIxeOZFhsW5EeXpgg/M6RK6VGt8GtnhanovEVXPP5WB5F4 vTOJAr8Eu9rpVLajHUesQ5xegm4HnsfP2Kg8U9VlE2hfqVPRksFK9+nck+YeiLB+r+50ObtSExdI Ed+4AZu/bKMveh2wjb50Wkza1gQzbetlmzlJaV3nw7XB5G3GW36WnDYIjlxIHdWfq7dLCKEgpvQ6 /+pP6LBSHZD87Zk6I3BVzPDjrEAaM3/IVm2sXGiQpwDh7RFh9vvp9wfHy3OCSJkvgwxOh5HPMKeW 6W0LGoARFocUC0tBwQ/6BFWrzD0U0l9dbgoayhsN7dAqHBcM0yZPeimQtOYkvToBDA5+hj94gSng roiQyrVI21tzG3dXd+kkyG54bqKCu5ArnkCgRARPpv2qQVwpAy5BtCbDV/Ml0HovIRreCXGA4/4v yMWNlh/ieVrM473/DEi8CpKl1aAHDnQR/EJNBz4HYYWkeKWAsnu6/o7iH0b1RDVPvmyWZXvQ6pPR L5GpdjE0BOWdvpkr62vaDfEJOaacqGexCFCfb528j4g60CghNvFfo3dwaLJ1YLKX/jH1s3L0UvOa uc6dCln2EOWMYZZLNrPKiYQswEoX1q6NHhlCb1FKCO7HK605dIpgy87p2HTfjGMY2B6cZLGIM78I GUZYLUXfcspPWqsA6qm4BXvSqdzAKDuz4gxzx750BD0kWIxCSOkeKTSBZFmT5pkCagj5Yytvb/FF aJUPPAYgLpAWjEeFFk9yF35CBgLQT/JxJ+PDh0ezPfaTn5uEWvAfqeB6bQ2THU29pZARFRtrTxj8 eQkZFUM06rJKcZzSCQIXfE2a+Xsuoc5oq/h4svL2kVA8KyA9cEgRQgqy9UO/vVF/4tfxwZroeqf/ rHhloYL4Ix0BtQ3M3kSR/7v23ig54Blt8sS+AHVUF+NAhULx3bA56Ce9aGq1CxnXcrbHb8Md6sKU POI3xvHU7Q5SyO1SwSpQ8QNS3Fzew8SdN7dxf97A1EV9AF4jFd0I+KX6eIZaDYd92Z6fnYy33rT2 H/UurK53Nl96G7a2IIHaqKjEilJ2jfpzMw5JFA4itacp1RY/z5wn2lYCgnklBr3sikHa9qnshFhG ycXlQIuLYB09DKXBjqaIOanNcRkFb3rjNh8Bk1otPnuspHsPSI1SWvjw/8NKzNTT2QPKi7IFx6O0 gfFiYu+xQC7xB6ofUDUgLNhwqIbgHkLn5zgrmwSPhn5GLzINJH9DfPg+fdlnadhplRJgRk6pynJh F3w+zU1aiw+Zk/q7LiOlMkpn3SXFLRprOrMnocKa2Vyl9xTAdHbTbtWiBBr94Lnp+7IUEa6b3jln zK3fT+K5jL3yS6IydriJ75HFZxgy2qRxyKcXd59BPrarGpI0KECZgIQ56ICXXEss/Od/jaojrAZx ainqs1RDPg/4+KaBJQo/HxaDoPFaXBX0psaZcmNLvbe+p8lX2nBwIkKlpyOTi95NFQcnxaHMruUg 36Iu/hDZkHks+e2yFOcUWy6cihvxackQxnsyEEYsmsgoYYwTbD4bz5Bkc0lwvEIPkIex9Nyyw3i8 fr+k6kZaMVV9n9KqOrDYDsfd+AhkGu1hFS0S0ZC6P12Ha1p4/75UkmKI6M0EToWSa3txktL7VHmp /ULfkMu2lTybxVtiSDBsuDLkPjy9R5QSLSOEWsHRoH4FaiK16gFctkJMIbWPjTYTiWHuEplqsQCi 931he5jgDurlfGF8tjqkaF3j7xA38erRQ59ssK4AWqg746eYg3ckE8qT4XRoTmxViF5BlwwliLw+ vH5kdwt6cQXpEhTp9jC33DuLGenaS+2JQCn5jGMVeAtDiCz7jkPtTRAU5Ch4fEU2OYvkqOvhv2sN A0ymQy3fvmDgY3vh1PnZXU72OOPBPimFw+w6LQ8SI0YFXgwcqSP2ACNW/hg90gm+rS0vEi/pyilj iTdMdP1cqC5q+Ljvbv3gmnZOowbq+OmeBEGLJvtX5aGH+bAa/3ZcNI0PZQ5o6HZs9CUPrd+008Vu rGhYXOozhT8QbtOr0+5F5+6GsPYHg9+P8XeFkZduyfnWrMvjfiAxhfnLLm8PY5p/xEG3lJnIy59R 67w7GcEw/gpcDJfVtwjf+vUNIYrGFcr5O5HoF+3R6szOpTSP0NFWugX70zhBJZY0jhfF1FSaMW76 wqGnZRzQgdIFRM/3QaiMbxoTzys/JVuBLlI4H2aPW6+MTB+a5dVxB0ZyrL3JYtcghKs1YehAaQnP LHTDkLdJE5LVidnvCN+9TmGRLQsH08vcmotrO2jbgVZl+5QrHDqrF/w6jbHXIOcMQmbG4jioLVP8 nlefHraileZN61a7lkX06gkRBOmn5F7M05oGrGDAkctNO1Amfqp1vvCJqaJkQw7a7QhavjUWDjZo Fsx7llJYYGYoc5pKgMwhxerijUrUCW84TfJtbis9IFHPRcLN81+GJ5uvlwF6JtYfIn6St93a1pYs LETBFy6bqOVHAb6mffpa4jkQY31bS//8AfO6i1Fp4x8rZv47kbpOlBTfkslam5oLo5jJozs1iS6+ tKwXloi2Iwsl+MkNxb1ADsP0v+gioNciNH2wyof2UU4/coLBMdsoFBfNBkiwV2Z/TVTA9g1q+VSv pyK18jjYlxpvJAMcsrD3PKv76Hf++qZUBtEQsYk+Q0nvJ1x4eZInmv1/jjy/SFnTnYkHx84Glmuw BVxt1Dl1XBDQ+4m8CvFh07hXmn0QVFGOzmSWpFSA5jKi6ugjXDsTwH63WWSGDqjd+kYfnXAhK5ez GoeqGODNc4DdkqYZmdTZ6fWBnB1srruWgFSrnYNQK7ubPw3wHDsywNX+Si8fnue8/wRJG/vDIksg j+oYzNoOe5VuQ9/z7GIThscMONEKJVXSanoX/6SmKv5FZPnSNTVYMrDC3FHr/8Ndi6+UzhNvPa+5 l7OP/e5RO/gsHJmxb88wdcgpkxEzTcYy7BNSzkB0YAwMNX8MyxxGInHiF53hqJgDNAjCNXqQlvKH 7JDkM5RNx/kSyeXSG0dlnPmt58KXqKm05k07Q4fYZH7XUY8WR3RdalRrF4Ig3KPuq+rkbPYDOuTW f7/+8X8uUeku7MPhRxBmJ8clwkltW8d6aQaFG3cdxbbKdQNesACiwsVjuK3qjgP14UQSkOWG/ylG 82HUjnx9hZbnADWuJwHu1rCpI/cTo/P6Cn0qqpatAjP/SAM6u+7RzpinI4GM0t7eyazuW6HEolVU 6R3/Pv/SY2HEYLb+I9u855W6N3Mm/sgy5jYMjMnr8/ev48FIyvvmizVDkSlNQw2HnpeZv8ovMf8t 3F/MxxEoMNd4k0LH4O9KLZjv+RbSmr5yfdRXOBGb/Cqzz+0mYMGlFC+iqaRG6RtMbUu7Vwq3UpOR gXx2YDb6X0rgn0gVzht+M1kYP6KYcvgHEJsi/V4kiUy5hGPd/qNhR+fukTjAxNuDEvLXGyd1XuKG YOkee5YzF3h9NpmPR++NI2G+tXKLramWrupD24UtcFw+zgO2aQj2i05YH3F/0XhmDpibcXvpEDbO tF0jVppz7wJBxgHiOuY5hdB4hwfmnQO6eU6A3v894eWybQSeD8Htl7zA0OwE1bljMnPlNbHqVQGz EfypRFiILH9oi7Y4tDku2Re2mNxH4V2JLL162KIqxHpLlcI2r3jaeLFxoLVAr9F8dqd1yGu47jlX ZQRXAwvEhlVxGj0jHl6mG3XtrkEfBpfk1yHFDoehnAeOvnTLWjeojcfjQW59ds4hyRlfUlM/IV2d K3SQ2ZU08VQWZBMdXaFwMkyFIQHGkRYcB6h8l5RL0tfL3oJIMbs9l3APfN7AczJZMaPBJD+MEtgU Shoi626ASXw8ou76eRqGubNNHx44ydXxiLoVXsR/3p4JzkFl6MKoWHQe4lbjBpIOvvYBvvN5UIG+ 37WWpic7TQ3i8fyyFOyuEK2wHguSOucUtgzTuQgGtE0NXotmV0bRcdDfwTDsXFc/9pLAqNbTWH7J wOpJZ/Qz643sbaqTCLzvWCJ/neGwhGJdchpU3mBHpm6vlOUZPHVbahltEgnjYakEmI2tQTIcLDcS 9zv8h8pUy27FjSd7BunaHyo9NcudjWf3qNtIoFDPy8SXf53kvYibReqtGGcwIBdIfwASIlJ7x3JR 1Qb8ob5w4y+n0NCB2J//0zmzS3wNW5OnWCPv3jCYEMSXFfWIsUnbJ2NbGl2H/K9eQ0dTZncv2W76 d+DCqTZ8pD+LXeMTPzOnuqyU8kIVeDV4/Ajdww7LBv44/CMlMCykS6QB6wR8nGI6B0Tkg18Etgnu +XTJwzcsAe9ucDGS/ShDqmugm7n3//hXkMa5EiBNzMCNrqXxlc/i9ig5iktCLBQv1nmXR4yaRr4r DFpdVc9OU250gOuIoo8bKbLzAN//U74voemeZddKtIrg4Gojo+v/Uo/K/cAwpAJJolQaLe9TRfuS vDboWM1usZbPctBUxYZSwnqZ1apxazZDt7U1xFjHayMJLDsfkVAbgdd3HuYNd1kgK6DlUPjoONKo sKitQv26r2GedwV279Jt5TYpj+3S12AJQDtemIxJA3Jzg5kU85q8eU8a/71sFhiUMUs7K58BzcU6 bmTOIEo6hu8xeAKJg1RKmGZjlBspW7QgYz4scE9eTf3yKKvBTzs7G+9FcgwRR85yafCLXxGOUcyo HOC3YJyAdzoSpmsKX08eSh55g/HD/fTGzk/H8SAWt0OM5PtOYq+mNDMT1YH62aGtETUTm3jFWy75 q4qnR5NXIN3Q/4MoHDbsG70k2I3RE4EvdfXmS3LD/gE2tJ6s8jZ+Um4g4WFtCPQobFYszeVdOGoI niWBIpz7Yed/z8yjaUngI9e4Fa6QExd1czQdoFec5mts4H2sfahaJEX4fZbdhZ5QXqwC/zJpZlOz P9wZPQNg8jRW8iMcsO4lsvzsHDaJDy+xPnvix7MyS1LUAjWYF4Sg12MPoBEnKRP5nCTiq8WFDH4B 2oZdZre2XFVSdiNhrX5YEaKnS2ld/WGaPt1iVm6Tff2p7AecbflQxJUCdCYrJFHcLF2Ex4mgI0hQ GRniJH89WpAPbMDyu4fv8W8JOD2M1phAEfKdZD9qElqfcg2d0WJBaDlZCZp2BHlbSudtHnvkFXBK Iq6Yv9Zi33ZZhD48bsWz9jlTivedzvfHLpZ2MUDdUwTdJ4UwkeuAgLHulXTavJ0qRBLmZx49evTz Z2ydDlhV4jWa59KBWwOmDtqoCq7CCC1ZB9AM1fgkTWuM5vqjEuXQh5qh/HrU5tpI9o6gllkT7TrE +ltdWCqJrILzAHpALNkavehDCxuIVWqOk5n0pBUKkYQSFJap1SztOVzwcy1BasBuINoIadoCVxDu OFpO3jW3r1ExfG1m1tc1E4jkdEcaq4nEroR05Xy/XslH657jcmkY5YK0tQGsflaQ/4Q/MlgKJIf1 oAbwrHTtbhKgjwyAxSGqs3ZsE9JtsGXviS5nUrJKct9zCHEH3LcvaLw2NtNDVYIqyIFzJH1lLhfo QS9pzwF2L5CX3Irgl9aRp1sOv4QUxF/29nVO0qNvOzlNRBAuFqvJdQcmUPIdzmbtKDAuBjxGerM0 kKitGOIO3Jh53mRkeY3Tgcyhi5275BvwBVRNx4SyM5AQvOxrjLdJvfEgI89N/0rm2DGF/UTzvckq spJh9Vhsk4w83MXTxT2u4jkl0sqBmBZuEZ+UdUNiZjHiDnuhLPmMmOhUBWbi4tYBJNOC4aPi2rx8 nUx0UZ9PMETtXPlEydTy3YOMqcR9LEyBbB0kT0rrgC+eI2Mwi4fX2qdLW25X85dgGtxR0AHdWtTX +JICrdbg0wFHjA6LcN51klJ/pxOOtzXz+jcYvKuYozdu8iPTkUeU89PRqn+c+WWueFvYSsOn1XRo OqNsplLZcEXMqWhC3xU86zi8TcD1DvqbIF2U4udrljzZpXsSTt5ZgWxJLd/qMLh++pVpR6IHLhNM b/JD5zOF4yOYBu0DQv/Jfz4/pwnj2Bo84hYiTGHPhJjZNY3qO2RqJ2GXf2QQTtIOJ7AJTIoJ2O5Q 0Wemhs53AAii3dANiKAGaBKmDQ9SqjIF7LTE1uQ/pA+czlcfyvzAT85VbUNBw1+mhPE09AKKBK9k OeCI3Rfczs3QxHzQDvUqYPKs4u32Zs+2I1UgNKEE4tBbsCD4od501Oti3JBsyrpWgQzQuMhaYZLQ v9JcWY7D01hCdbkI9+/qy+TwvEKZrg59/bykC8ZeTj4c6LO3kqOBewIjq/lErV0M1EtwzGZgCuAh xJdzToHQyVHieRAogBWT/1iVFjVULWyzuZ7KSocLOjnDsZSOIl4ysc5pAkLvLhavKRUF/e2x8TFj +Qq7dwBCpq22KBHK5LlgdP/ZaI/qyd9vo9nHgS8tgVq7n0MxDGb6Oicg+UD/FjVsNuGea7I+UMYY /OMvQngY7IxKi/tJ5XswRcm1YHTFFaFR/G+fC9i/FWr46c5IsOJau+0txk95ZNAm4ERpAafIcCbd PustrO4Eo1VjkKvUzDwDB7T/acr7BsRYHyE2P78kvERVC+L0gVGlhuUFPXWMwEE9OV/ZNis+7Z8r /404eqmmjc/5kmutzCLshn+Zkwzfv+Sc705bgeMFdW1xgBNW895TwaHlzRVwoIZdPhIPeEiZDsY8 A1jEaA1OkesmEuvNbmc5hsbgKLSDTJzL2C7fie8FI91KC8CM+Lgl85OayeOcMV27OfGhtNmdwsUp KJiQ8c2qdiYaf+1sE0ErQM2DFijJIs2xDgL7ezWO0J3zPz1tFv57N1Ui5BabPseGGUKl5YweOMWF nJE4bX4CY0+A/ksuyBXaLa4zJQx1hRbd7t/bg7qYjvyURobpE8uoIsv7V0Mh7Zz/ufARxKvvYlxz tu6nKntxa0OUsHGKL9SkLPEPi7z9wZVsmRdVjlkYbe/ddE8XQKKwD0j/iEaFMbdbFlD6CV5C5cIj k0QWhHAj1OKDM76pYbugxaCB/D10/GuWHOY3qCns0OZxVIQ4Y5vtLmk/cVGVAp57eeHNnZeWaJZZ GmI0X74eRv7H0Q3SeWP5MQK3v3NwY5st2FAJEIO8jlGjkDVbekO/3VE3s/rIAitQek2g98OSfIrR Ro0O+Vyy8W3mEl/MXI1FXQbGb6XQ1uhOlcy6/E32Gz59icf/P50rc88iD1RKioeiiOzSapyn+7Ub bL+3nE688/DibTOg33+pY1id4l+jOGY9aFqn/+sXI5/o7hilAm4cMPL4ns7LigOrwr8GBW1lnVpD ESF85GWkayycYub2Dq5w/qSyBISdqvoSrictu2Rxe+9BDoOjKQ6w//na8mkLDwUmErByC3hYYKgN 6zL8wy61mTpaCQ5DYqFd5Dezrccck5R2xQUUgSiC2B0gMBx2fZNwSCaJAy9OvEQQ21d/XJnUaYWU VP6vWIzZWhYpo3eIhes4v1tVxmBwu4PYmr6c2hHB8uBzVitOprzdJ5mly2bmIZnvzYZXek3ZhkIy SSLJ1GMaN2FylX0+oVDNZ6MQ04ZgxDpLqZMvYVAGoTW0Ryr+PBtlDl6MpB0qTXQ7t3wv8h55P9/D fO+dyThAo5IEePKJfdVAUE100ZOBl6VcbZ5mfHztD8L/UgQNAOQoYn5NoAkBHmWJBKfnQrzWktGa aWfNW43EvzyAJjvUYkkTuSEYJHpYiiNN5t2JxgqbWWr6Swu4wKWSa7DQH5va0cBu1+CCTXMrnJZ6 5flS8f97YGhB57/H3BTKHv5EZq53RZAAfe5Grt9djvZIeCZ/a932Y1JVbqiW8DoUcc7VoyLVBKMC MgNzl+2PeEmF/hCxUngJqB9B0Kb7Qvplxe6slmlKJbJEjYn5FSO19j3baVa/jCeZczu82VSxQS2x v0abomVQ+KcR2hAZSXVFfTP6SwxdRUmXzQtG95ZLnIdqytqWcStEAD74mGyWskBPJWJUU+MiTJuf iyqyGYrb7Z3xXUx+dcvEF7g9zKB5FT/35sFQfB9bPcqZ+Pd53PG03haxwA/dvVHQ6JLjKwbK+BzR fJii5l8oSmzJl1NB6gJ5V7NFD9acTTUXA9sWZjdS1x9jLj6AyngY/RKQCmlwgyDddi3O/ktCBGmJ vnx2IHluCzIB7UglOz1QbIw+ULLUXmlhIxqmIsS1RGLfmjkkt0EqfdxOCScg16/CX0fFuoYNVhRq DpozwNbNO40t25051K9mFh6bRl/bG/Bc18ZuqFm8yC//W8fODQd7Y+R1JvNoOf3PWEpgP4ToB5tI nKuQlc/i9idzV/sCFVhkCX6XCuUIcpjpxxZMb9Rc8Jj1C/zrMWgs8dkF1iQTWr/9ODLIbwfiKp4/ nBpD2xzeJYnP7kadeH3pUDVNNrrZR6wWDTJIQ1Hp+2L3Ad98VDJ2hEPsLMdNaOxoostfXjcqOB6X hJshpxG+uHNDl0or9mhEV51aoDmYeelGaW3zkQx2ZkWCvMmNGxGP+2S72uAxPyJmOyOF/14BM3/C hgl0nRGXlq1CmdSe7BXY2bHi8nJoz5+wpVkJ7pK59rwYprf9BxYxDkaKNbkQgMJwaVgnQs1QxiKX cx2DVc5Yz/WwPV8JhtfzQPi7rysLMdpihni8ryo8FQwtrgqlGVhfSAIn6ItSiGZco31h+d2/z24/ 143dhThPBg/iDtyIEvs4+8/V0AQ43oO5A7QhyiB7NocLNPl0aqmSqfLM82zFAkb1DuKzvoV7/avw QyiWZ/70yBHoSLSiksahSTIBWSd8RfNR0QIDC2VxTFjQjOTkaBUIhLtQYDwVrFSsdiqyqqjr9RNx 1HcWDb/ueYyR2aKNQsbtBnahqpJpG1r/d3U6871+he1HxBdZMpM9L7o0ggfQ82G+hOCcvwWOP3Pz iJrt/oxL1gwOsYUp8wecgmevjeSkG+aD06IoNspMvr/9qUXez71iFTOIpMfxpeqBh6gVvhOvjNrR 3wl/obu6tOTbn35y7uNofR7i7Wf7DLRyrjxi1YmfwPeFed425FCrzhTeZmm7b2ByCP4J0r5HJsrP Hp3Xl6iViOFAF13tpkOPXVKyFi9xyS0Rm+0qPE55O7FPPxicd2nn7bxIx/Gmt3NjTRNGpYtW6JBz s8Ka9Wv1mofPgd0JAvJGj80rHGQ+rEuZtG4XTUPjkScnWr90CW7aRELJ2RLjs/bfH/pbtbDZVLVc xIlrdN/q7FMw085axLobS7IrImgxO325+f82Jy7xD8IDoZSZ6BD3w3EbnLZK1s8pX4aOYAz81t/l tmgSEKltColPdsg8DZMdUDrUN2nuaqocH3Nzt38KhMMNEKbgOEIgkzYPkyWQal6VaDWpTZc7jbxd Fj/VXIweWfKlR/Bhy1b7ltYVfBEP09MgcmNmE1tE3tvuR7pPOKjHCBF9AeqMBzm9DqnUIuIxqW+5 UmsVLhLsP3lAI6CZ3Ajxtj4zV1EiMaDApRI2VOzozu8tf9WebjHPuRz7sPdQWYOWtJRopK1bTtp9 /duEeK0579g0Pyl55XH1bl04BUjbSOXdWAyxnLd4ugxo0dznXYx1WEUSGL1XO2qdjfKNu7aUvO1T Jlzso+7e6gFEnQYlJz73DZP6+M1w1tI1HSk4IyT1LOnRs9zAdeulgVgAwBvpQG2BzqTzod6QvXOD IZ3UqUj58JTWUMkh7pQzTAiVf/hcKdm/QQHpZf90QYHN125BpQp1Bq7d1yEokAOEyxSbDHxC/YJT +nSO7E9a0gAiFJ8QH8Ilck4kE7pGy2nfnbHiicizpzYqDmOchALjmV/qyErzEyVUV/vVRA3FYcys YOzaq5kQgmthblcWkNZ7GNj8WfjjNGP6YhT77eQqqcbPQiZ1PN3bAXIXwgbhUE/Q3bTA1T4EFLda F6KyMHEBfo5tOPQPhG82TS+N/+X+gWm/KBPnaf4KZi/UanB8ZoEf45lCNnzYaGp2CAqQ0HiTYn41 b3H0ATmoc8FE7EvYP4xujt7iWbWKHNHHMEmxiYZ5D2XG9MeJ1uL2UFZQljY6Cfja6/cJSPTSWhGS dhmBQu/Y+KlBBwurbsAjWPJpdP1KZj7/iqz/AZJJRT0+dTYlus2MpGzkXPru7dB0HBvXySPyPQkt Ftj+Qe5Rlgqvxn5mEmqe/QhNeKZPmOShfFFXGBs93yIuEF4Zik7exfZMGZzoS+Tl4Bxn2qDoSYZm 4WxqPD4WV+LuyJVpLEH95W1z8HXihQNCn0R1B/DpFFohZvQT6SJfb4vWmqaTdU4UJYzgf25newU3 2L+omfXxUsgxb3Bh0LlU35MaUqhXErYEYyylLPvhpFn671YK+3V9+wGr/+GCymn0QVJ+z4DN1uiX LA/luLuH+Ch2jq96JKA0IzpDOlZzXpEsD+L1EQ0S0tRYso2EH0Y+mQiAfy+qYpoqDhHxxc8dPuRZ +fi1xqjCeObP1C0Njm712Vt6/wsyJBPDaEdQsIo6NHet8au9YVvPV7AVOlOvey9w3YVQFUwBf9Dp iYbnKRn7Cwb01XMk6IjikxJhxfo2mKFRKlK1EL4yhOdhWLDE7MWKcmVK9m5Njqa0/21zJqQzXxR2 ADY8DPTKGFMKrLJVNunaMaeNu5WxA18LYy70bvZdGX1Ggf8pxxDF+fnvuV03BAKTHFfDS63S4CPM DoLeSkKHptQ8A74F91ZVwfmJg+EPThYHP3xOElTBLcMEPko50guWGVnJBXs+/UK8JRnrJnIAN3ir gfqK3FsolRzcas91XqQdcbBjfBItOc2BDgRwfLHOKKaHea15IrQ56S8/Q4aM37/SL+vXYEZs+2pO NRHi70fIH2SH5civqnkrE0+aotKwd0t278B9WOJjQIRi7UC1ANwZoZJNibLaKPfgQH6SZG7EAYyt qNI1njk5lJDYydp1raBbeAZx2rhKjHDHAHBtcAcWpBzArjq1jWCDqrk2+IsUhNeeFDykE69K4r1t chZRAxViN6BbC5D/zNwHRFccdow5l5soHEFC2tSi6rlnu3tlqV/7Fkcm8+m1zRrjqK5vBqcboNou u/ahGBNsbnnFTGn0NltV+I2hh0ykWk2DFEn0MFR9P5hnBCcHRyJc/1zHTYJkxqPYABrQhsyKWPnl qhtUILOKVqnZvdXXLrxoVa01dmt8rBcMDtsFMRl4CTCPDyaY0P5IBi++kEDTyIbEed4wq3fNXJ/W /vktGZv+d/jjziJBIAggdPc3gU/kwGDF6QPmNz8WrxSygYOFdOYu6HxCpzguGNBXKUqWr7O9vigD 8nLIHdgRu/F+Nuq6zWxsOL7Dy1TcfdIiiGCj9zXoMjdBrU+dYSYHiOq5XrPmKNBUTGduclThk6Vq Me6Uiq43cUeWVTfxEggK39IazZJpTkhTdr2S5ajP23tMh4T/a0Ex/udQYy98mrF7jeSdPP55JdKD Or3l3pZRFZpoyj36c35Tk26qp6VTyg7I7l93r5G1h4wdR4HYHZ4UhRHmDjGham2D/d321WsX2k4R xIj8EgjL/Vd+opaHg0SvU2Ux6vaaoBvKk5hZjUAP/udKlVXIiOiaPEQ7A/UyhZWjGdbNUfmTORqM ftAVGRI+hpH5f4FY7FmTdYJ3gCRI98ms8vbevFsEvnMKedKZYTMoxFhnkNaXehHv+rEO1OyOaBzD OapeVF1f4ipsX4Xr4HwPc4DDWTs9ksE/Sa9qjvnBz27kmAM55lvas1YVZbDYHQB7Xg33dZG2rQMF Ufo2rdEV0kGNSBKsufzwByYdtdZjz58q1Gw85UeSUqYsXj52TG9y9odkqj2vTnCq7ag+X1N65jjH G8B58pM7JiSJklvFGw/9YR2Nzm+HeqlwdmSkaJyP2ooNzht5MmgAPeHzAqwgXT+V4lpLUZ/bKYPF JzrLcPt9+ROrk9JdDSxlw5hngN5r/mEEMBM+4e7FOK2+Se5532BQ4NnpPfP7hdGsTbiM08Kde4tG kwu/Lsjfr1ICAxWdDpGOxDULm2sLG/Um2YNyvDrvme3sCBTMflzranzG06LQGYGZk/7bnIbrcFwk u+6vEgZ1fV2pP+A9Y1Z8MuUIYGMd1N1N94RDIaA8cSlTq31JPQuacLey/htbCg0IUfBJ4T+P8/bz pp8tB64eJGi5VMlC0agm9VhG+q2xrOhh2V7H7kAeiwdWIJTqzdXvk+u3Vlfn/xgpyGumJOys7xLF fnajkoUWrmHQuMmjFTpr2wtFXNRuAm2igAQzQYvmPcFRr7whmDtf9yO50nmYmjt1dpgSNu4oGDvb hlM/sp/T12mblrmQk/bnOF5NIvfIZnZrTM22FJM59GSEpLbv1kbmmFy5v7+HSdRzKuAbhVXotG3P OA8RTwKGrt5FnIlyisOC/nKtgns8WH4NmXK7blLRwzgxPI2fmG8UBK10WCSXZ/8JxAZ7lgkjKm1B L4Ia/a0wVyTrqlFF04mTJlKMziQsyTLJA6RUi1rmRTObzoCZcEmtseI5cDPZVN5t/eUEV7VfzJX+ MBqIV4uFbOOG48m4l6TFayJpLa045Ii+a+Jx6/HrVhodlPB3qomK1mWE5DT0eEB7JWbFZI4UXeHp Q9Fvv2Km48dkzuJjRCYwC3iVP474I60TdjWhZ+GdrulA/diBw/gnMPAmO/sKVNSDeHcdxjazNpBX vovc1z8DQtG7ut2fsEe6l/EG5C1cP1PMOK92bbVgQXiRbXq+T71BE+usS86tw/BFrC7HhAcl+X0O ZCNXjZMW5ojXulFJJhU/aBHWOLQn7rv7U76z7CBvzMUUamFGOCmN6ZD1FbOQhzDlhV+/9GLN5ChB KNeLfoo5+jBrxj5JiOyt8xJmPODxQ7urCVnnMhqXe6G8h3Jkm6lop0mvupcxqB8ziymwEfO43Bi3 kcPht4WcODuJiyQ5DRs+EfEXLdWgEu1EeX+DjIMyStMGbt1BzCCBIF9KEvEwDEkZgAvC/BlwporP vCb9o/95Tj02SjcQ+nP2TtzzeykmbpAyObuWWfdn0BfxkJNQMrLl2tyvfH+66xzCWGCPQoNu+qsl bBt0PB5BalUuucPbhxFjnKxSk1b19kmWb5f1smScDzeilpMXyNs0hImhIZOoTz1EZPy4+t1QKbAg HtH4esiOnszLR+Ne25FfzcOJZUy9v4vFXRJ13kIdiJQMYwWcCeFaHQxmcVZhgpexF7Z7bytQ1mMP odRaPKSweHvkF+pKoS0tSHKNXYAfBgg1pHvFAVaFTRZl8jsSNQn9A+eT89OQcXzGTP7VF7E/GcpY zByo83c3CGRk/eALtYOLw9lj2AtrtWqHL0UUYyqfiRQruMm730i7DJkYu6oidb8lLSV7jCWsVtrV zsr+I3OO9K1y/0X+UnO2rVbucX1yiHHfm3bow9ETr1iyOosekK6s2ry2lP+NzyMMaC7v7f74F75Z x8ICuoLp+B2t/AC0X4yh4xazdAx59GEpJeX20c9GpqNfuEXrYcYuddo67U1zBde7AMVEhZH1wMqh WotOleeP6XGfyqMSMqIYS4GC3w4vBxm0rchlOXW16NfCNF6NCSVQXcncjgax7w8oK9JK56EITTY7 KL8Rc+SlC4ipkL5UOoYNU+I2wwVeMvUg6oFk4MvoISmvpvHY+rKOXO/Gy1kL5B70gEWyU/UYKtNx 2euAtWQ0cjYm07JNYTNhUK1iHDBw8FkY8FgaHkpu9uEx0pubiCzt8I87VMOzhIt0ZK/a/slIbsyt DPPGZiuLqRZcbYq82+wirGnmv9NGM4P0BU8irH+9NuUkLU96F4x9KnIUvG/bLL9PATInDokcpcI9 1VIPOS/mF3RYmTm9x8SMav8bz23wSeCirWt2XV+3oYv6JAI+gq9GYvzOKwtmFCc5Z1ZvyGn2G9vp c3yAS2vuAYYQTVcBoeAWXcXP5KJH0ue/o3EcgHNZ3AHtiF97UjYzr9xR7GBRaLTjtgBLMtUPel+K iM8O6dm6+Vvaqvd4vVbWz9JyfXLQPVileOwj2UnmmDADo8h4PgiUJFv4lNqPbUqNnwVUQLwOj4+3 imfcd4qoDLf1lTCrXOeZKo3mkdYAnPEA39S39BYo7Yj9YMEviG4/5HU1zl0LFaIkzWpakV0hr+S/ o1ejYWa7kXVhUzQPULUTguAFu8P3jOQxbIQ1Gl4EMVFdkSyRjiym88uzPJelB7fCOwG7j5cQIP1V MMqDao39DymFJcWE9Wr5g1rN6BC+VSM7BBwJPOhEqHdP3dHW/+EzKyiqVbOFFLsNvmmrJvWerKTz K0EKk/BC6e5HzgQYrNCDgjeWmOx0GYjCN2OEV+j4KJUHFrjboyHF1RAwWiBwSJ+kneyaOTEIN3N2 LxUK3lLGJdrY+A+dlba1e8b1I/BohO2cbhmwpDf8y/mkI1HjLc8aebPAhzDYbfBXa/CxV4f1Gds4 o6TPEFO+hSQ7a9mp+rk0P9H/mIcJihSFg58Aa/0JTRwn8AP5C86FwlVfms+AAjQioLQH6qBr6M80 mm/YAXiXhUPWVMxT+ehlTjtY+R2J9ht2NeSU3HHq3MXBwprZVJxRf0qHZ1gefU1/ob98wqMa1VEC Ttz3uSpYfHxQB1F6yfYwJ2wEcE9g89hJAGGT4a9tEKXWw+f0tKkJRICW1yjrp0/NGZqTRvMXPS0r Nc1tjS2/i6qm3ve8gRQphh4L8Q/0mDBHvcuQz/LDmkC7u3v8mqs0ylNgX32cAUnutU5acs02vKZA H81vayRJQOOGsWu0RFYNu9Q5tQDotq/iudhW6ZptygJjdX/IlhE79SElE6MJDBjLL01Un1MT/vug ZAkFDoaF6qXigNcVu/+oAmK1/5lziWVqIATxx9ugvOkzFzRX5fkK2+ObvzETgAdEzQyyNQOeSNfz 1nWbydLILZg9QYDLbxTmyCF4c0kNkCoh6SBpeHf3RJvolZbv8AfUUHTzV6V98sqL+hrn/EcUTlwY CP/pfjUO7nhmy2IfTgJR2mpY2rdYyli3VAlnuP8dSuKTanJs3bsAkLRBf8wLnK8uSJAQLHyoI0r5 iin6BV5NT3xliYUJ8mcvQxCHvbA8+6Vhbz8vQOQwyxKy5Swltr7Bm7RxpOMG3jihXcJljyXDNJlg nEE0goDtnjyMUZK6hHq843zlfUYZnTCiAg6DZs9o9NdU4GqZZivUtJvafISO3Sei6PIMjnwWrLFi /uZkp3ZeEG8TDEHipVF+VYrHHOzs3R70AKTCIn6/HUOQtUKj1QAj7mIyKMO9UxJKmFsf+FWytk4P xiX9lhORz6hOTuKO1Ie5iEIXH4QDrM+b2osQhTdslZs/t7RPe/9mvljEUPIyt/eRgLIfNvtW1zi7 KBYpbpFA09X99t6j83rDdkjbAG0A/E+zszOXT8GcVvyGgY2ZR4pt54ac6/RdPHgTadPR1IuxsbWU mIH1XdXJTe0KmgHbR6uy1i2WRfU5etGUQe7cSmW2vCZ6TsMglKhgjVx9AhghjK3GpDUD7zwA6qSJ 5I8qZs9zH94QyB2ORyhQr0mUCNfHaSZIUlmr0gowNUzi1bGyg+EuyydtD5WJPPxr93MbxQtl5lvM enGKeSRpoIrPSsIBRyOEiJS1Psech1n2xc8g6zvfqcSPbyH85fbESvV+s/RiZF0ONgNFmJyhlUUi Mb5VhQItDJxcJSrgB6pLQfWUBLGO+4wpK83uZkf5b5EI+VtrSm+WOoInkL9AKojPNwEpb10OvKje NmUw/1AUpWJo88skPCv2gtE2lQFe/sARodTACono3Ek0V2q6RVwtE8OrwOKh9NtAU+jx7eTBg/PW 1KYHNlpE9UoCoQU5aKBnZr9x8c+ObortvEls5z3Vn7UhXZbIZfqAp8Rp4qC0HIylU6UAzNvqfh8g knW3S+VnLzd6AtehVF7NO9TBqPWYwDT44Ox0zkh5ACJR5AUiRmszf25f8IjnSVA/LXF2Dy0fvepL ZuFsyA1Q0frcX3VkUW+S0fRXMfUlG1aQwNiCLwa3W2MStR5NHo9QCtL4rn7JYAAcUm2BKuVd5/R2 gpkYlN1YJcr2IJ/+0bFMtuu89QWR+uKUVDX3GJ74OOLRS+LM+/gV6QFQDO5ke707g+VyUH6Rn8wQ 5sWmDoMIQ2T8zoSeDJ405i10dQfI1g6U+bgxMtTOcKQJaxtNm2hpZLh5sxiTsR7UqTbJcS9jA8aw 8zwA6s+qN9XM8pIcqCdqbCE0W4U+EuRzOthJwxnyD761FOfhytNEGYVfR+/iCrdiWFneJeNotjTX tDUsEr+Tr8CT1kpwIDt5B9qOzqx1KILgQ7mCTDB/fYjtQC8i12nRCQsM+6R4o21HXtiGu2r7+hkE wTzx+IZfw1yqRxz5Zvl8ZBcG1yWKFp9sSwHQuzq+9PEgNLUfTCoinfxN/t6RsnfuGJCClNl9XGzn Qriygmdj8GjXdoYGilqDfP6uRQMMcZ9+VUcwixtP1OuvCNqtrk6u6syNoyVOba53sWwUO+SoUkrN VrUyNLRkgSes3zRbLY2+MbLZiBClfEcmYWzLtoAAHaaB3COsbY/XtIIUJ80Qo6ss7tAvbL/IDLP1 /9dscHqVdVy+WDUfvgiLgHMXY1fsL/VK2s0UjAs7qSXNaBFhkARSh73M5nO0r0cXq4ysj3D6/7Z5 PBzMkc4TfhOoqAKze9Ack7K2Ih8P4os/bHY31ag/epXxdAy2u0SZGO+9Er/mEGTbI4MbaP8vV1CK VhKzPRglF7pFnExm6ypAScjRuXU0rjWKPxqY6eCXvK/KwyOrq/+H9K9pEyXrJAAzaJ0xzaqnfwDv OH7lccEER2M7ItxTfpeWdGS4K8Sg2rNPk4LWBy3SKgysWA/Oqkb3GG4wRMUigaHh+zUHuabmAX37 ePMGsCdQe4IntNboIJAni8GBYdpjZJ5twvUSYhthEG+tOw37Bhi3is5AdCAFVjOJm+VkWuJMx/t2 29RtBInPYSx1t+GUL2nB9M9zoGiYqq85W7nHfigumtmu/T1xZ0skYtA+Th8DNINNX5ZCsaDFNFJN th660u4NqKvShwQx7C2vWBimaD0sSpBaY9seqK4d25VHXpVqS0WnVSROM1CVrGm+SyRlcPXAEgcU C84LS9bUOZV20tWfj4CwUJX0BGk9EQBXPjjP3WEDQpg2OzTFw5ufTXdvF8jN45K8VzA6goDW9vF7 OjsZnOBbTL58pZNtrnzkOyVeH/AkPtdzZvmwIIZcbXkLzuMyjNlm1dXpd6ntLBIN1RwQCEMsJMwY 40YW7OECf9qQmpQs7XT+ghAVB1O0NyhI5W9jJEPxDIMsK96C+biGr/lRHqWpC2thDmRT3e04hylE cdsxlPUOgeiXZF8M8CUDEguPJHcQI3G5+bthMtAmS+mPTmW66qYjQfoUbNsH6i2VgGbxm/k3m3D3 rjPzrTY+gintHM1HctDSKBVFhD0x3VbUNjwl/g7z8/y67IljEVLodfgx5391h7Vf98w5I/2a9O6H SXDMoZa3mWCgbg5gyyirV/RFYR//D3NG24sVq76t+0YJ/v7+J83wW0p1kS8Zs2Gsfo9kEICftmlO HRtdBaqWwWmvnHJ1UT2ubKf4AncR1zJhP3zzO3MBLZrWM+VkVSPZWpmG5EVCp4hZtPFrercXN4ZN VPdAqkhLT+g8VWc4si9iD9sE8TSNZ/5eL+X8OJ50COIJQ7INxjqsRUBqgn+p8j3lcjuLVC9Xp2uF fsSeXk40QTPSNrNUchutkmyW0U5JW/c7LBj9fsZYYbLt2DVBNuCjCfgop7G36D9fhuDboHRChVc0 T9SnQ9K4sqLhfSZnBGYG9WG21xifvLczKSlFuxhZ9Bki1Uno9+/uQdjKhQ9O3NOQkM7Yj4tKN+n7 1bCnIxriQloGimU5F8+5JBEHYvu71sBu5+sGt92+9y5CszDxCVMC6t0gxGOIVhh8mhO9uzyL3AN+ SyuCvMN17/MrzXosk5q/97fOZIX9dIqxvJ5OkD/QhIsdOU5XDk6jMYVkkkoP/16352TZ/wxt5m9D cyHIcvQt+41WOTefsmWsY9UwriVozTJe58YI6Is8trYA6bW5AmAypkc7LLa1rkJ9uSrMTfELmt0b FonMcgtXcJgviKPiWXNZTsn9LIcxAGg8w0b0XnTqi4v/MqN5mVN/pqZidn+DZR7GT2BSDXOZTNB8 Uz9IPErHVOUBOxsqe4T/MY7gIjwNltZ/w9thNU4+YLgBQGFIoPdlEkkvMIF5SQVgqIksqhYxEcoN 8S6heJVIqV7BQTCED37ox1NK7Xci0T1YJ7z8uEWanw5YsaaOnizY7SKPWPYekQwVBHVpvRqsiSng I19EdtEn6lIqhzEwL4bo7+JdcdyU47HHFHBOoOXjgkrHhHAD4PrIE3r8STM2Kd5dGOzYJ8JXbGHX czMuPvjRu3x4bCmdpXFG7dRwz3g9K4laxQmtC9cpNCUupIip6n6+o5/yihH2B6RVag2wKeH2EVlo Cq5VrV5Chlyobe9y9RLmR+cdyS+29rKJvoaHDN0f6Mgp7u0lGisb6lXUxUFi7qsydy74eNiaWyzb dMScbVsjMNNi8EmLFriwj8Ym5sKX53d2xHBuDjyDYNke6DoF9fgybGS+TfP72t6MKIFIWCif3VYh mqZ4etjIpDDwmElL3r8XhChd9XeqIdEomMVdrcSQ+tg6qIpvsmssJoBkcch7ChrwIhEqR1uEwbUT h3W3pCIMwGsK9jY93p8TbfGEFnZaCSvnCrnLKPLbd4fPTyKE3jvg0BDWmcsjEsDXLQNRcLzzrUpE 4Ulkqqk/+/zyl1nMk0+4K91cuzY+hM4+IvT3r8Y/ZncCPdcUq7Z4jE/xSRsXZm7hWDaZBs2qFwVN 8gt2pZC++1z1OrLDUuvnlmM1t6sBgNjVo9EN9dkoxq4ph+DHyaEDyMq1GsbFlWxZAi710JqnQh9s Z5cHAjlO0gqzF5qmns63DOJ/54AiXOon0+EtX5vtZUUUjkbIcLA6Shu3gY5Fl23GVGa4EuI0Udqo 0wsKH8GjZ4moXADJXL5xGYQL3jK8qOlqh0Z2b8wbSteSGdGumo69jHM7UYhxydqM12/igzzaCiZr rbG0RT/EQaRM+svKL8/8ytkXzFmJp1kylQsNytKWvejvM/RSSjzwhGiYCTS9oWnaQ/cKpwpYM/gQ CaEU7k9/a9HpFuMGpDnW/gRJy2XIFTIDk1WpqtDa2vbGqV3/JWuUdILllf12ao75ZrGE+vOgy/1F /Xm4eT9y6VZb2xlGZvJWrtX2XfIar0TYL0FPY0T7rZUd6Gtxv102QWX6Fuc9ROTosU1SnKpVV85r IT9JhFyTfM4WDuyqMGOvivBc0k8eH9/nekhkAeuw3AV3CVSXxuvSOie7W3i+q4XB1LrH7fFGwOE+ xSp9Kcf4AP7szssiC+fE5p9r24qlEGZiyPswziF/aqV9W7Z6hEG/uIOQz1C10L+9fnQk6IDzrXOe +8AwQn2h0aKOTep8gpG2SYPU4Oc5UyV0Nfo5VjJ8/KWOFnVWKfg4Ue+kHAthEJENjy/pMIyqHFkG zkXfSpVkml85e1H+A8TN5KdaWHDVsJAdrNvndRKRhuJTOFVOWnaLsh0E0+ibRYl+PDxJAXr0tCs8 Vh8+BpUVAJUio10a7oq73s421Zh8l5al7CQABObgQrR+XTb6v+p/OOceh0Cpgysr0kWI6GU0dP4v pdV/+USVI7woW5QY/2Wm9xRWeNJ2uUSFaTkJ6uFL6UeHImK5rAEVA9hvfj3pHGFJLeVU6zALjOQ2 qQGZZZY5lfE/VIy3gaHN8wq7gm6Y5JEOwEzjEqds6LeUNz34QD9h13KxB9ECsbzG8z6LQChCqbP+ IGYvVli0r6V8lkegHsyB3Jk+KoUWjBPidIAlJxWismRxkwDHF1KhIyCqKUu3omf/7/Awa50Dp/er MNwMQYINOFg1kbkZcnP8tQ/vGYTpCPY07l40AgPOO5/7H1+0ZNUGxxKsj3b9Qk+n38ojhaVNIKKA Jk/wPja1wAfb4+KJdnzAit7qRuHTlPIcgh89H0kheCIiRWZ5BK4c5S8zJ5SV6Zu21SB+oOSm7wP2 EN9ke6u6EOphUBQc1rEIuYRX9ri8ZeuG8AAJHXoaWbLGaVT51MvED+nkkv6KrvbfwioNNs6JNV5E lXYYy7Ht+eERdHhcjNMDQY+Djq0fyiBxO/9xQX/68XyTH2fzcrLwYskfxBff2y1YMvJt+4AmjOQG gZ/niANxRe4SxKi5oLU5WbW6h7tXn6L7dM0aeyzMkKm0DzZKvnDt8dJcRkdyGDgIibSqHQYshVvy DtogE40JlKHheRLlS7fAxxAFg7fOo8ZJJU6mH6M2uII6uL2yLam7PPcqaZFzBed/IhKssEdhLS8D wtKRcP+zTWfOJ3h19UWUjGPTizuShJjwXzc0NVa6UZUowUphoOTijASA5RKCu3apD2lhch2/kCK9 2541sfG6dauxLCanhQyXBLdnNKKGfRAa/okXLikOi0yfNUSYNWFBl68zRt1P3FEnlo0VUncPbkUH dVSlxWv+SlNP5+IBOHpzRhRcs6J9iitdSc8eda2VWYutO0RMEBHbkW2bi2uHPsxIQv1wxNtSI8MN oVAoaIUL4uIhBLV5gmCwbleKmwyUwVWg9kj0dytCAvCRu+ys3Y+fHKTnDvVnzN9dwlLf/JYT1KYx JLRs9sG3VQhG80fuxevuGCDXA448On2ZJNCB4hka6jYhnj8VitBvg7HjvVdQQ7Jss8kRyDG5hHkd PI0ZqdEtOW+mR0wue3P+u7kId7z6BIz0M0qiLjuvYw/w/PFbngje5dZznDVQRuqjfxozHt3NYKcP 6r0sgIJS6wzyiNcn+E/ZBg/nF8URyYXHnIwk3bssCaGO+D1ETsG+lKiYiGyh7G0fw63UlAA+vMlt eoKlw8io6bwJI8yzE8WSvZJ+TXCXNqXhUTfOPvThXBBeUHeWzcAzuRfwafUwrtcMhufMumlYGNQN vpZ5yxYNLjvu3RgDDT9FuFeASPvM71ZsToMD7pSgXcsSIXEFA983P6gg1mUGHnWkrODLkkFdQUeL IoXbYY3sy/f/OYtZrqWXBbEFxVfgqVpH7aRGPymgGZJ6tG0HFqF4Mf4kOIGoVae59aAYJBJtl74p 8Hgm7tbyqPHM4XFiY9Vd191FLfjEFj0Pfn7aNnULIjq5i4JhVykDjVZSPbOCu/nLN3gvHjbzJ7Jt sy208QAUpT/xqcEWboV5E4epwaxpf8DYK037gkU5OSyaJKc2vsAFEYslBRoiMNdy7M1y0XVWjwzH aI359fkT/T4UR6M6hQJc5H39eE1zTejOP32MjJLAgKlg5YcFXiazQr9Im9de3gaowb4dQ6+ZoXmA B+//eTNeDKFzT+lEEoogkZsVGN6YuprNo/MK2f0Yo5y5cmlq0/ak9BRoFguWECGESgHq++L6odSk A4rWkhyaZhTz69oHhNoAK3CWOvNuq6GUsU+Bo3PKPvpL39ydRGtlRGrsH9Wlrui+jvPMtCnTwVPh ZaegOfImgdareR4C8miUGgQx5hg80WpH9oIMwxDVaX7DPDG2rBWdO5pSzyqdCHn0E6J+MNNdxT4Q AP332AxBpHZk7b0lei1YvMUtFs2B566avFLGId9rcwPGxgoypha0IhJOCiS7NTj+DsAWOqrIg9jJ G2QuNzaIUmOAJc+sgqdBWDE9xbFAgLUHpPdZs03xn/8hi4+t9lEcWPMviEeBeJhqmVNXR5GD1jwg IFSKBM1iufS8HPM16e3KKXN1ixnOT7/HtRJ30CBVZaeUkUTN4U3cWeMKzdoe4ZqZopziycsiNEqn Ss6yWbFuHyPbK1v+wky9eF//pZD5hRVDVbQje+MqzlnalqHN8Nyz14XqmXk5TJD9/08K9aVU3/Kz 4dER7W4mQk+Netu7ubcsj3kEpjfaqfUyS8RWd9uqZY5smI4JoYXO49Z5dKNJYnK+Uxmo6mKVmCor 8ajii0EvKGRqz4P0f+2h7fJfCyoWTwU3JgKiU1DtCJqzG5mdNU3xZMlJYDxr9c5DQ9v9cCoAW4by mxl/45s5HOYo9jHopnHL4hD6jshBKryrTBowOEqTp2AGoWG1K2vW0daRxcG+CYWsOddtqUHOmHem m7zBlOI3FxJmXf868wgTmRWcOqzEfqh29uENsapV9AqoPhlNseGptoVlOTd+JT5WzKK99GKgOLLZ EqUTQfwg9QR+dH8qesxInLmHtNblv8jXOy+mvUcFD72I+nC//KW4sIl/qrnFo2ioQKo9bbUPIRT0 QWgHcwNESTLWUNdcPHBhaQLyRt2bLtNGJkJgEsgskziJj7sS8le1E6NxfMuD+KvCnaZiwh/3y4pn wZdb0RVXaQQO+KE1pvS/kUDQk0QQ22RodHxmcM5PWsG5p26mcW6DWcQoqWWVilBLazjjLGx7dACZ YJL1whFA27VElVjEgH4s4Pgw/MhM0j1gdCYPFY7f6aBcIQEg8fAZXDeG5mgLSSkgRDFD0INhEEt5 8DOw/CEL3qQWvvbeLVvdxman/vg50+2eaHSEGO7Rb30lF2y59FWc3817DF68my6L1auchMbDraeI FyDqeUAo+QSfBGsljoN+ZNYJHevnADkWujoC8dOB5am4aDcwfP+ig024KxKq5AzqxsoFsMwn4ife SV60VmHGesD7h9tal5uvfh8BT2l6oEHwNY3CDcpCORER3uc+Gud6ZvJfQhniKpf768K0H5UqAieQ FgBiAJ1AEj/czeq82hxkY56KkhpZYDCfXGrNseIf4iJWL2EZApYg23RuH4lwkjZKcSdrDcoeQV0u IYaQ9JJYQ8ViH7wnoqC4kcgM2crV26U4Su9weDv6vOIhkDA3vkeSj6WDtYfbJXjMHWDHQPys068P 6lw9m3y093+eJDa9E02a8LyG0UfhtLpW+qf8QUZR56YV0JgJkSDPizbw0XJcT8OWhqCWar9ddiWt zCB/T9T6gZ2Td4jH3I2ogm6xBpWkvUeiVurSB4q1eYAoNxYnVv2HJrvSMbBL8vXp/g3aNhBcGCId kBwSF4JNaWPPeD4Xy0WQJ6oO6bg1Du3BMz1PsR6NirmdVGV6iuCicKs07i4rP3s4eMpfldHAvpV6 8UXpmflAIRb0qv8Bg57dlUuWIpJb+3Z6sgBE1yGuwKLkLqq6Y21ejMLOBh9V5OEnk3AFTH54dAG/ 2Ms2yZc2KPYAODUURa4jsO7PJdCwmHmN28b25EuOlL0B/Z6htvp++5GEQLuYFqFym6QwE3I8T7xJ k1CdR9WAN5JABDjPs9ldkX98pIu2QhLbN3gw0G2KpxjIbO0uSv/35plmqITAaCMG37wVsZv+iXuM 9ha3Y5vI1FLg+IroMHj8tl/7scm+e56GVsVFh+Eyu+bfaCEUyeSYwivcuSjknquJ2UAmyAYTbvPi +dxGE9/204tr6/S6lrJM1FdHRoZKSohENTAkjHszf/OjdEGzZRG0FAXEKNqSO+siPYtxL1dv6c78 zPOSEHW2mFINyetIUQXMnTM0q1kTe5EsKmBSYOwjJFTV/vaGw8JOjti4X9hlzoHhPtG0Ydu+QPWG BpnkRCNEVE9Ho/6OZ+nBAFlVxP7V6QH9bIpA2hblgx5apAC51j3jaimNtL4cjuBmRZhfh370i+GC Ifxzi53CZgOMj+glZK7n6Yh2IUqqWFc4TuasqhYElIl36lLR63B8OgXWwYUAmVgCufoJ6bMMy8KH uSQcshkNYTdchrVHGXnsIMBTQe1Mmdflp43IChB0kH8RkN34wp+xVuPnHTq+bkRxx4ibhCLuWlKH dzWXCX8UFv+yMlFQTwA2qA0EPlgO/CdteWLPENtUcnf5PC48UNen1UkR3jzCMUmSy/v72hGOl8nn hIRMAZtwGuIRc5RctedW6YBoMtbosBw+KPKwJ+hsSAK20vB4O6AlJiCP3nK5yfIjrhRot8xOwXcf CzMhMTpVvW64o3WCMX7TBX+5roQVFzZ9781+Y/3K3wOPBMhk7gVrodHdgJRJuwBAM3Otv0cmAieQ jQgyvZX/Qif7yRvXAnZIcdaXxLBZ0xGRhhZOofc7tIPCFAklyi3+u+RWSOBMwq7WaUYIf21ivl51 7ibXmrElgthtaZNVO8ZQYA5DlIEcz9lJOLnSQjgzXYbgwZMZWySAeXhNZ6jjb6PZngTAReqgVEl3 RZMS887/FZEjXwAcBPHrwifqEs0KS2lCasrBlAFRIzk5B85izRRzTEPZYJy82xLZk05OAZbN6z1r Iqoxr0gvSyi0fbmAJl9ZJrCmGMrJ2cQz0nTWInnbJl7p2fIV3Fdkf6qeUTGg/7T3mt3k2gEd1qu3 6pZi4iEP3DocjhUFAPmIxX5ir4IaX4yNPVpwWh6S6kN7xvwr3M5K4cygHd7yQyFZRCu6UbzwQ5VD xefZTsMz1kZXUzWb/6Dw2nA7ko3FIESQVmRvlG7xkt2w/4w27w4b4hE15dN9hFM28zbEKE0oV4GH ugNrcpV6jSN9VpptghMGbLthWri+hELDdr8qp4yVTR+Auc4aW/1Su03UmURtUA7y+Grkdap0+GXv /gvzmK/Y2svua9aaHBDwheNb+wQCr6MDYR9/Cjhme9Q/XlAjSgLrgNTsxXjui599/0YL9IkdxBqA FZU6P4iZ8EvJ2KBwOz2J5rqvrd8XNsCyyhwolv3lt+9s7Mjvn7ICoY97BLg6anWpXGdeTO9CZ9+b fm0MewfbSNfRsmH3lCXgQBpy86G7zxbzYTBGmuRX8GNYZX0mKllZ1vFZH3iE8Pb8kH2eheuSbT6Y ydBYjMH6DHfUavnzieTWCO5MzovkmwseLxBJMy9XSJZD9GeN61YJRoXas7bZc0gBcRQx+gg8adrp MUsj6O7f5O2arR9efnh7hqWese/6qwQ2IlxHpjfRf44+3pvkpAz7HfEkMOMV7twYrRUJ+YxUWXjN IqeZkUUyMMfaWiiNLPQzl6nU4PnSGjVbj6ahkMRl+H9kLeJRIvEMBDMNXZjhn9Fzr7hpPoIBZli9 KeoUjVW7lDhMo1uBrY4FPw+8GiptuVJAIELULoqp5Wt8MEQEDzA+NeGdJMcF56z8724FnllWigLI U7TxNaa4Xf3u2FmW51YckXqU7KK/7teS77kuFIgoKe2JA9yHzJYIWVJhoPy/fuQM6fyAPG3Rsgl4 sgW8hBXpj55OqxGoLMcMeFZrHLSxnZQOhVAGVhOOhc66xE20/NFpqsuSjp8CEuYu8udyHCOXI4un tOVHBcvqRFAa51p1pBuqGxAUPZoBg+Vd3xZpyOoHBJqkhW+3VoplYBh3lcVSadMvm1A8SNdY7Mty bJUDOqtARCXbjJMwjYhRlCzre6o4EpZYxA3btpoH46zT1U6OCOcvgNqFtp2mq5XF4T7wPHuse0tU 2ECJun4PT8wk2usCPapRz+ybgCHBdlqU/1BXlYwQ/dBqagcNIHwpgLju8QSnrYkheY11lcdhD0Jl wyoVn8fvC0oJrZOFvA8fnApIuFPnO2Tdise1d+3tRW4noBaD7TSXMogg6qZE5iqCNjNuYYqGGM7c pCXdFjzQFcrqiVR7kPrvJkVU72SL86aACS3wWUPPEm0Hl5W+S4Rce69LaaehF6hysR/xxMmAZ4T/ L4ZynBQ93GqdB5SJ4yp31+EJ/1zyTjcS37Jjwy7YZifzA7ayeo7hHj2phj93Umu4x48HySM+r9R8 X7XigTc5xYq8gnJbwxSeT0drdLsOT6qxF58bJhkxOuhBGjEpuMYTyNycaxUZA9oLfl6KDOnFB/8m wIkNYmI8Qrm/Cz5T7f5Arkf8pEjVSw4Y2Ek5J4DXl0uk4+ycOKYlZ3M6YSqAT9FUsRBYzml9OTfY Pgi9lbyEWqtxwM2hmXkAS0JeXeXnOZrkr56Pslmi2WS8o8fziiz6uwHIczTrtSCPSP2O23jaotmL XocF4WuaRtpqRvunEvWoiepCTOtMCiT6M0lPI60tlEiFHP3Sr4vkZbkMVNH/D1Pa3QmUI+jGUXCR JT5G2+XgjDQ/73dNpYT1x24jRAzma4gO4WDRAbwAoJ6ywmmtxBIOsN8xTjc81Ty1zlwIVuNTO/J8 poesamkR08T8N3MR7Hq3cG9M/040Gzi2jBARcx3uy64OwzPco3+P1rsSQ+F+TirBj/smzMtWhWt8 sOiTOTeCaOS5wcST9P6tsuuZMmbQ2QjYP5RJAiG+VHDYtFzvI7//w9+C6drC1zvGSM7L4yTrd3Yj /5Piu+IHryVz8V/CUH+gphQAVNxJE3wuRG+x4geLHIpwAkpQhIurjbNo9EDx8u+evG8JvIUPFSaR +2Acs1y55lSyrDGLios/74bOtJGJDJV1WXVYi4yH2s3pKUgq+6eTvC7MdWmEM64tMQM8YGudnLM1 C7XBrlWD9pYwPfc1ufX/WpZAyNJ/leDu2SqAkwqrlVivSVOINEMnUjFvtDPNDrwKO/4FFCOEOqrT fxDKKJAmG0wjiVuVI7C668NzDV86GkiyHnL2VmX0STzO+JjthKQ3zPCD8Zvq7lwXJXp5O7xSm9gk +dk24prezLP25cthqKLonsiwtUlhf8IY0bcMsxtg4k7a9v9pwDdleAwTDEevmvc21X/rMixA6u+I yzipyOxtRGCeFEx8ntW5cLufruA1RJ/gsiIqikiRAjqbhhCTvqALYjYCrR7hFPhp4BAyPBIWWA4S lSBSwETR98RriUJVAv4KSkAIBNuAe7UO0m2beOkv9s8JB32dEEow4y0XCqwGdCrhEo2D1xNJBiDn 1DWGg7BrKKI9YH0inlEHPyRowtC6pSvEeRQ9BOpiy2hoRE7KQUEu+K7K4zeQMvbN4Jl7hrkonJWb +aliCybStgkwGXGXMqeAGVG/cRcsj4cbU+tfyDBSGnaBd3um9lk3i+igak12oTapoTcvDjlPPxE4 lDN7udqYfWAWXqufYF5Tl3UQ5Iay7Dxo0IiXYibZb79v9BUD8i0PVFBKLA07IeKGqGP2kCUYV8q2 iycrEDpf+SKqB8ehWanD4B4ZoJVcUmSN2MhRRoXEjyNkd0rnIMJ1eYH2fS+iGjDt74aPl5P+gLuv XaPeCZH9ZK/pnFvD7zNHEGYF1tS/wd/DuYPHqtFkwAwohiZcBn/ZX2WA++6vpuiBJVcK1u+frq2f hCNmAGLZ6N1cf8sNTwNyrlZFI6Yd4Wp++sd8epF0l7s1UdHldS74LOgD6xCtzkQb3QtDpIw1UHn7 CM2PveuRtV7871meEnk7xtWXWd/n09hmt60U6LPeIDYwnBNdSmmIO5Lvxs+dk8fXRjb4HhtZSOjd AoYHTsNmi3Yk03pvTlv29NombYpNwvz/ISR3B/Lpo3+1Gn75sIqooOBuw+YevoZ1LpDQZT1I2ykb F3zFMb7SNFld1uyoGG7hhY72GA0nbha7wayNU+CpJBsOANIQSl6m9Zl+93bhtKoY8bokgrYal4Np 5LDQ38E1u9KtzEGrA5m5QGBzTJ5XPfIsJkzolXrYbgB9SuTEtMkLv+9Rz+G0kZUmBhVBwwOuLdDd eW3iOcR3PFpzVevlOJJZeoHt/xwUGxakNlsFI3Nas4SDHSYTKltPj1hHaq6KUsdqhsrWxurrou7t 8oopFgOINeol14godhmPosTQwjY0NXcUG8OkHaRijDBUbFNeLXmwJkoJ5NefV3pvKmV+k0b9V1RK dWk/6KORShtsiOYp+dyUrmzk5K3vbmkH2VpYLLzywNT1IefCDpU8mqVvt7L+sGJ67h81saybPLie VYOX7Q9UvTm1F5S8QBnM2WQOADsYWMKVEpP2a3dlFzSeaKOu6mMtjeoEQZtVhp89Q+pxF70XmXq2 BgXvrx05r1N07UHEeQ0Yfk2lF5Rbx0xDB7RomsgKIaZVD7Xlkqn12xyDbVF+5U6jAz7cDL3yoPBP lxcd2JEmYF8lJ/U2XbZW7Yte6siG/nh3ziUqynEhPqfOgFvVEUFMhbLeeJZE/tLi5EfHbJl0KC7a +WinUtULx8mu/ehWSjDXwrNOwcO4hkVEOtAlbARawJo3GtNymR5nOT60z/yf8BqZa/gdKixDa4YP kDaJaQOL0kq2xocbGa2HPUeexg+oTCtj1gdh9dX7sSiReTbSkZOmFbRTjoUjg+ty8HHZLMlqEtH1 Fl7cOryvtjmlDQd5khJ1owuiLVz/+YoJWCBC+P+tWiSXKoUNZ+IT4DLpsgsRdZs3P3uhpGz2/W5+ uUtsCJkG0x4owFLrAVB1Y/x1GBO/Bwq173Bwthvj2uyk0+JsiJ9Az5MbXVceuznVopYabst7+JBG mkVDqhv5dfB+4AM8R6Q93RJxx+joTYtIFCPe0PTTyd5bMaKPQ1xlUfNG/tbjkuAFONoyoK3wQlXl K4Pop6Wd4NKVzCX1Zte54MSMXoTHSM2Svo1hQUywJx8OEdCIdPja+0hqM8yImGUugIqlwOc/P8b+ mfVp0TPxAPAWP1m+qXM6nfymH5N6k9rUff3FGlD5eYP/6z9d4Vb1tx+Hm73+di26a8s+lMt2G3GG pizyMMCVTXLzOv7KqfoSqSZ65hrBcyxTY+N0XHh3VLW3n5T5WflUDkRdj1zVb+P9jWdP2NTl2NsU VZIPBKdnHPY8/t47y5bRC5YWRd8fOiEy3daO6eBn8OocRvC5+RxvEQOg9TqP2ZygKsnPnpGJzE0B 5+Z4CTfuGk7RdBkm4nPCgWO1VDxKTdAInRg3JlOXGO/Lio0OyiPpylSC0xnMx5gxpYZ27YfBy0eh BmN0RZl1Q87MxKExjpWh64FT98HBFr6NH0VYdgGI5rqUFwZM0RnC2Z/iijQvjLVE7QKrOU/36lHd 5bHPWNrRoL3/+25FWSd2fBvpuI6qmNhmi5korEjJOfHJCo1y/ER89TRRnLMGpO0c7/AsolnW76bn infXnhkhdSi35wD9EH4px2pvFVOrXgnLcV/LJKi3dvIoeG2D1SmJXAI+UUwhMbk9DCmKqxvFL36H 6TwyDv1y0STiZgygCee8B99jWxj23vPQJrH687BwvE4i8xSHEIbY/Y2Y04OqseTC446KSoXyixAx PQS23KalYQg1SsCz3lIZNKdvaY1kUbul3rbn/pZsrtEe7aAgifK2TCQaogHx07WOJHKTSJBpL6bt N3WdrFgCtoXiNMh7Sv8MVe41gWPh6yMZ8ztlUgjEPKO6hWXoV8Tb21lpqUPcmAWUp7Z3asltfx5J r5hPbsuydluHiJ5MA03JW0eSO8vgar6OKXYswbyTESYPU+vWMu8gMeDRRNgojZ5tfwyPQTBo9Vz9 stbRkRu289Wg7d15DZgDHy4HbzbDuTxUK9cFTsxj2ZTwUAu6UEQ00WtTz4X76n5B22xu7Um6ez9V SyugT7Q+ty5icn4leRhOVWyFcoo44PxKDMHWRXscQ1TFmQZJxVY0XijduYPmtr26jgyjzEF4YMco T5GIpRTlRwi1py5TPOOHpm10+FuskFOBJuq5fPPiWokAHL3/hzde379yNIbHhwCYmU2U5exPapXq prcqaPDv46+CoRHdgYBMVYslhViIWvlxnxgDlT0lcfplmsU/uD2XvwWVUoK2OdLfDMoCpYbogORz hEcAbGmcCUpSPblR7Wj+LyJKvaU5l/gOw5lrVI1OwCk2/Ke166yyAFLocRbJFMphme6X5JcgEVRF vjAsKGU/Ne2vbrIQ0L0NZpEck7IYR+zfPz6kDbfGXdyZrjxmoYVrrKhQhGSLzznSXsy3Wx+6p+wk Cs9Ka8F1NPM0JIFwvSo9z0XPRgnUUkPL+EzMO/cxB7FwrM3696HJTb6dV74RnyYyXAgQfDt+7pzH u8ExNs9Ag5TAwUdQtuz8QgJqSHlNDZ+6ycc28MnYmE5pDLzmheoXGbZ6BNzGeNyD7mqC6olJMCVr iAsSahDsgB7maIjPl+W4VdWaSqFzd4r/0vUF4y5LxHiWU3T9PULWcKUb8tAK+iL4NSIGzIdPGhaq lIkGuTj4h+548GHMsC6GTfVs6/zn7WRlUKxYRqnISVat/+qv9NmhWDVpZVLkEq5mKs4t2SGdfeJK RSwuYLmFNd2eSLzEgNs+oslWR8xfKlXxoPRTzvc9+IIrwdV1EP6LNBbYMLcAHlqsBTg0k6X+znIN vZ973XqtfGE29HXy4ObFK3bRh22lxSyZz8l+bKEWR+wDl8gsqbHKtYB+CqYsUx6hW3hBifEBvwtU lGu78GgT77c7JJcwuuQmShnkrzVUvpAUGMhD5i3X9wQGQezYcfk9wk1HrXcytAGsr2OZopwaZp8g K71/J26BvZastPCF8q1PZnsp/80fCaG8txRq6uhooYVCVIYZxUWu/5SJQQ7o9YMC+7HuSJAFHjMB GhiVW/e7UX5+Rg+KzzJVMosLZ30Q/2yMA1oBFgEHerT2Bz5MjdrQS4kJh1Br8uJSD1zYozqJOA4e V4NDcL4hqKgPTefjzavEnaG7e5ehwmZiifIU1QxCug8w7cox0818WNBCR5oMD47gc6+gZv4T1FbA FZwFXNcC64J3steD3hK1Banh/eD3x92daZzcuWWbcoMw1arWYRCqTQ3cRI7zagsjqoNGcY+IvKpv hvzhvALsEqUGl+BPsQ3jHeZfzvIUEaPyajRfZIVDsl/LZW4jI0K1S6ZGDF9Z1w9YoKvc6z+LdiID A4BuyiQ5TETu7mjRcz2my519a6YAIQDY9qUyCv0vrjPiOZjQt1fDl/DFQkQ0YzuYTQbND9OBvUNR dbj8hxK0NyGrldaKaxRzN5DfQlV5WQTXYh68LP4Vn1WcilIONVJaUP3wHAQxWU9K4U2s4NG+TYG7 4xXaGoUHj+y5UVzLsJuqakaYEH1+/bsc/MgFCkHy6xYDPKJ0xV2gDXGy7udlPy3eq/NkO2hTePEg eIWBTtC9rwWtz4dg7gBoZwiUFmhi4o1RpPUhmGVxj0Y1DjAe1s007/pvU072QdXrugTelZzprjLO loonFrrPaQwIPfpAc0bWfNRMeadKzFpFuCsawmAAd+x5Rz5O5PYa6/XrfgGS4kd/+vDOPhFQ0so9 euH8lITULyDC3/Htj2ta8ZVXjAK1LhdEZ1l237cscrnhJ/9IDbyk5nJiRFaV0yONldmU2YCf0zOf UVV/mqB38LnDD0TKyJbvwcQDvVuOhIEzsxIR/4/jm+qeAYb4NFUp0vW90TlaWpn2/DO7qHlj7Arz hw3bQNkKobyU3D6HzOcHQTMC1hT+wnGWB8faD3aIZXUe899HVmpAyqPeQ4vn8EpvDqT7O6n1fqXr 7UNwuEkjT6nLobcvTpTf2tqbiXLqva1C1oZhA2x/9BLAxmqyWTujJeqObCE9S3E/PCezLfPCypmi FAb+slsLqZ6aZYLn6VwpSEJ02FzBDweToMZExAMAkD0YDpHp9xcnCSE5GFDc68pvknVKSK4G4Ur0 pY3OTGcCXmwHmatJuySEa7L3Uzi+h3Gk9j+qnbZ/Fjm32uat2CaUmUEoVkDN9bRm/qykHAkmM4pp GcW2F1W5jqxuhYUfdPC7e9IbTEH5adEh0hyyG2Q3R/bV3PlZz9PpYOniJB4DXGkyJNKlzzpCTL4h 32M8in/LreO9ILzZs7jli/GAjWfcghCGldkGcA/KZgUTG2bN4kFr3lKiem6+34j9KUVhhoed6Mye R77SJA+qlefeu+0ovN9sOmbhsSc951kPO/3HtO4vCshCn8jpx9xhPGp5wcIVtfTlLBk0/VcAWpr0 NLoN4tjq4wHAVvp+TanM+lOiLhFOKXV+WfQsToEt7igEvV4aRJdNZv0XgdUrFqSyDkTeKmQv8f4J V5stgs5oKpmZRmK6Ydk02yzUWrW4mb7GyqZrwMl3Sy2Q9Pvi9rHgPq0jgRPEfRHrcjzeuWEXKo07 wz61SHN/u9ga0Y/cmaoGG77bDCf1JaHxDrJ/z51b8m2W5TWJsZ11Xi9VusUDNAolwHmuuzXc2Rv7 DOiS36r8MKAIeI++X4epHP1gzr6/DMcjzgVEVq/lmYqH7FdglINBjxRejQaSbFcwhSFuNsRYWa2q OEbQl5OSdlrm2Z342SPZjA4TmP9QQWQZHXsVo7rzQRzOCYq9s2TwBP8mSGRAa3ynq74muWWVRCNz nEw/k8ZldCblRtL3T19z04VsNh5B/3+bMEkeQiIGanrSLgf47045BI0e5iYmdyONYJYfEzStOxlk NwfFyWvMXSXBDFOkl5T/JAk+ptJKEdZMJLlm+SjJbVXREPhJV9YGDlSr6F26cHNRJJAdZApXf3vO JEkTJdqy7dLeXGusfdxPpB8hZVKrbfUL0/lEjWxMAuF8pDf7SlGv4n+FWOUtMm07nY17aUI3m2Kw zCXpa9qgI8O5TreMsWZzsOEBHz92/e4LkDP+ZzmSeNxVahuSZTy6KcWRvUpIAIy9PWTOApem/ueb SXr/FHNHFO5Cs0RRk/xCPUPckXZsmaoisW7YeOsqiujnIY+8SFczkQFfBvNlethERYcOzSMdd40i AFzEz1Zb73+tHeLx5COFKYLKMRwOPIwRNyDUYdbINBKeqaKGsoP2nItgGZ5zgO4pFR3ymxOpITUU JrvHO8h4JMEhDM/fnGMSFYMd3yNlv1Xd1TB46xioj6uN1shoFdti7owmejimn3+kBrqQZfC5v85/ gUfAg366j3Jx4a4E+75TyUA36Cn7M8Tf4ZDq0xKd4OAP0vAbTEEbU3SA9oAy5iN8JKeoA5CAKwrf EZZCqGNkO+9aj1KIfcrW5w5qYk0bwMaCFyWvMn5V3O3yIghx/jm6lLn56mn7MCXhMRx08NEtjpNo F4OXQBTZozupLnqAbbPyMysym55oCH0t87ZzX64J0292FBqgvhSYoh38lxB+bOqqvjTI7Mx3GZtp iQlg3JvqIR5cQTLz1m03WcFTCBcDmlFQjlig9ebDxN2Fv0EbMjE/UiGStqN4eaNnJdb3QcJrPK73 QdIy/xvn9sctiFK6u8RdMCyh2cWl1NDd/1+nQ+mX60jfUEAmDI3rEoQaMcpb3rBCjTsslcd9OMuE OwxkqNkBJ6ZgmKfj6XigwzNarvbCTbr7wn17YyHSxfZb0noaecpC4WfW2DTlsPHRXCI/qFruBYXc ors3prwOCg/kSerRDoQgRSPVkHzZWo9WqluMxrwlSV8U540l/sF5WtWDF0vdjS97ijZMy6SjYzqI sRhFzYkWP2s35Xp9q0KLAIuuFPmwc/VqGb4RBh+WXV9cy+uEDiGzTNddFfwAkGqPMG1earV4xC+k BjX61DLoTcRqdHvGTcTGWeNDX+GEBobKrSOelq82JovJOaMWNO6RnW86/zg5S9Qd84pIqGM+9EX9 218ZLTTII73Gx1OlP88E5J+L/0QWMqThJTZufEoIwHlfy8FqxREYwbEhwUmLkWQvo6NC1zz3GBbk +P4KFTJWq3rFOC5mi2mmWfj6yH1LxBO8TYQeceCKHT7JhgGtZLSjgHMDUrYr7FUsi21/8hFCxuFS OaXxtSaNTtyscNOaBQNXE3jF7M0S8X8WKOSJkXoncxhYy76qm4OnruwDSJasjQiHG5z+CxGANMyO nw0tY11jwc76tDgJaYVjioeaFEVlGEdgRwoDI5WYZc6rsaE5WZwNM4iwQhbdhgpmowc/vvGLDwxo FYTYfUjclG+i0iH32MWjlafTYH2K9Uwr5rOC3bkVp6qcxOYrBhTXFdoGZMzVl77u1pY/Ns1oRJO3 yOrYgKg2tILeDwaPyrZ2O8NRUeEcpF7RvfXatnlJu7stW5M0Lxjo7UEFbVyKRvddmInaCylOQv3b 2tsppM1dKy2SpLgZdKQ1/qt9E7UGwqR6BylIDUF+XpfCiUIAA3SWO2mEQnLKhcOJByFwQHSGEGJ3 zICERmBLf7z1QHST9gi1raERD3YRVk6fIm/aFDhAocMW2OGheFZarIanQl4lsMJP2uJQiUxsX0OY 9fVrh3ovSfSzcCzdrzwgF1JAY2EyeOKN1Ud0dEEeF+IRYp5lXwk5r3kDTopC91rfSYNJCRRZrUeV mapNpYVFUFqhnMgQ74C67LXWxApJVitNtrfOpm2vH9/GnwpBhU3IRr+jAX10Q13qS5PAOXaLA8Sm NCFr5omW444NaxA+LfZxHg5VfpOZcnWvp5XsZCEEMv2tOKVEFHOUVJ0Umh51JN5H+0i6nmGXT83Z 3tG77Pf8ZBsyLUSknaI99tHnoczsaEcze1VFRoDhaKbVRfDHq6dZMZKfp0PeTW8epVuYMHkOny07 0y57vCmBm/fLcOaC/gYuPoXhFBDoCnWFttu/Wvqq6GI8/Sr24obplB8c4qHK7pGlN5v/67yYz3ox Fs+MakckCpSxsetRHD9CB/Ee0/cldVS4TNT2DECpKyufETbzooQh9xTWU9jkmu05p4vOMtH6L3k2 79E9KGC6iTT3I6ReeDgLc7HHeTDNESN9cEXG0dEgq8Vn1dphcqCyv+fgK2Vfo6GA9oDT3SGRz0yA AMyrlpPKxnjZbu4LkGQ2cSBHaXbZvEar2gVOVHMe5V48dkd5//WhlPGdhCKn92cJJujjVGBp+lt2 beU0r98QIo1cE15QJp1bnmrdo2MFrJfmvndzXJWlvSAlKN86R2C5s7lP3Zeg50zkyCNVQKLrhGep mLpQoj3YTIUWrVYy1gR2IpYkfR/athPNH60fFVxh4Lbjdi41lBEdL4Z9MR+eMkcAG9jM91auV11U WuR6f6b/RBM53MwUA7zmh0JitdZNtYsLXzxvdqWwAyRgFzI8GFUKwvn5A9rtTl6QDUWOVALBWCUg xedFBCqM6cPPvYaQfTvE2wqAetc8a+VAKv4W//MOzvZy77JmpECTobB3RNUPHjGglDfECz9w+7nn J+gkApovFe1ZNBbz+cGD2RuDrZfnvUdo57hHhMZdSjsyNlIjYqy79GKnMf+Brq/xDYrLIz33dUhC 9r0rESGbkPWw50WCejtBvpiK8m/nCRCmijDNP7V9oXHslXbeLEOHCp3P+0+IUYNVnBlp52ZlRchz IXB/yNqQFcxXaL58prlVbPO6QKXpcdPWF2gOWfgMp9Dm6x241nVaQQwCq4rf5dTkT+ZAy5VcX5vW S/HwfZsHJt7/EI6ZlBqF311AoHbbxtX+EjN8jDzl4h0iwLFL4SrbW0gEBYFDePZ/n28LifMLQ6Zu X2UYksFtE3/RtNzCntg/JN/Jcm7+0JkX+H114xIF/kGbhrQvp9ygJAAK+rlu22P6gnTwwACStk1Z WyjD2gIeKC76yd8zFy16/GJ3PoEr9mfjeXUfwWz8sZzhZHSF3pSss/0+b/l7V7j0WcM6vCC80xoO arIkQkHAuW5FAmbQ/rHJJgxCsej+kYrhMdOo0ITFdh5TDno9Nwb4qDQesdWKuHLld9FGcxmEiFpg fV8OM+S808ifNQ81TR7aVR0K88JHpOlC1rPrrjBxfzZ+IeRik3z+YB6h3cdKCX1jDZCSNhnASi+Q RX7QvLOtXss8Y/xPAP8oAk/z4OEo5Gf///hUVgydsGXGNMzooIC6o468cbxYbS/fyohlS/1szrdq dXYfdn1Jphrh8gDqr6R/RItfoE4Cm0La+f9t4UAPJmIP/a1MG6aMn/mvNoQUceA7ljOmxxiTKH21 tM00so/AgWugF7rLNvwwGECAqCIib/GiD2Wx/JgoHkzGEuO625UOJLD/wXC71puCAe/W8afTg2I5 Jpx+xkgLCywrwKm9aMFtaO+X5hsJR8bySAhqLY52rLi1+dvTCW0NTYIycra4WuLm1iTr+ZNAWFma A6NeSPedueKR0OZx4aRloiT8k+IJkQjEcPmOq+VDbqQ4DAgY1mpTlYIDvjskCI3WuyB/T1YrwglX mJiDdjktGbq4SiASMVZLkt7j4+y4p0Xah87WLEf0FcQxxYnzH9oaqhty30o5QxdwfvTn3u6vXp3m bHEmQBuNKhNSQ88f67QDPWKCRSUQHMn1Sjj2UpWAhGs1G4B4NbK5XfL6IS1t9i0TGsc7RslGyq5y SUvzR+qjqX2dzkWqZpZGEhPv6LPz+slI8h1m2z0XE5Wg6GfGI1EV7uWGhG5IkjdwuS3HAv22UL3A HWOh8O1l5p2ON+rJPcBKo0lr2nLRarIsi5w5edjZOot3f6I/vTDFy3YFQ+6eSEvWz6QQlXnb2UAI 0h7wUP3ez4fQ4Hxv1kcuR47AWTdq0dxWx7TDEE8DW051/vhdGhlnOT2KMVx1WFmnLOFZhHYAvzVP NPd58D+hBApgtZSX+Z5Q0cjDU6JViB3S/dH05bgYcyLcUL7kfiv2Lwgnk62rOuCl1iqyaJm0RsPQ EvkUBsTcLoNBeTlixyxlPNUOaIiq+uUnF5nytYcq8s4RzFaNIIPCLcKdRvkpOBARr/yCgb0buqWh UJJdeSkKAJzqkms26q4btnjScES096ifO22AayYK8HDwakxWYPcaU3oTQYUXh+a13DxzMEnNxkFg eJbkL52rIXR5kRHsm6vX3bV7KSOsf6M5pcGAtC0FyXVrHNGPlFfixv0nLRu2qZdz2pcFGaTK+zz3 YfO18ZgDOmCCMAFT10NOUeGrzC6lLRylxozkIgRZr2Tr76+j2+v2u93hidRoTCpaHHX29tEMjEKd XteObiq63P0xFyKSu0A65e3Pp0LOAJc1gJ2hh1cIiU97qMTfnLe2t/LHcz8itzVTT7b3pUJgfFcQ bxzLVhDW2TmmNAY8UkXBSmve5R9isE55x2Qk5liZzKl3IgEQ6LITkRwP0s2Ci/h8FClNel49KPEw WjFpKP8oNflbicMzvphtIozX9qOy+muKlT8AfVBGl905A1KGBE5OvDMlO+jv7nb1+FjyGS09UH4F QI4AFbcXju4gVPf+FvzCb95ZR2jLmU3nHO+7rWgD7BrVfvH1orQwlfofwlCpCZWaRYmZPG3ij6Bz zS4AxH+cnq7/TmzKe1m+hX8O3gNJPMLtCbTRoqlHggbk8pE5KNt2p82ZCbDyUT+0Vc0g0iY9X8Gf 03RhsTvZkAex3aXqvXGjCD02aDXyD9iwHdXeEpqdIzWfN0EIHvzN11KvOW0Y80yQTdibrxuxAuw0 CBhnN1s4xOb5hLurWswvpdYJrSu9pcNAL0tL6IdHwnLmtetcKq9eAlBeFwS3fZYDsxdkaQ6A9WqT yFGBSKjkBekFJD1RV+AWiRJIogyzPDnJ+BiOQKQ7Y6Sax1qlPgvJ8Afkvwj8Lv5fP5Kj+ZanAKjO H0jQBmbccK1TcdFY1XfKMRpjTCw9y34UF5rJIHfsy6/eUS0OBBSNM5byfKtpzGjFsawj5gXdqejq h/rvGA/xhg7cdpk5C+f9tfddMaFhdIjRQccny6HlCk5VWP809V4IyUIe/Hh1jIoVqZCj7mOUHtak PBVOSmjTynp061MXPnPgQIoLzV7gzuTcoF7IrI2ocqV89XY8/rEh3Ab8uIVP66UBs8uhMTfBXuDK HXrgJU/cYLfXdK2ipzzKmTGTL/GJ17kXnqYnAClArauAYVoBcqGHO5G9x1pH1N7d/p51p6bw3CR1 rCjbhibLaB9rg1zj6glRqiZWJLOUzOtAWBMgwRKHRB1u92uLYglMrjpOmsa+gAHY3fPkb9sKkG61 sVJjXo0eXbvBoMvRrEeIcpNwstE+3RzYu9gXwJ43AMtZUVwvZHA2D7LYpppR7azX8oMM3svKfuK7 J7n45gQ2+zFqsicv1S2oB48ld8RJ3f7fMbHVeCEBPNo0XiPoIbc+B7vGokx5QKg3vvIgt/FDuqDC f7v75lXtoCCiRk6dcWjmFViUAj5dNvHssxuduBvZUyuga9PEOdpcY8l+kJ7a7q/xmRHDEpPClmG5 QzhjNDy3+0yAT+vvWCgBbng18Q5m2VfSWKGaX3n/+VVV9CZ8uzC0CjqrT7dN5ugKTTUTfm9BVMZp M/flgyLwutKHp8Sv1SVcS5DRwhpGowdJ1oSLV5c6NXojyHStWTwRd3rPlASsNaxPfRzvp92WBXf+ Jal86XP6L8/H6EdIDkuZhgmazesTZyzUni5Sig/FW9iVwSVA/rFDfIfFLWdbqlBIegge6+Jdhzht kZC0D6e/MD4aJ3pmptcoxn8JsamNs2bh7FXSrR6SZdsZ80be2Yztexjgzs3hxiLTu9yDIOpdmiHB wreu2Af0E6jNB+RYsKW+vQ7kPwG/IhAiLw8DMWQXuu/mt8NYrNd4CY+gmrGcm96N/7C1IKG74eVv vDrCNWxUSfH06mXRxj8gnL8T0TgT9krETtJoyzq+Ok3MCggcxnlOreHwkTsgQjblUlEZgvP6L87y 9mHMuq1ugIwiUc85mROza8kmyrg5R3xd2rdKKdVJ3aW3meQTCZHxtbhumJSfDCVRQ69PMgmT6t8m Rl98ByXy6W17jswGze98KwHF80EjV3tsyQxdC7pvsvd8V63OONf0FQ3NX+DcD7MQGZoejwGU71Ru n1Csjps/eOE7fwc+aZako6HSuVHd5BuNn5xVsyvuHlvwWomMu3WOzgrXsduztmy0AUEBqfZTh3hI X3NToiH48tqavJ4p4S3JkBy7vBWndKrNTAcQMc0puHaIoLbc1XQRjGaF01mpkqHUb+AZnk1oaHlh +rOnqlYJP53LkhZQ5RVKbe4gkmrv5knbPAEeyI1jtQUXhOIfeqzTIHKOneFfkHvCkTPyj5nVWGiC 3rpgWLAhxk3ZH/852rR6rT1LBjVfVTsRl4AwFJc/uZf0uV6fRr9d9p3i6R2rgo71DM0qKu9vc/PP rc52fKYD1A1vBNRhNcK+IUSJupYkKOuDA/VvBadehd6Uv+TKzS56rQingN6DI3tz7LSqsXHAZDEv RHLMcI/CR0lxnvVWvwErfC201BNdUDc1k7DRYBn83BrQHcxZzaWenOPYEeQ/sezlRqfkMiF1nV+1 jfaedf70TS/FDTsg8mTUEdjT2reiYU04YHN/MumK7TAqd00Pv4Qz8KPb+5GAQgVi4cXQgooVV4ck 6YsDrYbM4qWe/ZS4p6PUpt3TihSeJg+a+p7MtUPWzV20QKxaxeED6BxT/MPYCwE6yq+E3kUjTZLk CxxaEemD+Ij0khiGr6BwVrlD+SJrrenQcYuzgGy6gzn5G1t+6lrViYySVWTzq8gayJjpzxPAVviy DguZOBpRpVDgdwlA/9v8CHlDSRWCYoc6sJSZ+ZWCXslBsqejzEDSGMYCnLPozIccsMzdcyaGuLDm GD1tYYEyPh7UAvtxxVPVeKQ3gWSdDQEtFVA0YW8vWY+cquTTf2pj16JX/7VdICvL6beCNdIzNS9+ 3M9ZkgRD5fwEt0khQh+sBndSCZVlloqIAfYMP3LsWljqj2My9Rp2BP4+NfR0R5PMmF/keMKutpX4 4sCZjy2ByCWJM0rggs0whRa4Ni/I4rvokdjDQBgPviWlQNhHDfxC+BGevjkHNvDOXISmMDqlm5TA q5Z6E/WmtYQix9foGQczx4fV0zT2TEoaZsJ98fjVjryxNsemFJKhCkWrlw7vxa0F3nps3UGK89vd wDWtftnPQ9C1EWqqNY7lH+UKUJqkvJD+TvDN8G4mFiUv7KQrHYpMUIlDYfjOo/uA/SD2A37V/Rni CozAxJu9kBUm9Wlfi1md+z0/XFBXG0chnDHT12s6deQpwcG0tw3ibydk97/4l1Ubx6MSb8k0F55c T+49N8TeqY/gz+7J7NHC2Pe6IRZxQ/tQmxL3ML0Copy8FwdH1r44HF3sb0aKLT7qRYoRiq3nnWUI s+PlJ9ap3f9iR1jqrSOGXijWmqF18AytcNydSYPGHy+ZDnVNdCsUukiIsYUI5TTwLtqmMEnMfeUy 0DcgIgzCN3j7nkkAHIC/mhIQQq78QkTAfDK3N/gaorK0OFAxYYN2IPSGYVeLJA3wGX+t3kAZh4pu pJFk+Is5qzgo/VEmmvVQbdVVRQy5IhEMYKjlRd2DFN6We+JhzrZIyvI8u3FfEn7FThZSgUaxeIGQ mwbXrJHQCIAcSTJqd56iWaJ7gJI22WTkYzV/WOFSz2xB173ugqyu3havl4aXxgS5uUI/Eqp+oLsa LmvG/lyDAjOkYinNucn0cAzvzCmuXG6ghsv8tG1cWlEls1mnaKmsNP2L/lliMXi/ovFwsZx23FKk yiHnBSRscdJ/LeD0+y4zo7yM2XjB7YJJOZvH7LKQ9WOo5TOcfFSrdexQYUX6SZ3Pvf4JtwaD0hDV fniEjM12XO6iGaCaV0GUGRayM/uz+T+fXPMcr27tFwlV2OXrEtoQV4+eXwPaY813MSQ9LbvQPP/L OcZsjXj+yJGAAWHIzJsf+64NZXmYlqyU2Pt+2mh+lPWs+aHYsfAOO12+GBtmfD2gQ2knSWUwgNEI o3BFMOGk7oQg1cPjvgVkw9HIFFAljJu0KwBNnrxMV8Z8CzUXf8SDex3kJxlT3LwUQEv1fEwvJC0M BJFWgukZFIB/Ne1MrBTBROMSa4tS42khTpcRZ/IjB7mCPFIem9DT6Wb2oEu6llLsLt1OqYi5qh0x uvTNYN052jikeC3n81ie20Mcs+MU1dvIFd01SpHiPEjKnGcvJBdkKP73Qa2CnkrrLo32CKc/mNf+ hMoyW33hsROpwuwbO+5m0gfIMCGAPrAAPCDYfPABp8fppfBP0tzt7f7UGT4N+M1Wmjf7uHaliV0x FAhFiqUAk+0JBbcLDtueBEsfH1/ISPScNy7n/UWdGVPh6zew3cTi342cj00HRiOjVKHiJmnJICtW xtS0dL7brV1xNQWT8u4/NR5dqhGIcn/pQFFkcupyAhKH7Uf/PYX0TrTceYpsKzDlZepjEl1PtC7t Aywnc2yJJkeDusGJfl7lHe+cBEOavuQ24epUnpxt8siy/qWEMDpSVU+RvfRDlrkhHZVY9sqVuXjg kwRqysoWn+Q/ToRPZ9P9IFsPoYP0idwuBD9RnH3YSV9gFTK1pYZbfTXBMGh1bYE+VVohjzA8Q5PZ 5T2Zc3M6G8tSzSjSLs31xNYWujRKqx45N0LPP2r+pGWnSENTcGexXPv6s+FiBRGKX2SkI+2lZhBi TXG3fislP7Wr3e5ix+erkrQJ2E3fV5alrm9QFwnPMV5U3SJ9euwEugY8+DRDntqk0PjlQGRwbdVO S3EBRt5V8qZZkbdv75nNt3mhHgN2uEIlsrOSHBqOf4rpmuzty0C4tS8yViCYbeG4jxNu8leJZnsM ob3YrhxXAhU688XozEXwtNTh2LpsBcy5he5eVKKAGFkYX2R9SiGHtIsza0WcyOINJ7xi4KDqY/Ft 6bUs8JJhrFC5JpTz6ktEoFX7+x7qF7gHVWmCwyTqzRvbCSMR2nhCBUcB+SsOC60cEzhK3huraqtR 4nUzmT8fGcvmH2MvJ/HKXo10UztgyPp0KU27CLdvvhqn17wnlqkTSAtAV4nMpmwz95CutdCQfybU 8wt0LF0dwHVS1XkGXgG9tcB2tf1t/9YvRXapGmDmB2EgM+kB7QJ1zQ9OGqRzYmH+3Y3uoXAf/M91 Ow4xPs/hmvRW8esCSIJucp+hETPfsXvfc8OQFPpi+yGi7l5+y1/6Rx6YeV5SEMJShgiMsTKTj+Rw kZG4xlqrRw6yvQ7P1jdtM141EwJcblCdfLw9Qp/+Bn7rFVUe5vhJ43xxY/focmjPBnow4rDt3Y7S gKdYaWZ+tUCyzpJHbZlIROuvR+EJBzwBYJ3lGbWNxegEXLm3iK6c/zfY1a4hXdpt4MH13axkEZLW 1cH3k0rvw4g3wZw8AwUyrHFByRlj6eM3/lmbu3+Hm+oy+KJGwx1Ge2bb/yVfCQv7p6kmweRU06nj IeupmaZPuB88+XlpeR9uiwMaqV/ekQqX9Oc0GjCQA1qRTdwViPrmjQ0pbVdFQ6/2LHHdPqHYyknz EGMHqF0vCft1Ne7Log8sZNpWhPnz8XfHPeUm5507l1UtfIcOq8eWFalN4GlPPf2EB+T0+NhBkzlo zO0XIw1O/q3R1/psMAyDX1muO39yLJn8Hvf0F4Z+DVB+RJWOokIKlvvrRqmbzMcvqQUc+kKU1wnA vUI1dOfUgSGK8UysBPMItcKKfTVpmTes07UldV/ZZnGG8+PloYSr7Z32eCEe6asAlEzYPv4vSpSB k4TSfwj3AcUhGHNbberZ4V5JUXtxOCtLSWXgTti/A0GKkCdANe6v8ZmhcmfDqMxRr1EJP4hAsSnM 77IqPlrkIjE56j4GpDNcr/ATFFB5VHo5rtHL8hWSo8jYgVAOj7EGHxHdyzE4ts3MTA4S1f9gYH0c Wq5uDUWIQW2NAxK90tpzx9ezGq17vfm6tIXjgF7PNV9Alf3jZu4BzTSRpRzzIaEtXEbR03FRvQWH 8ioUY+B0eauM+Civ1461+DUm/CzTe0G1T29xs2SjmZmAHSyPmuVuMV4dz1j+Iv8f1JVo+ASPda3/ 6G+wM6TPZZXyb+FmdXaHJRpXADxuKwnzvbtY4dgk2X2QEAN2oaHDgrYyxbP9NX5SNAdVAZbT0CKJ 6zOQzm/0pqbwPPO33mT/utDnSlDj9WvBA79VyItyqeA9sIdt05sRCAb4ESld4U+uVAvpCyT4uugF ZqS+812UKBGIRslHs7DIomLhh6HuPR9K86d4uATHLKPF4rSSnmCmKTnOQpxaVzWalZaC8XrEHHYi 4WnIW0bUuKJ5wMY6FwvnTQQ5jwr0GzN6qkG8I3C7fmpsevqYLnmTjOESnztoeGcH3156DAt1A2WE Q5Dkp7SOCE0pcCLhY+xe2+tiAWyHOJsmlbaA4aZHqxaM8SmWH1TfRVQLn5TlHeAvH2uT6J1z9Hb3 g1XjR0ic86LozHtRG1xFQDSr6EsixIBXlvRrpqD0sp3CL+UX4swhKQIRYvZxdSe2+30ejIbrlvG+ euT/6v64XztR5geiqRZVP4hqmzsHvi729YGA2W3OKeV2Rf3JhFXhahq9SAccgwdwxYydAdRNLNe4 6NSCv/jUSMDOPzJsIW1BB2yVaRppENGE/H7bisFTVblzCsAc859bsStfPXX0vHKxyoZ584eMKMOx Dqc4EMuipfTFiMApUc7WN9albXI05yZFXsYTzCmFtN4k1agNqXJiDvnONwakRrwdvzP5I+qJ3tnH Om/VYjWBXpyNua6Zikw2htOcwqOvN9rF6jVWj9+vBRHiaPgdFcsqMEOxiXleopJID1wV3Sz+SRmH 9csPVMx7SjPu9G1f8J2vY/4kjRgfaWYJD47cVEIMzDU2Kv/zteO9GkWGm7AbaLaH8XQ0IGd2J/3d 9crnSGSbTqNRt68yrbpir03iXEPOqPSE3FdLZenJC5PW18fm6Cc/wQPkHfPwkErJJ22+br7EuGbf 9wZPq85SqsU1uYKBw5yy5x+SPjx3pTnct6BcxUYpla0MPHG6f0+23EvpFfdXp5N/PQQaEdFRJ5KL 4Exxijb9HE4sJGxbdJZoFd0xK1tcUwqEEjIGan02Jrs/4JVfnhP6IfAADLcD9k6bmruIUIfsAHGx NozbO46r6/UUNbAMLH8h4EL6tFwx1pg6o5Vdoypwpl6rzbFGBP5X75Am19LiLT76DgR2OSX79MWE WFGIK8iIXxIjM0FS5pvJp4eRx7PUycCsXwDOBdGavja7pkfJ7hCgG6WdfClHPh4a6JQW6O8shhgv blM3dX/LmKNfUXyhxcQLVn/bMADKbJ1xpOV5N3hByHcAop57BL99f/hJ2g1g73GnOKvWDwI+VZdc zt0tvlXKRlKMcS3lUF/hhpcTu7BR9ockGHpafdBo1ec6qH8VMZ3Uahofs/Bqi0yxgobNgOJpcEJz 04BKsxJEj0FV1Lo9pelBBetrc8DuUat/WBTHX/fnbMCeRSpsIsVB2HG+SxIYN3Jt9yrKNwC3kwOV Qc10/kvkejn6u+e/fZbI8KlpcApC10DKw61tO6FGvrzJc3GvEl51Zk/q40CzGTJG4Gg27Ka7JXCH NTPJrCXVFCUdXiaAXwNbJf+VfxMWwk2uw7Tiln0n8gUO+M2EBPJAjZMHaW07oHxFvL85AjI48VOj Fv1GfCXRkqcZZICieeDySIUtplecwp1/htyDRDOqEXPSLppfEWCrrlTUvs3J6c9W0zcJGPuJvR7m zhBoMGMrxKfhVrOAMIT4LfHtB5Hmo2VAr3878Rp9dTLDyRbpYDWCd+lf2DGXLdcCcL6MQyOmZrMA ovEO+j//kQitwQ0iVLswJEoQ9d+Ay1LT528j+8lcvhlqyxP9xF+qHRgxiATbNN3z6TD+dtFguAIR 0hM2mme2l6C/dlCwiexduusfIQ/iYaX/wzQBH1ZcnZJStF35zi2A3gZSuSxCzoArjgWShDer4AlB f+QhmmvAzBP5EwWjpSba/+S5EgkcULcXq5231RuNzYrWU/noUG3XvI3mV44fCkydQ3hJ04FpJvac b5DjLBDV+Ef4L3YFcAlg3yT6wxkAHS38OJg1BxwwcB+JO+2c0AKtYUbfaO6djOKSY8N1PXYKqKo/ AQIW9OaYgymriCoXuGye0J5Yyb54suzba2OdWRYccwEc7ncDxTq8X8/IMQo6yIkN0xnHHAITixLT Ss0NsrOv2s1O/8jXqY/QMI1vnsv/iIX0lxW1iZgL8hPgIFBgUBYJvdg+owQSGyEM0QxlT3ZI52et YmZqRZGAAY7A1HtqTdz+O1j9YmYrkfsgaVtaIWjGV/Fd7lkmd58ExcGRS0fBydwKSyIG7QIFnRl+ EHA4KPCvtme5qMzqA8zxKBk8/bZtbzbFEq4b1L/5ukHQIo6bRkaz0csTkgm3xR2MB5JTYH9stQ8I pQS59H3KZC3cxks4t794yvi83aCG2y7VXiaF0aB9AvH2OWZGlQ1x9g6KOzSCzenAFGo/Q6EhAPaI 9C1gPpUqZ7HbGr7P3PUqk3PEEXVDViMr6WUS1EGJoCmQAfSuirnCJuwcNm1sNfjzq+26J7zptilc 6pFr2xTVPcINLGUw59L/JSieUl8iSqLRB+0MrAON2GjVu0spAUtBMhxLT5+NYFn3Pep04hbxwC17 C0m5wGvF1Jc3hZqskiqEirj1mZdu8MKlmZ9v7PPHghhXi32g834ybcAj3N6Jz3u63zSobEJmLyRs 1TDmKw1AgIWumCLL1OSpsTCMocHiUxzPeadgNu8OI+QNTRDqFbpufwhHwCi0WcDKHR32r2PCVT6b OxJJtF3TIMdd44nCfxWbw4L62mB+tPpNS0PopAwF5WkjeYlPkxgGCAfLjbejTRT4ZWFm5OrRtp4E 0yJxbKS9ScsoMyP4eKXFGZJIUo4DVLGl00ADxyAing9e1kuCYs98PPruQ/XVDIa4iITActXRliXL gSkEyBqzQwov3uHPNnW/zgu9usza8O7U7ZYHJDmXrmXpeHY2UoVYXbxLJYR69oDdQPdUXaEO4H3P nsG1/a17ox7ztb+AvZFVl2OD5avJWuAu0SoKLnLx/WhiW/tDGpBGRyTfA8vZrtOCpprvmZAziP9b spVd80DdaqDzqzsK9AiQQ9wvmAC6JI+Qtk6I8FVYNUbHROqbao7YzRt9pK5jhk3w4oBY5yfBdcR6 +tiKzAYpaKD2jHi7CpeSUu/i0jLcRGazwFQks5+5QczsIU+Yv00/G1rxTOM9h0r9D86ZB0RGBPpH 9PiiLur9UUHHXWWyz4j7fUsgANzVkdX2HdqXIBcQzmAHMdwgNzSzBpgKfZLO/Di0989QRiXUeMyF b7QNNDl0ATuIiVdAzdmO7+DOAcqIr+zIR4ZqJY83IRUz9RbYZuVSv5nOjUkgyV3hRGWLm0h9huTf ZklvkizEqBL/iGm8VD2cVhein7Z6YrkR5Q3sbRQMGTn+FwWzJymxUwH77OHTDuGGTtdDLx/MvAOW YCVwUG0QuBLB6iLKD29LcF/x1Eel6nwwOvMdULaLux3jR//s4C8yUYnIyJonCTjBaDtRAQwVTHwe tPhSsupDTlVZCj6WV0tehT8rtyVcypXKocKw++hwsgoTXyuObCGDtz+bmsOQgIHx8RiiFmj6x3ny 0lBbIM/OS0mK2iTcG5+fM6WzNoxMSTKeUc23s4aFViBnL/SsrSjE9OG0OvHoBAYOORqAa8Y2QgSr APrOGUjoEDdyE8gjciEyrl6CjQGAGRaEun7gzt3wLSq1XZjWAV3eEfJ24OBwTQS/tv75/LYcEpVv dyWA2fSb+Oj9WoAUt0etF1Y28arAqg69Y68Zu0je6gpB6Kkm13FcI/P97LaKNpccp4V/AgPqd443 2y6qOTMwmQOp9ZNacxkqQgXhysSiT047jbR1opPZ5xqPjXLUNe2Jw2y7i3Ihj5eOas4ZJgkb9sqO eWqNrBr6IIE3UAVd/2l2Qq6/f4Y0kHYHCXJ2OzHsH5OKsRZhoKfqKrxAwRzlzkP7gGxjXXU2vS+X kJUsUYmkTenvthEQgISHhH61mXVGlx6/BfNBOzGDWa0Md2pG7txlRqVvpJ1xig2xGUBe8XMSAV4a rvcJWyYsWJ5PwA1o1uUVOUfHr0zEbh07LjBrysW/x+vuLsX0sfBapTb/v+aGfHp6HDyLYAZQB898 0eSP/XRilNr1kR+U+4meWp2QApJ91uSQCABxpqxSxGet1Uq5c9Y1TzgxH5FgB4BfkyRfA4cq7gpm qD2HXV4tNXIoYj7PyeLsm/nfzcFVeHXB/55FAEDuXsm1UY9ZGHY9DXiSNAsxqeBq1mCLAfswujzh ZKQ35xCKvdtFd3K5JZSkKLFbn+mRGK1Rc2JBDOGIePBhIapwho0P+UjVixeKRaZYTFcEtnGmQpih p8tEI+BKAN4HWGbtXbdOgRs5obywbwP3VzUhAMRRgSCF1zEqwN25xbfzSn3XZK9RZqrP1ftRSIzl P7O7NF6YPEAAu6NAQXDb3GoJhiuqa4tfnINQRtA3UKsZ8USf2Q/P0Su3H9dVarO3+Oyiz7QEc/Zl 5nNPWds2ExiSqY7hjNE4uAosPvaVCFcpbMOeLcxNOhOWri3eSn6hSvKtPIIjuJUA/QvWWf2IgzLq xIQBqcyV5fEt/vqCgvPEDgvHxPXDz0A1vfO4g3A3y/X+yc7rE8OUWtzI7j+vQcsrEpwHNkZwkTO6 YjISiZfMpGjK7quOZUiIArREfTBGoLF+1s9DWtFbZWoAfe+pEjEZxCgCBtVViti29vodQ4isaeft VtvWJLPb3+KufXkPT/YtBxpHTBs1HDdbE5cmVK/+yeg+VCD6HJODrDpGjGA0vJJwuntQ7HmtybNT uKwdb+VJf43ZjZS0JB7qTfTPTlu4dxK7gscFKb+kqZ9NsTkybAbm9ftbFtookpdeSvRsiGmnEm3X HaHYeMCtWKlh0buS+fSbGFV/f56z4BQfwh3aYbxUlg6TregVTCu9fG2u02SMuMsWpAt0JMggf3km hWzjzUoV1thrzh8O9hkeFvZknALZgKMUhrTbQZ8bpE5rg6wblAQ2+6re1OjYRoXul0CQeRmq6ENU 1CtPmy4khOycOB7UoTq/wT6CIDOLAVBJXwc6w7g8UZ+XvGCptV1tYFwO6mt/ODVymsMFRmiFh7Be HkDtxtIWgZHgaKuSiUuRIgiNA/XcdJpTMvkbIyXhHkCMfR+dQN7TLMT5Ak0lKZXwPwqiwgD83zgt zIoqqHCCCtP/BXP8LjxyYf1Ar2jwdxTS2eK1xh7miL+hKL9N3bUkxpHGmlXbe7QGH9NOplWkhGhj 3xbCJdoCa0FCyDIXxlacKUZM8CyBEaBoMxC0zlyrMsBr/LoNd+Vh1EVFvrRJxXmLlM5huwUFli0P NmgE++206XcTpwwTq8CJuyup27g5F24RRFPapTbH7Eqf6V5pUEnP/RfPpIajgkA+hR39uyZMNpPI L+1lbQZYHcGtIswgrThKCfAMr8dBgZJygKGtNnBRfLnfErXRIGAZkeA14DrxkGY+7Bd8Cn5dt9UL xQ11KNCKe1x2THnFYtE9s2KyTRTis7C/7xoNtj2fPeENz00yWsZibkDBUSPWUsZpJcuiWgGjdlP3 JUCpHP8SiWQ8PlbLvgkGGlt0lln30zqFkPSO7OHgrs7jm00brUm59AIyuiLC1D32+qsZyAeJXwC5 3LL16BiZpuIqz0UgLdy311YibDR2M6Uew/J+krAHWdTo7uTUrbRxfpZ/qBgciBZji97A6BqqxTY/ HKhQEAzV7W1Ol4SuNWIoKzdoIy5lJT/hsjKV/YVrYEa6Tc8oMTNloIxdByS0yocYXfCnWzPE8dvm 8VK2VAo/4JSIt3DPF2Gb3htWInTvBEA/KKNF+X2jCGu2VCQb7G9d+t6UgA/HLfen24vgmDQbgj++ BL+PRuXIKayWG+AVr9sC+87b4ZC5JMgCYoRBllsJlHls+nmpwAkJP4xU8yq3LxSrgHRCz/NF/CEq GfmIgHzn9RAiCPE0dPB8/KvqCKa6KPm5zc0ymwTyBxrhFDIATAndE24IJ7tpHsQskFNAclnkVlbT IEB39zVWVXZIVX1pl6gICGYcSKUqaJkslq+FCS2DY9qHnOJaFvWrhTpYKIYUhZ4coelfmUMX/rZ6 4nzMYINTjA+3oZIoP3u9aO2Qvth1sWxJxDNLwk9LcBmuliJ+Mv5NOeRliT8996SEW/oSiBYD2yQm JCvSaEFydn+K0PSXGUdl7KwHbi7Kg2i+wUS1DNyGRqni51611uNThpGcr4yrSItNM7fjvV1cJHw2 q4mGNgJClALHT4br1bwbPGzv3NyY5Sw5D/F/q1ybsRWwa0/79Uk2G00NX+Q0sCpuYynuePIu+3FL 6kNshkuuhKopmOfBUx62wW80zSx/0egZN93l9fIl33fBYBIayDhrRtihXK2a/bzEqgwuFV1s0AqZ joJFWmCkfD8JbbQftWS/kI0bcYjKsgolJsE11PCnmu6v8FCxUjHNI8XxpYHLCkSsrtWLTNbs+zlt VZm3qYgtkCIvSyRtUSQyPGcKasPqGJZvl3I3FgKyLYAwyuRxkgmYukNjpPH57+pxY7qi7q7PYycz H9eZJ+7MuBFngVCGLwbRuVyS40ACCpo043PzGiGtv4dJTQ4CT7Saa66AB2QM695dUOZYZ9GlcFpt rxpxe3VBiCLWLiYAs+SkJCL9LSFLpS264536uFvUa8F4O8m4LhZAv9HHVscPJ4E+bG3ZN5PtFonA wZoBCM3VZ185NMr1z/RlQ5GhQ1GogMV4VvMALJms4MRMaJGOAIN3/Oza16lN5x9eH8JACLcsKIEf mJiM67BuoidbBkDhzui46QEZgh2twkHeq/eYWqSNGanicuBrf58B3/KdjFpW0ucFgQjlPlYsR4od ap67k2oIbH6and/qqxZ54WRsYoDBmcKvBKcO5XzymGjmMJkXQ7KomE/BIPOfC/lpJdsW6YJBGOLq sDQNZqAhg+ggrBuwSRRh56GJhdNlya2KufHZiuNaELqhEMiQxH9U+scwK9ZU8uV0NrfOnGFO1Atj R74s/D9uO37sk85qzGg+7rSh9EBNTUHL60WmHfULyc8jAEWBiRvCnD6mk9kww9CA6nE2lE5Onz0g wRlKVXwwYslMGyys7C2qPDa82NGwVoWwEfQQsTL85bSie6SY3jh8QzyVEPdI9Ok68OwWVYH3jFBF AxplMaR4NX59HjNuQIuX7xGhSzJ252R2YNJOqEN1mVLzNt3PA+KTlcR8u/OF1RXk+blnEuQX1v8C HlTk2LoRpE3Dh5Ao5RjFHuYWQCqvy0gqLJR3beN3gRmD+HDwXRh05NHfis1b8ydQek+r2Zju4aWm sABePIb/TdXRAVtNE8AGZ5V1viysyDfEtu8FeHM2Ed9EziAvxT8GhF1Tno7X2ZJFhmiHmji4FQjy C085of+LBGiXbdu+3NV42bwSp/WYrCV9GRFFKPc/J9xQxAeodQpVE9ZTpeUAx7tBemhrAa4h2eyP Dc6Oy8cPsp0DkvuJwkSs+hDKaumoyukGzX1lL2b7DYjgUo97lMymBJXFttkbMcvQ/LRjI/nWTkzQ qWUz7sSFkg4G6WZSLmoNtQrAooDzTzfkaFlP487HNQOhSBFv4KLcfR0rICmaK6UC1sluh5CRawrK 9TzciwOnEIsesWNUAb7yeEIjU9aO6HClioRiqubqcYAZGBR6BCT1RCy3Ry/UWKxfOY0GFJ1AQJD1 NeH0u+OqIQCViJr5TBdrw7zsr+V/ldPt+keHn2KMcO2TJGrwVoIJfEskiLnrrIUNSCV21ErzVOS9 3lWshSe+RHT+23HWcua1cPG1TJm9rI8HDauL0WPHaLqeik6hbT0hIf12kFepKxw8nYn4SJ2nEOWg 8+F5saHb1S+5EmGAMbX85KNzKmidupbLD1MuGJXiNU0WrPMHbWD2JcPoa8ZIuOWQ+x5jCLLGDfwa Yp4s41D9vLMC8tzoxYhTLLcLnEe6JKJ4d43u4l93jEfpHJNr879+QniGuH9sp2JsbX1aEWlPRYtl Fovk1DMs3bUno+D3NAk1QMCmVCYGvLCOu8EzVv3JmbtFqgtjZTFGF2hJjk6tPmU5SyGHjXZtsQ5e oa03aZwT+WbXD4b9r4a0qnaX/gG881LukPhcmmnSIfiOYIY31TIHEjW/+mdfxJ51m53yrZ/5y26d Ev8p4PKaNTY8lAHgnjJ48HdrZ6vhhHzF4Ml6s9haAMP+e0U/cSJDbED74vdFKtnMMozwmmUM9tu7 qmtxnx29juxeKjzAkJaQDwldBKdDL2R/mpHWn0oxitQwVM9VvEdzJQW39A34KqlFqvic2RwEmNLP C2Lghgn25OorBqtTlmgIecmtBAnlCB/5SlH2O2ShrJR/UaFnUzjSVjUU1xcqTIcWmwX6+q7n1+2V eyml9I1xq1t/AiqYUl9Q2RHz44Z/nPQgCB0tk3s4fFOKfvQ07/24Rma2nN2jv48XTREX/wCmgI7n 9OSxOQoAId2RJ2XGt9C4xdZl2CM/mtWH30IYOjzst1oZt2yIbMmu+cOUIppwwKeJPk2wN+z4DHqh 5FrEOMtesoWgTXPGuLIrKqvwRdFrDQ1GrIMf9XcTzTYejnciyLEWMhQPMN1pq0TIftrkA4f18lcw XxiHUn5kKnvZyfPUtzsCTuEkqDKx2BkfpmY8q8K5C5hYn07hkr/sfU73T7Zu/WxdJAKM4PbC6fAU 1TIgkHkXyNc8DlGtPIsG2mHwrBKEJiV/y2dThYnN2U5WIOSZk8e5vVi3iNhlnS/5zF6nOQ8pBdvr +7AxJtpouH5Vx0ZplxpSuPZQdNArpxXW/wvasZXcDt7nZQ33x+aT+hTip0VVvxSsL7ZEd9riQe97 jfsQoVdrkCU4yiFpSzsmxf0iGCk+0BuHEFk6aF/3qc+SgugkvGkvJyBPfZcHcLOo5fsdGej2PA7+ 4IwZGLNJlctD7HYIVuskiU2erj2w7meTjpSiF9IlK+a5aN9sDsfmjWsUBVWso1g3hXHkNHbVWKWI e/hvhc03X5AaIxBGef7mXfc36b8NB2EtmwPirbC3KxLv0qJ9A77R7l3MdZH4dnoj/zEd65QfiyVG d92OoNf2eS5rUe7t66qIlMlTKCgkg4Pmf+EfLW6NL8xZhMkp/gEmgOT4x/yhSuzRDVxVnpWQFPz2 oAfAjDc/Erl97qKBfHjoAOIc7e8M1hLIgsoJSsqn7oxGbKaOmQtpwUybepwN0LrYr4ROcW1m0g4h aPXisnBkzyqVc0Qz3TiJWz1asu/rAbiB6KmnNRSqqe1PIMsTYqps7s/AmM++2DO04YHUdeBxL+8U GAaUzT26QMkgJoZNu3w2VlXeUOmPlpyCy9DQvvfzMllT/AcwtU71UOOWD7ZELsghGVY3neoMArZd sIoL8vj+xh3afdlXIWM01987CvlEeqrFECdHC2x3ecykVG1Y6zFkwyKy5/+zT4e04GS6flskBBK/ yHVkCr42X48OqnpRHsj4kdhCMnZ64EIQUwdDIcl5fyve5jAJnAdjnl5wlFKgWqznNZAzWAyxwIFc KjZ6vLwsoOWiWt2anrV7rP4TUo6FePRA86+bMLO7MCAC+toaBLkI86vpg2AWRg7mU70bTzScHpSO bC36MsT+RGsJEkgVor4+Iln2wCtzX4viuFB8nQ5TPF1ExZrn5gUDAvp8FfqG2YgLpVTGNBT/dijL sx6EDstadnUKZZdh7S09mIl00JDdVjZ69XbPQQm9d9yCS91iPXvi/AaDHqHRHp7p3tzEuDM+n1nA WkUfNpEzvc79sFIKIaSXd/+TSC6LAy2BW+tk/ErM28NcjgPrry7yzoDJBe+Z2sqtR/dMV3iDEDLB GKTKhmIu2VgiqboXaR8MwqSzzIrSI5rcAuRJ7Dp5cj3XVr3HAUyTL9miuQjCm+lfuI/1WuTzgKlL OEIWXvDXe53accCNWOj2QKCGJhzZNoyuxhxN1RVyCDG6JzYezpeZjMe5r5dV4RUkgA7bhzTSJ4zs 7fDdv8F9osZh+qOXiKuuPNcpyWB/NyKj/ba0RG7hkcQm4B1TP7QbcLnBUgqlkkmYZ1xZPuSotkEQ I8WUgG3GUc5U+jTz6I52bzcLm9l1Ufq4bH9dAdWq+VeuIhs2+BSad+2C0b3VGOLIlrq6iEdnHqG5 cBKNJs8K8O4PVLSpXuKgoWN87p04DEZUl7OOYn9+6aiRYY5nh9Nb1+5pP9l1kNZMKw4w07dd3pPT rgOkfg+Iq/7sBR2zcxmTsBB04yWyws4MctXCZf/yAd68mQIaOo4BOZegcPUhV08gUGAbAm9V5r8s K5PCOa2ex38Tnm8V1lT/s2Bx9Q4yOROEAU3GLRu6CxEXM1fqvyFt/NWw9ep2nJbMX2APFpBbVuYc Yw8jfOpXKZLP1UFhUc2CooCZSLhKm5hyS854xoPfZm3t8HM0t9p/3KJlgdWMO+jXkn+gKGzvW9HX q4SHZxl1akuIwsj+M/sk70TQP+kbhrA/rSaHjqYCNTCdN9iOG38K4+uUNiKjG9/6YYTTUTI7n96G 6QwJnmivT3HIgyFrKiFXAxPCcvZHwBd0rkrgZ/+PKgj5RfiPjaebmWLernAlTEHimhXUMXgdBr1C G5yUiyOy+SC+f/RBxfTLqvqXPXOJxcQTUth4KoQTsUmgSjKbzMG1qKgVLf8PUF8w9IqszdM77zS1 HtPrV59nMg/WRd+bgUxQbk6ZEmq3aos4DVgnP0k9g4YOvCTreeDa7EoUDXpEuA2QSrNxCii3Bkxb gWiV1zjboMGh87pFfNSuSZR1ti8ZMSKVKFAZldPuwRYpRZgzzoEKzrJmqDD9MqqlpqRIkXwUYXss rRfBI1osWHPb6tbD+66QidWyX5l8UqNtRoQStIctAYwpHoThdm0rCGgRAF7glP0mkKZWuoehYhtt IkF7HvXVsXIJn112X9ahdT5gmWQbO+S0W8H1VAUEizoOZFbWIIrbh/QuaO+0yQ3Xwv9BzLSFY6EK xFywKOOHsgTd3IeiWARvg882cEcvitwcKHbHBXwet5o2ywZ+5T9/GIxOdolOmN56WfjwqmZ3IYtj fuhCUQvWghlWvc9psd6Y+YdkrNtFrWjpvj5jGTjy8UviahzyQMRzdC18BG9mq1rGI3LxgD/CajTD t4tMCD4QUr1GpGpZZ6Zd8s1Oq+ZcUm3B4x4YgOdbDn3CVoW2I7PvXQHF+cKfydnLZRJyu5kqcUDt LWiDB5mDXuupKlz7pJwJPjQA7wh/kpFhk0isuW/EI5bhDOFIyVULMnmkoXgJFK+UJePWxt8A9m5g aL0AqbU1EVjjg2Itx8bg/0UCpIhjtVLHuHJN56E+WaawtvvFaIZoSumA6Q6AinD+SjaI1ai3dUaW QG3IAiK+WSxANNTV7GlyOLIzMLYOAduF1B+SEUgWiQd/C6kNLVYMHgjmPlkWink+wTLi+Wf/XQ2v 8c57ASeAufk1cECafQA7fW0IuMUv8C5ayd4XosXTRxpCiHXIsApIe2MGcz35K3RruOc15JThkNar V7NokUSbsbb6Pm06gsE0pfvYUuwU87teB/qUfbJiCUfwLk/2i194LSL1kLcWVvbs+zKfLIXWVJcL IiJMhJm7z+sAhHLsuEmb7KzihR2ammXr2yj67GsW5Yx0OrY9fNmh22MO4uTp12ewqlgzAa0Vocrv PR4HI+UxBKE4KJ2LX7qccMq/XBsFO6bHHn8l41zOFQ8jopSUdrIdA1KMcJIuwIP/db//JNi24Lb5 cX0iis7bOZkyocgf7laHTelQyHpc9fzf/P5V9MSWCN4TaE75xgzRsEjfDL5jx8f+QGobgWt48JjB octBY5d9I114625Skwo6uRnxmVqTnU8D+1XEa6U6D0aTKCDEM9inFVS3vPRLl4vcYqJz4i+jUE6W /9zOKzTbcJpB5gg6VCpmqIF0r/Mz5L0SNuVxs+zZTGE+z64gxXtJhyv83qrRa2dLS6ShmxrNXDWc NcSMgul3p4ceHKw0gj/RzpcW1kOafBFbJ9yYl2WUAxrokiiwqXcIPnZq6br9IGJ38uD45j6/3Itn gxImgpPfo9EAkhY4mtaZs2GKsuK1vbiiM7UtsV5hLWwHgzoVf+NYIeksrEBIRQR0o2VmrujNR895 s/yQL+J6Qnmj89fD0gAsrtKWevF4q2FOaDGQDsx1vPG87PAq1x3KZyuTDGcdBeiiXcgaDAAVUP1b YB5hV1/NUVZqU9gM5q346B2q0wJiK5mq6AZeIayrIUDLrGoUltMF8xv8MQvoDyFBYjjwLS7e0tEk N0LarhOPVsp/+3M70k4yMhIyqrScJcSdAmyRoH//yMqs+N+/4Pvd5BgIfC/WlNpgDcIDgnHKXs0j 9SzAZ1UiZsKE65WMG74KxOMqZ9HquFm+mFMS4EPkLed/HZTIzZNWxk3XHgVFoPk+Gp7+jmu+0pQI vaBsuj3UpuhM6MZFUHAEi9sDPN/wHGcVZgt2u77cV4+F2WmOK00owkVr/TZU3Ew7AMtc2Hs0Trs4 SFIR4bjyB3FoMEOG8IXLawrvMO84htLvCFmoWnRiZ6Gh00KVnGeo2Q22P2wGkMnCpyz9Iyt7GZj7 9MjoDmpy5XU73u8tovQ3kB3+kmzF8VUpfb26rWPi460uixHc4k4dbE8ZIFRqWmKhGgC1f0E+K4Ua lO4zd4rVYrMLh3Za943DtuQ3aSthmYgN3Ki+FsKnOhB30zir7tIjzDfPYcR1UdO4cJxmFZhEJ8NW TT/guy0zNj4Uw4iBRBzDi/RPFWMrL3JjzQiOShisOBdPWdILqytHPwsNJb8Mri1KhCX2Q/5ddx17 6iHA4WrG6vsYEvf4FUT4Irp/ylUT4fQMKCxfgp/t/g+OTlk83lxpGfGgLmATpUXNJRl9qhrd07Cp Rxr/iBwFtLdoTWdOJMCKu13P2Pyrs2oPfCzqNppoOIT3VJZYAPve8pjU/pM/zgsa3r3ZuVKoCBzp oDfHjZdPYntX3qla9NB9RadCqd0aO9USw90mVq5pQtPPVQrs2JsANFNGpHcWphmDrDTRDJPDi5h5 N7EXC5H3qBzJYIu/aqz8EMXAoMYV7xt6BIaK1wctioHfhOeEmZLqv/6sCvRn4kwGRyjOR5IQTJoU lXh+RsqSHhIa+tc9VJSKrS8p6vmysmh2lnEwircudkXaZoUE+gXWEPtO4xbpokov5CJBkZrxC1EF AoPRf4tWCog+Pl9Le7h7ZUOdTxnkHHmMGUmEPvfF1ApPZB/wN7esNiFF1CbY5kJRYhCa+8flW9hz oO0O6c2sBVOkcR7YfuGAtNCr7F24QK22o/X+CtiPSqFAGZVwpuw31VirzSrzYKfrz50MjSlH1wCu NooDgdOp2PxQMs3ueJHsQPXfssVfQWu2MduWaliGVHkrSV4ieCT6E35Y8/pf6gIBZsbDBRl6/4Uv gAfLEjaKTc1oBJa3HSb3HVTlMR15pPMit0myws1oauMli6VYKqz+Ffw9R5XoxkKMDXyJ76KffnX/ gWe7PZ5uoos0hRLEPaD27HLtx8lS/J16yPFacHH8UdOsbZKyHaqfV5xhaQ0qBMRTQKB3btbmKw2X VaO5YWhomArScXf/Nw2+MPmxGsmrwD/ytDvPWajzhsAWYWbJdLTWI6K14G+i+4Cc3BsXUGeA0cMQ bUmJXb+BcbXChGh5hnNd0NZgfydTxxLedc+OGrCmRxJuHIzkexJBS9zChb8dLdtlVFzBxuxYWm3w mT3VH6O+j5ytH6kPpYwR48Ak8mCdvSLC7556AgNMOqDBRsbi+4ZmmnHxijPXLEZyjBpn4hnJ/4B6 qik2/79yQTvcbICx6onJVJxoIe08Tv7kC8oxWqwP9a1GxFmtQWePtz7DiOnz+ZSH0cPRI5sWVjPf dRpvhySBWMNXjpNpkKXoKy5txYVBJhAQ28CKZKo05Sy9UXWBauongG3nCAaZmR0TMEoW76UTITpY dACUcRJBjM5mHuh9/FibJhlcOBFr7mbsrFP5ZlOz500u657i+0D6vOe7Max2q5dsiTQZCfYtlTTc ZflcBdhbFZo9d+LcdSMMjdHU4sCqCrsFqrtWOeGuVcJCfq1H1aLa4N7U2wGpKiruZFNNfehPelcI B8+t78ztUuGIfPZiojuGRFb37ZD+1uSpP2s5uLttCffOpX0xHTy9/1/nQTFEOon3daYTf6KcLQjJ mwj5TR3yWaiKvSzqb1UCmvNEiLEz2DhJGFK/x+FxpWgDQ7ZwtZixApT1pi5kxzHPml/8B9RG/B8t 4mpB+RMKcHgmaAIBrPG+U7HKuqazArRodXc3lqmWQHIEkc/5VonYZ8lS3d6hoJFpk2FsfjY2oSv+ By72M3HClUo188QZuE3s4yT32zjQqxnSMRqKBw/Medry2T1Fh/EqTPyzQgQY7CoiMGNtCShweh9w wxnzAGR2nbfrdIuW1KKn4D/IBUSUT3fiKF+S+fDBiaFCz+a8HFQYZN0vZrus+7kKnKYFuaAS4/ox Xkh1yk5RHS782O6AhkmFZMbeWwRymlfKLsleNgxXylfjUBMP2k+JEQ0VVfKKueHH2g7Y/aiBHwNK g9CCiaMls9rSBlwjBqTeB+6jw7ZQAEZ7O3o/XL1LFp/IVXOMLc/mK0LnHRDWDBkn+ZIWbQycoJrM 9OUy/CXyoneUoG4EKLgeBIL52Susxu0ynKwuS3uhcr6X55DEkSNU71XQ1P044WPahy1peq7NmmEu pJb9hkpEDql6EUSdckEsK7oaO3uIt/BFtlerVuVb1E+SnD5pFYYK56YDSh+mPWgwR8qZxTnOXXm2 tKTSlad4Swfh8MmycPRguKzLnbx+peDlrXzA+hGUASEmKYt9Pfds9/UNb3bocCsf/FnpWUuFlJaF sqoidp6KeJxUvFAPoUMNoobvz+GdmplJko2HpmyRgBy3GVPFkCMEPSnbeniYiwCVYdpH79957Ag7 xloyudg/k0SIHlsNqLW/ezDYra9WkEoJLyUvfNNqdJpa8vesiOSGqmUxwqNitRehxOOW2NyG6+hD GWwKvHV6Vm2p31JWBgkHeTW0wiBWSDfyTUYNUU2LQNRCpgrWYRU3jolSOoptls2oYZ3GbPS+gCB8 TzU6LNR+JkZmI+/Q6SGHSE5HZfiX9gch+lDMlJrKaRsAQ6mW2DZSPD14hQ3wnKF+ErnYAG5u7mSc s6hrhX09miv/A+EPK//NxuytLcNH2QQIoXhaTkxhzGDqQ6ojTw+4XNrkFuxopvxuK3UQsYbf1uSZ 2nA6ybOA06SKXi6JdD/ABlpRy0mn8YaLvA5G99Dah33CliRzT0UVEhtoyDeavylDV0BDOrvm/PDm yCC4zYKtskZQlt0WNY+9K9BCrNIKlVU9/DkDCH6fUTGooiECEsxI4+r58wc6WcboAhWy1jooLrR4 EsM4Mip8eiUdkFdZ69wm8VHa8NlkZE/mIncn7PDdJeRWQw5aYx9o8Ba7TSmvhaBZAN0WzKqdJXMv gWRKQ+HNn08SVrJ2CXjQGA+fu5bfh8CrT7Wo6zexkL4tliuL0fHRcHIPUuemr3RfeL373SSlSMR7 HyP93GH+YOIyspREMd3bwURcGa7ypGon6AQXp6m2ioCFxoyrYY4Tus63/FSrozpvfwtei3kLUCiU JUpIgUzh1AE7ZINZKe8YSFQxgV1YkYaDVVvvSD3XHGXGN5x5Du5GF7xK00w34EY0SkVPelc4AvZp yfggxlG5EcfwLPmXn/TpI7tw5MIxI9ahITO5l3t7ubZXDBzxbSxSotxk1v1znAfNAfs+65L84eak 4NgzhIJjzmeqQGBycPD8kyKPlpgzR1R0lZE0BxLy/OLx0O3QSRtkWNmyFuuBiWHvX+wKWWMgeRCL NMAZYbvkMQYgQPm+37HOmKQorLpjLqoQxR7zZhF6781SbSlqHhNInI1JysNAZv/FMZGxGD9usCwx jt8oBoJk8yp7Sw7cpKKAZaM02vFqxJBNGV0/qyiUdDrKCQc4QJJTnKh4xhQxIXLHmagRR1bj/5mT gepJ4aX9eYpqbiD+EPW9PHwlE+XAv+7jDkT4cXEY4oZCnv49Vk51KovZeRofM2YjwSmH2cTONLoi ldSGvgiKdZbzFnwfchaTRJ41hTR4AvN5YD7J1ka6zcFJ0xeTHGRIGhq4TLngUhIt7OOsPeQSYQat YIOcj/wlIK75/IKwDc7+Cacjrht4IdqsZGpH4RxW79co+MPPJ7iIGUsul6B62mw4TVfLKjq000L9 TV3BsvzvC8kpOcEhAhmQEUYD2nETPZb9x4mzIIljpnryHkMBMn0ASUu/fUkNVWZYLgeA0y1IMM5N Ez1+gjEQiP8px2m/Ecsro0z34vW44mTCbSKH+pROSD9UITztGl3QhSFklUKV9ILywBRhugeUwJ9y NCLBcF1IHhiah1sTs+GbRoHWVzyMHw78ocMKJ3gA5dxNdFP+Sjt9vOlm/Rd/CPABOU7xqZMC0OMK 82/C7HsvOS8ceb2+nttzzqbq8zo2td07gI6Ui+JMEsLqFlHjmD4Ism7JPAeW10x99Y8RIKqz4Ti9 cJUxXcefgvqXDQZo03ZulbFGH3lFZ8BjaNaPFvBa6bNSwSLwrgRtTUQHv/Wrg/tbn9eoA/tjKZxE vHSDQ3WkUi6Jsaz3IhX1+SiqB7ZWkY/soSiu34MHHECMVyk6yBRMRPWuZI7gxO1IHFV6ksxRQwh0 DvVFP24o2VG6wG8KdEuVkYy4YU0Mr5M0Ac9ylYou5t0n8QMSnBkUc48Ypzcu/Dqn2gXbfIBYWXka SOpNmltMxstlz9xtSiLdOyIDSUOj9xyBXxjkFOMQWiht28P8kLf7t5aSlXH731Lgn5pvP8X/I6QQ KX61WBUlZqDYRvdMkY5ab63o4eKPwEV9Q9eKhX/mQcId+KXyos3AsteTfncCRCXjFa7bFUKVP1aX Ido6dBnATDwaZ05tLajjJithwEklsH1wkqxot3FvmBBkHX6F0pfBUZBgqvZCHnjvwsunpuMm9Q0X Vf3ZvDYioiS69QXdxz4HaFgKu3a1MV6k0WgMtKk5gxcujJ4oWMhl6EDkOAKFHigQNM63KTYiE/Vn Sv6qsSf86r1GadqdoMDXCsIU9IRKgVdWdNUTSXdlmGehZ39LP1ky5ePUJe3whfvEh+VInGtmVf7t NCMyTP91S73D+D/cH3r+uNW7JJZdkGHP9oB6mXBx1CRaxIQ+gsivTqa4M1D3td6XlAz4viqV5XD0 uH//CF9oQ3M6sWb9Z+VjksAg4wg68H6kILLdE4K+gqo9ml/ymZoGt7CxG2Wlfehn2hB7QaeTxP5M S04dHUf6Ss28CXPvcvKsj2u7thy/OVABLgZdrJsIzbbAkfhgkodRPFVY+yS+82GkDr4IgsWH647j Q6+DAAso+zl2X3rjtA8QZ6fEQhxr7/sKsnlivOEAhxboxNsTix35IFvu45U1l+BjjGZY10kc5oM0 fSgxuDDVdSEg6uDYB8pkoQoTNYLP3sGQ94Z1xzFfNszAgkHykEXSBNhqAan+8v/f3hPAKMHc8bQu 7VKsbJZRomvQk81v6KBdMNtTiwIxIWW0SqNf0y1fHXxtZYJosEsC8q79bR8HRg5YkXzKxQ+Wt0fI NvMu5iNndkyoU4JAkZzLrQuOlhfxgHGYuVoGHsG5+WwbXArwRDN06cE+Aboly+L77jEdKS2tF5XK 1XdX5blFYRFQsSvF2yFTWi/mOR81YqqovWNGPAOTyqXkKxTSeMUheBERGc8duC1gcmA94/hR+slg G/2+3ZJJOb38Mgc+5YlKBnrDBLGdCJqfU7huG7vcPMrOnIrXVQYKIegTUb1P4qSc1Tzhi7rPwA0V s/sJeBkW41QtlRWBOlJp/18MwrEERELiFG/uHpT4r04m1FCPEy1G3vvoHt2KptI1qng9OwIIP/Hm Z/S1GbXOQKxibBiTK+iGkwic2jwA/JOTocRdZRr/HEeb0xqy8d71g8vCG8hACNPrxMIOIZ9Y8Qwz 4cRkiUUvs2LqGF1Ebmv3dDbqqtAFAjgKWyC/+aRRvAj5ayZCn8dopw9ihmdEh4Sk86UdVB5BO32w +hYY8WMH6vladeVcwK9hCHpcZ31kYWgDGO8waPLTdE37R6o/3+8078VF9lxiUurB7YvnJQtnzuR0 65xxFpQIKzeJEVMSF92qY4pf/H3PUkivC0nkaeFk21UeTRpAqrkc5DhuJ8zUC1hyrxukYUfLPrIL 0S5xNQBcdsyjspAU9jLeuPyyGVKCaEePBqvtgeMuJMlUW0Ohm/T6TpanEE3jQbMfo54cDSVuvHC0 9hDBNCV9qz+43jAueWYzeYcf2e6STfjFJ/7788im4sv4VuAICEMGG/DSnQ3NyHU1jfggPuYffFpW yydbbgwFt8u0BPzzBVe05Be5I0/QBBUDCHEhXk6TsRpOhMvep1ZFAnQJWsmJAA9lYp0CQyVTi/Db jOXVBiqApy/8D+Cs6kTfOU0V+FEFpaLFHSlNk1p/rE0Xu3vftigHVyoXnieYmSXQyMtDvi0y2L5r eNW84mzBEV49vdihoNer2uFmd2q6155KTxBF+m3wYDjb3OO8R7L1U2SjN5s9YrdVsbinRn4s5b1I HUb4rcYv0cxB9gS2QrEH+Recv+Eh3KLCzY8rRrjyefH7BpxGFRQKng/PGiJNgZKMJUu5yOA6erXQ VHtsmnrwOK22/NpW1pq59/xiCEreAb/SnL/vux3w7w2raJdfkE4bLdycn9S0DMxUiN0jLJgVfwwi 9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iQ2w2kV+K5Rt45Cn/JQIUWXwezr7QaqOLgnFeaVbvsSkYTKy2Ui7jq86b703ibg5T5SoIWRvayvq OwEuAUqLVA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A4R9Tcy4ylvYt6JCfD0PSilEwcnULx2XP/1AyO2RhPN+RIx3zbYd5tKviuW4uZBrvCpWGS6PRl8f 7OkgSehIjZuw1Ae/xz4MmBBRq4WLSYGyBNYmvoKhf+C96JJpxof3OvmrDolwdsqfp6F9Qx8olik9 eJMR03lcQDs7gwenVBA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HYhnOEeC7bQAmdbJ/J91pdZUIxbCxI9d4PcLZzvzffdsZ/jKY76/lSxOW4e1FPhX8RgUq2HGnowP wf0JnflFXsbCbsVhJQu8GiTdaY4diJtD/Aqkl5FogcTF7D0/OsJYqpa/4fDVgjLGID2YYjigZqb/ Pqa4srR3xV0phNpqdkAO+JH6KxRP4DTBLWSyn2SQQZktQfrHqFdYrNsWsnV7sVkScaXQGq0gV2kx OnBIwb7UNO27RZ6pcK62itiWjKl08WiclOnBGPajhaOq/TYVbh7CuKMuQiHJKue798o9tm6X5ysl zOM1KIOBcH66bezGYhP+0DyzuWHwHO6RbmvvSg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BeKmCXXNTZa5uIhddeX3d3KjCSCN+BLRtt/hZv8BZcfAUjtC16gqiPlPhh3OBQ7joHYH5ObD2Po5 TC3q/WUcbDMW1VJnsZ/7/nFJvPw79eNzVkDQCPV9eTuU0gALu7kmIVkJzcZ/n8ri2vwknZ65h5by ZrxuS82FQkeKBCc6qQ4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UCdrNlABg9gGB+DdZ1TPfLl2mmykEX++vC0OZIoyQB+/ESLGuXY4PiyZqKGNqyMkaKDACa9XJqny u1RBbNo9/UeOo50wpriM5wNY2e4HrdbM4t0pEMVTJq3P2Z0E0ndywlcB4KltrBWbLq1qfmZ+Xvqf OjtK4jEoIV9oK8atje8Z8kfmQ33H3Da8/1+r4pCY+FH2nFe+8fOCqN0BdhK+KPUPC4DGqm4zl/Bp l+aNMWMsxnlSS6dUmxgALIyZXd4zty3dKR7i7LOt6VzAk50QxxKOhVbwvZy93snsQD5aSSTZm//D Lxb7O++Lz81fnDjURwPxrr95bMavOEBgzNsp5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57856) `protect data_block eFpE3hGU/S3svAeCFws6W+7q71Gx+A0Gm4ehX5nYNFvLNJ0ppyH7z2aAIh1YoHDwNj65nb9DXCgX uIvbm+vvgpG/Lm8kQvcIuZgmPqtmAl4pjdc1XlbKxb18F0vVvTtKjZkt2ohVOWCT7Hp9cY8Wq7hy 80Y9l+7twtikv8rKcXPbFn+/WKgwwPR15iNTxWxqn8Zwn4pbs6adqfSCDRbKePyaX3daJFPqeccl cFTRX/DJOLydq80zrW2AlHG1aN7qSelq3tsb2mDt5Uyp7TwY8Tq6Thh71XeviPmeP00gEVRlMuRH V5MCP45ofXX6/UH+RP9mBgZlI0eQ9Dz8ivWAt69wJDZlTNQ84fUX6iXwkGbIsMmIBECFQ73d0HPZ L3Czgs1+lJEtWG2ALf1289+S4lK1KKKW933SxZ5T0ugDO3Y5ov/MMpEhQ/q4Byf+yQUCGVozq7CD 9EQbt0zZDnrLn5LCrhS6DDY7XHh4NfYM94U7hk5RzMFdBBiQ48WCm10eN56dDe3afqMNQ7sSCbgj PnOWM0t5JGjqsGtogqYSFr6P3xb/mV1uDsaPYaDiaZa0OPXpyPIzhpoxm7Le2LXC25nrK02hQ7C3 naMvui8kB6NWv5VrRiyk3gyqgyQFIx96xdsX9j6R3PpcbmySIRYO9fFwavY08akWZd8f6dZ+HTZk LTCJ81ov6yYRyhrZD6L+3bIMxVCef2tJ7WQbFiS5YoXGyxOwcF5CEHNtlKY3WtJb11rN+ycqkrb4 hHt5Pg2BmCmPgaCH10EDLbau2wzGBZIZp/2VhIUaCEV66F4DKeO8lCvq8Zs6Q2nin62gN/dLqajS 0EKfrsjR+nAEy/uWSJU2NNrkSalizasFpYeUolDxYthPchzNnxfVzfHR8l+K8hfo3yS13KkvLnmm rZwvDgmO8xexJAj2YndRMZLTIG99F+K0jFdxjkh/chxJ4EHwjXhvBaw1pk/O4djcUeSJ8iMTVEzh GILeSgt9rwl+e4siQ2bDUFEKoAOU+mqG/NfjUn2hvgXhGSu+qYy1c6dmKog2IYvBvSHxyiFiOql9 09fAhQiGnnJr/JwzQL60p1o+wXmLH+U5wL+QOQSWWbgnpcRMvzGV/STqAy7Ni5mBQ0wF9ud5afQ/ he/eAjWFzBbJWNZBQ7qa6hBN5AjYhE/7/O2Yy6k1c7RiEhjR/yt8WV1428wBJZH0vCsiygHQ7U7I FaTuFJ0oWvJSnDguyjkzIr4patOu9alrwp0L22OVXerMYh/IRbpAiXdbFtXxSQWYPPjIYwhHejFK YwuxY7XBWAoe+uqgIlBes1gVUVKfx/srXKzCCJw2sQS9JrJ1aE8xvWaEPVfk3kR+EMgVZuSKH3JM +jD//TSiJOB+KLhoVO9eKnuHfy0czCGXjNqesXgDWtRnGlFXHa4IrNCdJtmIb5ImCmu00c4JXimv DOdAZ/W5aP/82/68g+fkagqsNBGVsejBfdctfZxMwQHc4Chqo6Ide1WARVx78MRiOxrIeBcCOXuQ eVeeB6EqGkxtSoqTM2XkjZCD9QmBxYnij+3eQshc6o7GY3lwrHZ2jJinNA9uX4m7+NZoa5dMDH1G 48fhkL4swXL/KMFJhwCMa7NkZo10CTnPwSOk7+wd/2raLrFgDMkx9KzK3jx6syiuFWmmZ7jGNNyn eMFeV5uVCMbx1m0m57buXQ4dccnVriSskETqla7XJjY8XntTchxc0sjIxRi0qy/AuvuxMfblSZpa 7dYXoaSrJTuxLFHlLNXG3e2RgBGHe1WMc5kn0C6Uc1O1AO6+tg/Si49M5AD1zFYCpmASkNYABT1t TQTc3A9vxojsCcd+AY6PhXb+Mm+gKFfA0rc+GMiGD1TwjaiyzDKHE78tqL3InelyrEbUiHIJm2NF u8RUDv4zgODM/7sUAm+v6wlKw6+O2u4WyE+Di8cpD27gdnVnkyPNdsJvMosd61AbLjrMS9VRGdr9 GujejeVIxRE84NhJ3ffZDQJ+bqRYqz9YG0imm23hR2v3+ckzWqJ8xvKlcPJtavSfKX5auu6tl1zz WwQUo8a6pWHkET9gQFtrUocZL/0WSH5pnNe6HgPbtl/FCCLqikbW1q2x9bznTaKKDgbSe87Lw1ol AY0OInVG/mPzPw1Dd4mb4nA+GiIvD/J8D5Mq0MP7Nos6m5XIEgHaEPbWxkQWKGonZvDz+n6wP8T8 EsaUGhRuNboJ9RsBGUVK1ggLXhmaO4JMORJRYYqv/s6L8e60HKAhWYQdC6LwVbsFd4QWkR70lP/8 AV47opuVOF8PSOQfNxJ6d19eAXEt9PZBgLdL7Its8esKT5lkoofKfpjeA2iYUsf85u/22N3L6OU5 p4f8wIcaqXSb8thsG7LL0XGa1uqZ23Qc6LqRM7gHp+2TtXjs0kwGFtHBKukK1Z0kBHVmvgAOlt/j U6mp0Llr9uLaz8KOGvwVvwuyggbaTLgKBa80wNOAEDIJuglGTjRyKoomOm+75sNKlzh4PPUdylhC rMCJEUmgTazkSnr+Wx/ESa95lR/iJftPIQmWV1GvFE+IkKT8+SQQKkSatezTDyRJdZ9kBueeMHbP 5sWVrrA1G7apnabw377T7/HiBLIxvr7af+eqMKu7H/Omd+9ESzmOPTRWN6fSOQVeifNyUk9u5n7E SX5OObts+Ou2ME5evw2YTC9nB8C3MfmCixdFUO2cM1mev0pFI5I/ebPxC0Qy8DMtnak2b3mMQC43 SNT/UHBfXzGqdlf4uK9K96ZB9EjlVM9kmM3Tucr55Yk2ZSt6hG0HbZF2j+KEwUo4MKjNfQp1a/Hr rqqFkEsn8dX97IWM6fhZipn0zCC6zKO4olr/h1Nr1O4SSSFWJoWoZebGndGgG0Gafw186b3utB7m SVLT/QSOyw8NuP431/iTmMK2uENM+00yo47Dk0/jMjidLSOWg4kdkWwsvBeBt25cHhRs7p1GEkXh lnbw+pY8+ech1V4wdUGqsXPtStBRRmPs8fUvXi+IHbnFD1Pjuab2KF6GKxYadFQIRJI9FJ2wXdk1 n7zUeS/SBy3I6PcSItRhn+4V/bgc0p7Fhr07zGQswGae6qKIqqU+OSHnpKnw2rIstMtdKpd0nVUW tBPqQl3hna3tizMaLf0igOiUMn6I9LFrQU+WpsbqT9Oxaa970WOrAzwPXXhgo01HvZqavwI3OsFV Ujub8fhCLso7cPC/INTk4xYy61+FfLkpxd3oKoAbNBsooI/cAUiobhsQVdHP8QZUFP1IpbW897V4 Iwt4NkapnWgfCWkDtf6qVuIOUX6/6+wei0+rkqw6n5j5whd/tbgzAsNX9vcjzS113Ls0Jkcz4xLt um6eTXFvLOHmQlaChxzWtlakEAnHebG+Qld0opKEM50et+QFZFBXNTqEtBayHPCOBI/xiLH7YQlZ mD8eGgGWLYDuB2jS8hR6KbfVC882mPnawf1xkg2QFppMcmKDZ7w9hWJ/D4K79PY4pQsNMF3LNvej UIoCGNGxBfl6dwXFXER81pIsDWVPZnUCdjYvNCZ3WKaNovFCTyqAo2AZ7Ts53xUI7U9/b284qy96 6aiiv08t15n4km40iKXgGcum8QLqVkm8nBeblyrHovwG9IuT2ypmRSm5X5+Z5ZsDZ7zmrYq5SvtU GE6zqsE5nkI4xnJRQfGDiPORGKohEXddxk0tQ3DxFUNAu2pUTB1L9SUhuJm9vt6q9ataaoMjQ/Dd xNCR4QC6Tfu6Ne+7MgaNb/PXF8PHlFyT5rfrDV/sdof772x2+UctVpwBqwXwgsx+yHc8p9NNsiTL KcDSmKc0ELW4vx8AepZpuIK7y/wKFNKNrYQd6AJ+Vcy+WBLYXKcNw8x46HL8W2uJITJ15EsviHoh UkBGqQ/5vy6jqoLsCeB9R1hMpAPpk1c89ST8tp96cKryE3hwQHE7TQIZw8AwjFG/3RGWM/+4vuOa eP7iCnAAImXwFPfgu0J4QMbHW6g+WH+uisPB7jVxq2T+YiWrW6uupO6ZIG2t70CKQz7zWP4p0YJ7 +PcRpPaTzx5YxAEMS2oYAMab5cuChTpox1WMjkz6CVekHV1wpKdWRknDqGeF63dsy8lF5InDUG9G okHrqotVhgCVlx8rMRShut/wDIOCAe/GShtZF0f9SxyYl9O6EQ7+uLAi0D0yFpFFpsL/3VWi9p7B atn5IN4C3WdOGadyr5xUXyyIa/5FnrSBo9+ukRdri/hFMcYr3TpQDzgZHg0d6sMM5HK+nM+HIeL+ /FOeY5AOTonf5wTODn7cVb5oiDOdMOHD43jNB+kVZQYyVPzCZG3UeeOcoHI43p6aV8af2HPkZazc /JeCwKtb7sw6n/BXw9tvw9zHBYk7mjS36dRJLlRCsg8ZJKtbcMMuDxtPA9tetKMNcTWJUJhJqeY0 RppE9lKiSJFqk4s16wUmDW9tOxIeq5dL3ItX2FDPuMheM2/68uOt8vMRwzS/y22lV3D9A5326SM5 LTD07UcKJFH2yNVc36r85clWpB5qZ7IGDY0Hjqdpbp/yT2STht8/4wvADuNOxcxtASxcWT01dApa S8JH2DcKxSHww65xGKfn27kJZfes0L1wsnEDOZ7xzyaomNsu4frJk5XuAIp5A7db+MchfA4jD7fT JmznQJwCpWifpzBpWUkqxV1dnv2s0DisFdVOg7pbdc65EOn+jhcIgpjA8IOhFaTXMiXnS5+nftl9 TkSs+VoYWGozaPJ7RDE7j02Dij1v1+zhrPc6aTM7zJNHE8B+B0yhAMOyqPU476tZzKAAVHVuCZSP RNcBOgKquMUNfOq8Ccu/TRu4zMQqYxZtT+bmOYudNfCZ6PwSKlxqdY3ngepfWP5NSSA+buo8Fx4+ VsL6tU1N2zFLBcidVu6mzN887RvQq5ow04QkE6lKvaGGE7sw4mv/drjUc9aojA/SpoUYUVsrXN2j ED3W4Cr8B848fWcGNr+9KbA3ysHzml8eNKoIxo6n8Z6WGGnWvvlx2CTcWUOnfaBYJ380OYZ2mW+8 KKfIP4omEAYChtjB/hKG7QFyAFbe3t0jEoHL0dzNYUBAZPwC7lR9s9RGaSy7zZWtC989QlAd6r0n Tlv/NQiUUdnQOPnJdbwFTQGGmFWgUCjSSqntrdfY5yrMUfvokt0vJnYJOUFyQFuVdaKoyKlDzOod hPz0KvY3kyjJyGYxltig8DnmCNHDdragtM+tua641B0k8/dMvXXn1Wy0TWOqgVsMFS4H+yOGI/q7 ZGMKz/w0UTjLKEvieY2SpMxQUXV2R4MHk+7khCTUNTOCDd9EuJvQydvnXUsS/y2LZYd3n56EDsdP HqTSQzZ2EvwOhQy5n5qMBoobLaInvg/YyiKNzcTBG4g7luccozm9giOb271pEcf5uaeMCP9XPyXR JAWIhvGGVhZMsiwEZ0ze9P+liSTJfP4nH2xnSHpvQ87zZXovvoM3A1a1bkHzdcjPRV5OiBWFnmoT kf2D/i7Gqw0RdH/ee9IFxnk+7m2pmxE3OozqeawBJeXQywm/jx2zJKK8i20fOUggO9muh8LTV5HB nTJG0FAD6SVlO2wuxUAqiFFU2SKovXFLaSnbzFHEfCkXnM9Zduj70Tj2KpE+xn4lxKkSt97bZMgF Yr6WWU8bwaudTk7FaP4YBgHeSzzfDb0PxSNDB2S3Jth6JpmdLd6mHy6vSaVOYE1FaShlxpSTeDZc u52c411JAvwJjsQTTST6BPx1VnBG5oL6dlJWLYk/XrChE/l78ERzLNwMaqM8qsi/0+nyRk4JKpsx flwGL0Sn82hIRFJWz8KSFRw/E+SbRhvOsMMtK24ZkE9rnuaFoPN5NeUejWV5UC42Njir9whDQLNF NtVnPcRygHHSzz3OeU1JOodY5HzN/K+ZMlqAfQidzqaCwVcfNdSpaZWMTpF0e9UOGpKA8J2A/hH3 vxkRxr/ssn+pdkJQN/kuMN98uMGm56sf6B/0e7DAlyub6uO7Fr93LWG+u0AXqM0dJbIAYd+1SGSV bgz8qssW9cDYFNK88UvhigD0j43WHjf9x6VeATcV3vZBhEErViKurl3NsSRCHbHk8lrA40CUW3Mv eQ8bgyntTHzpN2CLGDvN0UMR/pLeh4n0wfXBoQd0D0X9U0ko7oezE/M2UzCmxrTcc3gCJwI8N935 arpPIbnSDI4N5rWYnn9R1d9WDqrokVo18EoIXUXwqWRmvkoA0b5/bU7TeqvD8xCQJnjzFLZxd2IJ lShXvAevI80YH13T1dMJIPH8+vmPZW7Rk9ssZKqphaiGcK18H242BF9Ek15fGlKAz5Wy+WymQgSd qbE4A0uFU8iobnkdX1lzmM0W8oss8B7cfyOoqrSCYjiI0DrSPnMcIEZUrJe/Sc+qUHo31pYpMXtR GtKGNkwsCaiqz0TIXH7bwOnBqW3RdMP6ctV7eniTxu5zJ5G2Hcw35ojKxfBKgJh6Q4lmD9C9QUKu 2x/ZHnIVM32y0WkukQ3A9smYXbXjwjTf2q0Gce0wSQiEck3rMCP+LVM8QfF4wTT1AK1qzNpEYfaF T9FTZTSgQ8Wr721Hqv8kVjwKDfpAq6skJ6zsWnzEXS8K5Y8/VFGBChzNnyIavwlV1vvfE8ERmeG+ UAzkyASTASvtBW+kyJhhRQEPQTdhaGRZX4OY8J3sxayGTgNi8oV0ErriUjtXBq6GI8lVJjqM0pw8 U42tCTVbibE9NTPsE4xHXnf7tAlez3Ozrbh6sOt4mPE4IGNDotE6g3Io54WkcqVkwvMwhPRfzddy CNV+nzyHMqVKklRYYLzmeDcZWJHrTM3hX2XdMsWUbcYk4U2iFgMqUmiNCwoVbleCJoTVRix9kiZk nZ+mDc9vAUZpEGDMoN94UghgaZW1qjQUKkgABSXfP0VETBUcgJS24NLCcifaW5fwAoShI2sOJf1A Y3fvuyKsH5d/R7HdRGQ2Yy9Bx541+KxwnyJDzlExJ9RrmdVq9Lpw6oqtj59hBX+zO7tsFnG2mheL Sw5Gacp2FwLRvF2BUZ1lXHfxelXlhxhHYUbh+5ISe/eg1IGMAJhhUY6BsfKzVCCTcpGWLpI79Eu3 YkidlTTeo7j7Qq1K1ZocWaalDO0Nr3sFWe/PwKvU3hf7fhRqVTRuibTX1pyXA4UsGCrDurye28CH yGF1eDa10TBEdWIKqtgPtQbKbbPOQh/Fz3DunvwdQjcduuVOHHwJ2G/Llqrmf6z3k831hk4wnMKs gkBmcBFJPmcsQk/FpCSJcBnLeFELfFSV0oCs62AG0zColLkwUIkxSdcJeRGjlcML71W55lsr4UTI QsEYi8bZYakxjpXulvf5BOnHx0bmNLRpJJl0fd3p/4nG/jptaKExKFWes+HGEIiONwgO0pv8aTIe l1naCquW6Ljd5xIRJJfErS/8+O+6nkhEkEj+fXylG9vcRCnRRdcGcQv1E67l204ctm0TNF8rE75q pa8GK17mLOGcfB1345ErcXuTCSwZkvB4Xw0uZleyYUYB1tzesKntNTuujS1J8LQ7wUrCByihxjoj htcrDY1OdlWI05Qxc6YEFf1ZbhkCbcSqv5aevGPJTg6Rn/0YRa5E3QosGT48VjgsfsacdaGhmWmv +WdCGNPS6Mb95Ymdzqq6jxRpUev9abcZC7jDY14sSEdJTVhrtFM0mJKV1qOcsZbXSaGEamY3X9KP LQ5BmnxNcuAxwhazV5wiULJVQm8XyfBOQU0zCosrb+zT2c7R1pNudFXCn3/T93J5OsacG8+9P96l cWvuEx+K379bWT08vvqtxoDwYLZKxxfTKpFC7RhdhwTz7YeI+E5Bl6k7QRD5624MQ7fa6I8bCaBB Cns3zyxxmWzrKPdXgud0asVTouf877V5IKeya4AcYXKbiOvkcH1v3aO8otKQSozhjAWXThh6joM8 cM2uAjZ8BSNXlBwIT1GeqP8lxTM+mybSP5YAnsAbEiLopP8e74cIY/FIJvyelQRoVJ6fzOl1EI+s igu8/DF+4O0QYZR9grXQSTewfy9LuwiiisM94jzafJgCkFTZcBdBOTx5bkIYTpwP8DipY/lU0TO/ Ln0E/YWZnRuzhfsyxxWEU61RZRCf/IuhrCIOD5MEAIG2veSNeAqg5mCIjK2sP+fTYMTEeSaQ98p6 znyQ8wVzzuH6NBkoayK1Rz8BlC18z+1jImjkf80UWEDvnpDYmft/clPWfTmrhjoHGo/QK42IOXA+ 56RKrkCdjMmTuCPTpSX7QZEyHkYKuVri0UctexHYz5GNh2RbVJUpqAbjG5MFUV3gOkI9ehsTUloP CTFuoaTAXHE0+kDfSFsRULeGpgVVvjiJ4qexSPbD2Nz6vGJQNsm1Q3BAzpDC4ENny/dE+tNp9+qA FhkC6womcoN0l6RNZlwHzwPYaLiY/xUAfAx+JgoZ7wEjoH8qB0qVO9PVZJP5irbbd3lLL/KotJG9 DTjyWh13ZLZpbeJ5xP/tEzryA1JH9HD51Mx5AySIystxl6AeX/xNBvBCvBLDfd58wnrBJP4shTA4 nK8kGPWUIde8Jhyj7sIr7Hm2VNCvIfINxVvvQSm1eo5a5hEPthrDqAsYcjgptjuaJkn1441KAjXg /veyzFxo5XTyONoTZIgkSNOP9UcfKFjUe3BXA6Opv7TYrNFgbJztJkYCzpkalxFiFBx5VVzPc3Xg pVfY0sOVAIV7jgqJMnO/ov3zkDyFs5dv2W2hNqoG6Z2ocwQ+oAVcfhUmiVUYRj9MnLEE8/DMIY/m lIEjHhIO63Vmlf4ZYq3BMJMy2T0BqNebdYopjVg73JvqbIOgmweLPvb7WA1VIrUDxucKw0A8r2ml zcXiOCFV26Z42rCm4Rxpsa+/URTZLPXAf5AyyIkJTr4u5Yul73e1ssjnp0o0RReuFdpctrySD2GL NdpzZEbGj05cinCDsNKW1wr2CR5lkZwB9MIi199oaDNFwC2bl6Oy1I5ks2qL2VtYHR9cRdMdYALT 99Yv+qOHGYzAsgdPvXA+X/WhwjoamdcrmR437HO/Ze75j/JPbHsCxPvQKcmHvo2lfeAxQ+wY7PA1 yaR4JVWfhG5kwnRM83DsRHO2elEv+S6n1Zy4Ab19sJKFZzFEBkd+gcj4uyZ8TOzAnM8NYJUwWh9w bRB8rN2/1N+t0iCvR5dHLRHzFMnJa32BZq0Hxo3Ub9G2C1fQZMe2cvKlQqhEN7jZR53lpxQn4v3w vOw0c6uHJtgg901VFyixuH18M1zxrW+EgswmTxQKKZjiIVwVYwfgOYnv+861bXIIb8Uw0lxRbL6x v8ayquSSQM23aEP7D/1tE+JX3fg04+H8Xmi3F1+e0+ylQrP0lXyxolp9pqpFgCOHJDDXOajpazNl IHJgh76tKRXmwxxleI3gsmf7CdJc8xGd7FCJVOwsiY7C0KPhI3h9HB5W6/hasNvtz8pvGVT2Y+kZ LepYob+gA8BG0O1Cr6Qq4ucEihkZlMZgJhdu1MdJRvS66NQSnHFNCVtTZdxwyNX9E1+0XYGKyGFi vl0SGKXlvsMyG2H/pEIM5XF28s3pz2HTS2oqIysNEL19eGkbfeIPM9CVM0RYyFDpurzDRCwPomfn fhxdHIIfyUdwwqV/iTodbH3VtDkXjfdfK7c4Is4cRJDv9luqfWIcHv9+Quqhu60JI5eBsLMZ5lZi C0qhMRUzVA3sco8W1JRRmPHIY1/tJZapALbpgDs5iIOAg71tuT3fyljVNzimqtQh6ZtjYSJTB6aY PVfjdBbe1bTzW+w3kL3UsJJLyZcemhdMc9R1Rd301xLekqOelK0Irf9B1D6+TN9iNplKcnq2D6e+ yr7QH7Tc8Ajq9jmDn/g8lZgzb2RbQm4DUz8vpyrRiGEEa/4CBQqWaAezk4G9IvzGGzX45JGQ9htx RlLvITqDXC+Ms0vfmqrivifVKYoKFbiYmoOgvDP3kvqfncLx0WCQwhas9MBhRl+mIuIsrcNY4E3F ASsXb/r6zaCJkdBiJgpXXVRrGxWpPGIZ4IZcvgk04Gb6QCDF+ByAqp6SLdqJClilhcFEG2pcFaoZ pDxaB2D1qzcOqBKHcgMvWN/5yD5VtPDdrj+7v0r0iG08VKNfVCVExZqWci8umQ7Yb51kaysRHEbD Rra31tAYITvQiHLm6c6ZWX5c3S1V9CqOamZwKqwZilFvodXwUo27MRo7VDY081hG5Stqrk7QoL4H eTzEbYf32idOQJoyNA093Qu3bEZlsIwyDgODFZEOCBSubP1FJHQ5C8NlAbY2I9GM0JtX5Utovi6a /YdkleLBiAse1CLEyjcg4v0pNQZKNoWAAlrLL+LVptTRzjoKmccrOjV1If10RkGqyd2Ftz7s88IL 2nh4pRcL4CfLZzBSJIeX/DVy9ik0zFVBUh/X1Be8/Y1MFuRmBXETVMEX5gkvrM/bvt9ZYYZrXqa4 DdZCh7zEDcclCEIrvzhMP+2pTSLeUu6wBrOSkmDnPoRxhSTn+UG7mO30mex0ciuT4yCRdWEE7MFF WqG9YGUBSvAqev5Rs0y0Cq0FhhoTyxMdhuPZetEbaE81xzuwlF3YlOzAO27wvIwgwwCACllLtFkZ sTpByWVGSep2lwePRgQPBt6S3amDhb32muQ5zkszsc2GeEAnfeCRP0wSZPCQxJ9ou5y2DEQHeLFE 65F/m4dm147KJm/SH3kxd9SpPHn30gvGfvOYDPITYm0AFXeinVRKiMN+jpurcX62ee5IjHVcSTg7 iWK6xnLksQ/dnI1p65VbIFcTiNg4B3C5vZE8PefjBTECmcOlg8Sd4jeS9GxoQlDExguNV9mVdTvA PsGf2NCxggOO4HX03Qyj8rDz0Yyc82irpakmk9l9kMIT6D4Zw9wBOWMUjvxnz8Y+mCIH9/1a6rgE LW3QfL824D8oG/aM7G5BGASQ2ivkQcj1g+itKmIy7FXNai3ymE8AuCiJpQTlM/6Cke17xy0l1/QQ vkBHJsLIlh7vGh2sh6LqrFkt+/GANSDADmxGiUnNznMShBPVH02nC464bpF4XHKuDuBqwXPNRzn6 4nBgqFEPu3xwiyx6FmOUiAwlTouh6QtFl2WK39fMVfTzhAUDZHpCT5aYuWQAr/X8hStTRcftAlDj c2CdD/iunFZqwccoGYLKPlaQzD/eSMr7+kY+EE8jutB0K58wtSzQ7jtFG60qAttqFFK+Yfu88okb vUEQPNRtceOGKda+qvvtK/knGgDGFHAC7ns/jFgooJT6lzNwHEW12OOS8199UKwTNNXlXCgt1Cy7 fOT3lf4W7lXlBejHSxMf+Zd8Z2naNvxz2yIijXzJoiNxm6Fn/SJ9hLCJtglhgXEvaogMkgg8wK1a dTlRHiquvuH6Q70Z+ieZ3CSyVN0WNso8ek7U6A8WEQ6X+wcei+RvZnfGaf77yIlJz415jfJnWOIT u5LQy/ydy2k+0pLuIGnFdIhDivjKWtJz3nsWppAD7Ydfc9s0850EZAJ7uUoXwAtY3BE4LZamD6Ng KYI8SM0xqT0zpZ18j56uUUIH696GuZT85w9EtPJyynXrmS5cbjZZ88x/jWSRbAlWUpu/K2OoTqDF RvDlj1oJfMNQL1QCxy6zLmORn8Lh/bYZph7hRs7JV7iYqF9jrIevrSmxWqJ1I5V6veTtZSoaYoa8 05cYheVK/w7kz6ulzoipLzBXeaeEzH0oxsW3X2x9UjSQ0r2uu15qDfHtJ7f1ekG0bN/1BsAyCOv1 xHUHJgG875BjRtME980RefKuWZjYQiwFzyFJk8b01sZo84HLzLb3q528K2OQws/tedtpqezE9ooX iTiacjylrFFyjDt02I4PwlfRpiqEPDzBezqmX2wxrZIfoZTH0ZvuDaDFLFdZsg0/92PeCW5/xtoZ xc+gsH/9mSrQRPCQVmcxwnNKmy/H/p55/CO+O+7Ryw6OqJGuXltsjMX+/w7vjZpS6FsrzlUo4ehW yX0lEGWGysSXo72uZhhyKMKaByM8fmMx6RXPDxNw60/JQsEaZE62x8VWZaTy8SxIqu3CdR29CBNO q0bIeyzZ8gwTcBEFJJvpbkTn2oaR0IGLfFjBKKXndWCC1GSLhvu6CiEReb2NAjpVlrEidGRnIAHF s88DjZJVbeiWozZGg2q3EWNOEPz8D/ZGCllvPijEIl82lcIMrTQYeTBKkpxohRDN1b0JO9f9m/SM Xms1Ld+CU/H0JZ77mrAM0qspMNgioz7uGFMPXaMsoFvOfdwZQDE2w7GpHvaPAfacGP4fMiL+p7TH qx/d6FSaQxSPaRLHoRggRP2Kbg5bC70j/+54eweOPehiqmozI3GIvsIQoVQkC6MLaxomFDnAGtGw 9N7CwBG4YUrmk4wCZGsMBRqk5DBrIbUWoSfWJwCUQIGoIeb23CoMa347PgMSqJfgv06yAx4cFZsC BYy9LI6QJUmFdyiEFj1nxM1nFXCRr9xPrdSm9iBEq8Vtjpac48B6k1+7CXI5XnvsczmOwUSdYTaD hdbXBekNk/gptms1U+2QsJrCUh8xCvY1E/jrcCRO02sbKIlXp5joujx4ZGtd+UMbLXqtWUtowcuz iGP2w3JDia3BovEerTGV8hHBCBxsMBVQMXjVOCCFl+xAgGtrzDJpFKnQGeCNnZHXa8Aopt7HExjp 17DqZR2v/TjV9n9111SCLuRZ2Lu8bKafqazBuWKtDmULX1C3lQ/tthu8uCnnAmU31Lq1R/lvV7rn SjUPeTXnX8kY0F+RZ1fcCyQ+lgZHExsY+3Mimxb2yOEvkxRKPNzUR6rE8oS2UKBY0DuV93eWnehE GDnRpR8hxsetJCx1qp1XdJbiCHE/Q5qojWa0ViBkLGj/j7vNUbZrC8aVchubsJg/cRotljJpmlFC s5caFOQumUWhWLP17LUz/if0l6GHURq00UKtzBId+0AqiE9R1+RDagAzjB775YoyVweFREHIzsQi Pf0SEIbsQ2yNgzRFuVXmufmK6xtV//CPGm5NAV19TodeRiRYUr5DHPLV2sZWTRbtx21JF/g3curS zd9tnwa9FHJ27sVQJE70F0tE+26yumKYgu+ygzbgNiY7xffw+MNaXVggE0w4WQhFuYoJNrgsasy5 gWfiiLur7HK01kqSj3HTzR5VVVxHNgmL30ET6blD0+JZgK0kMESyybZmblAygylNRZTPnoUNJ3Cd OvaQNQLvnZMR4l41GfiuM8Aad6M7H6RMvqttiVkLHs8hWxEoxlyQ+0cb1P5NJLdxp/SD9qpfBeoC gJNOivwbew8K7zw0/lO03nNEgYiMif2e7SK6Mf70z9aRd3N5PnDjVSQQYX1kHffZri2l4EnZnPoB LCO3EtnInNp468sr/LFEIDo3nHVSX/PvRbcKRKJguEZgxmUYvU4nWV9Mvh/qFAQTlQM/GcG2sOml 4xzZGwxPZ0Ksymlh6oe1KH2ZXDfFk2uaI8ID4V0XWQjCosOtExNWUIFM58+CXpw5RLBEzEyUfUJh w094jm1feeuTe7UfrNRlnrn271RkbNpk+9MFqVLoOUn4ZqYUURtO8D1bgYbuWKs4d+JOVIHcoVeg 9S1VDgADXxFdnaB+o1dtmipxHSPWw27b4CEMS3glSInw89h1KkZ1WUqjild5M673GXRDgHaO0oru ti5kZ6G8S59M+sFS8cOun/f+MHB4jGeB/VuFnvlZnGvaGCSYBqgg7nxjq5fEVLIifuVk1ynJVmXL oW7HHDeP95ZFQX5nae8mK+scM2GnL5OzrrwRmAAYz/kc/a6f1KGioxhT2K3WYWYDuoDJBIONCXJ6 8YaiH4zny6DdxMHas/ixUC6q8PHHgH0xyG1fyPyd7lgInzmSwN6FkzlIkfrTc8sXfG+JgEhW7oGN uWh+qfPjIUcaVr1DhilJOsDjSASmcvkvOrhc+/QmSBOMsHOelfGXv07c+D/ob3fvQwU/CEyWBVEI V1PkshSByMbrlDRogiBSSnOfuInq0U09gFYLyEzvgXU1/puHS9g4FQcobKJyy7qi9TIRARfeyY2M Oz5sl8j+w/fErXcbEsZ1fKQb9BJChuF4s83dbWvLi9bFb8S4CeOa9UGKh1bnfPmO5mUZ099JFg1/ dKhl1IXw90iSV2KtpjGAP9jHa+9ceSpbpx5xUm5rOi2mzKUArgjMMju7zOLrlRSKKLGAONsY2CrA sCBvkLkD61jhUMf61+vmFOe7R8FqnhGKbo/uoZFEOn3stt4wBOgRZS2BRp9Lc/QPk5yXmsCrjkJN 3K0RuDILGWpXVIUcFRqse2itxOw0D2KQczTL3vV2T9wIkGRgvY5St2fYnVow5EdHPEN3t1Jjq0i6 y8kZ5JuDQnrXChaU/z2crTDRzD4qkKDg/7Pp+gP7Uhr8nueWFEukLAiFNdNimyMoEaLUP0x8ozcm ZiFFVuoUWFOoCeo8sG82+ZvYSPJG+BLUbgISslKzYfFO0+VgruA6dGaEOkiu+Fv21TUohkGZhHIZ 7hHBhEeTJZHO6iKpxt7Ybc1YVv31noelKDMHkfAJqYEQhVJVpG3zs6lOrMOIABWqbrAMwnMGAPTA wprXGnAw7+PdYFkl2OLn3CEf0WRG4Y+1bJg+c3tb4cF8Xj6YLh2Dce9Vr69jW0+hUEngApLGUbz3 /r14/g5nooeEWAn0jhIzXgBl6Ys0nrQr2pp5GIMj7NpAWV551DDm6k3rsdZV9Dtm2NEQO8ZRaUoJ 2LAGWW0wW7TQDpE+Wh70UQ6xM18rXnyo5/H5sf0ewMghBs7xG7gc14pcKlwipxpI4qjCdw4FHa9P jsxrArG2KAInl/n0v0A5PrwAK5MO0IDXSWn2j8W8JzHzrKqGTKsyDFy1vPvncqF/FntOvHExM1mq SA7NmrHDt37ltX0/ZOn2KF/4YdXqxEFV6peUX+3IZWfqe1xNMbY4QkJEfjo1CYd0TeouzcjqS450 JBqIHtwdszzGkqFFQIPqy6xQYXuDcbpjbP+STGvYuhGFX9rLmU+BO5LJa/LlfETcONx4+q9FPDVz lqpzAHAV0ccV1u6ZfcAsmP+vCvE9FJVTLCRYlzTxyiE2aCBzKFN6rYGjvLYKcjJQJYWijHGyyOz3 C92Usx/Ms7Z4l5SOFULQ4VPWw93/RQJnt2BxxwpfnTX7H5z9x5TEk7ROyWKZQ2nSojajbNwTYCxA 3M7tkMylqD9TrSWq+ltsUDlZQadH7sPLJI5cBXeWzVWo+bmiANfq59PpVMc469mEqx4BzqE0FEzR TKOGH/qVLE2ymtu/kLp4cf/TN8PFc+D7Qj7ZQ07nOMVdaSgwXYzSyUAR2xq4UntTmNx5AijvFE1y XQ9WPMgTQZlPce7SB9h+JwWjdrJMQoXNbpdrHV3Hbr7P1Od2MJ4hu5dSVf20+lcfSOmEzxRJ/9QB qmjBEu5Jfd3KOtwmWE1ROtrnHHp8JEIm+6hh82Py2bV4wANvlM5wc2MIshK6Vlti94SbUs2qYGFg jI/VXTlpAsfn9If6I+/d2/gjbwI2b4U2E1sl4LqmsHlj8eiQFXV06L+oKyQ2jOMgqVv9OJpea7TA BGo0DjqZ2RZ9VFehnggSScpe3GkkCk7YEcGQliidALbAFaDAN/SX6xzwTXsF/AIut6++M3XmAMoH iRPjzBL8KxW7fXQyQYjgdEen1pyhpPI2+PFWXxoXJSQ1X5EJbFC3bm6bhS8L4R1NJ5xbBOa7TAGu JvKyTVrK86ih9zG+PQcjjCEB6oO29wAt7mqolk5keV7bOrxJY/DlQfNFZmYbO7Ch0UOlfBTBUZqY TGnXgiutOadNfAcdbRnN6C8vGQlPXFhR3d1O43FC29YZJrniqbX58sxxNl4tFRmCuOBevW3Yxx0C JSzYB67oIhsNcobu5FFgsKz89kcOpyIfltfQu1p9s6EG7JolyjLcUtxR21XPdaZSWJkBjf8XEVnb 6vpK/MrMWFI++/ZZOhxBW00Wd/4pyBfT2bj9KcM2tphvddU3gGBG8MP8HVUdW1G2q8DNjEzeKGsH iyT/DkcQQPBy09z8SYbcLCM6I5dtFZp1TCCxnHNLC7YaFcg2c4n/rQztkQZmu3iL+2Tplv4+CcdY vfVvEkavoaIFUbSsd174u4qcEfESnaN0M/qGzQ7E+ad/MOuZsgCa1Rk4/F9jknn9Cn8/QrsXwhEr 0+AEMgGzci/5tqXNIHXkbp5nDyqfoRRyK5iaoWgcybo8nuNdNWi6EsDmkYmjtR/WX2kyOvOflFWB SSVl1yzSPNPYLp7snRICDY68GDbTkVxIxeOZFhsW5EeXpgg/M6RK6VGt8GtnhanovEVXPP5WB5F4 vTOJAr8Eu9rpVLajHUesQ5xegm4HnsfP2Kg8U9VlE2hfqVPRksFK9+nck+YeiLB+r+50ObtSExdI Ed+4AZu/bKMveh2wjb50Wkza1gQzbetlmzlJaV3nw7XB5G3GW36WnDYIjlxIHdWfq7dLCKEgpvQ6 /+pP6LBSHZD87Zk6I3BVzPDjrEAaM3/IVm2sXGiQpwDh7RFh9vvp9wfHy3OCSJkvgwxOh5HPMKeW 6W0LGoARFocUC0tBwQ/6BFWrzD0U0l9dbgoayhsN7dAqHBcM0yZPeimQtOYkvToBDA5+hj94gSng roiQyrVI21tzG3dXd+kkyG54bqKCu5ArnkCgRARPpv2qQVwpAy5BtCbDV/Ml0HovIRreCXGA4/4v yMWNlh/ieVrM473/DEi8CpKl1aAHDnQR/EJNBz4HYYWkeKWAsnu6/o7iH0b1RDVPvmyWZXvQ6pPR L5GpdjE0BOWdvpkr62vaDfEJOaacqGexCFCfb528j4g60CghNvFfo3dwaLJ1YLKX/jH1s3L0UvOa uc6dCln2EOWMYZZLNrPKiYQswEoX1q6NHhlCb1FKCO7HK605dIpgy87p2HTfjGMY2B6cZLGIM78I GUZYLUXfcspPWqsA6qm4BXvSqdzAKDuz4gxzx750BD0kWIxCSOkeKTSBZFmT5pkCagj5Yytvb/FF aJUPPAYgLpAWjEeFFk9yF35CBgLQT/JxJ+PDh0ezPfaTn5uEWvAfqeB6bQ2THU29pZARFRtrTxj8 eQkZFUM06rJKcZzSCQIXfE2a+Xsuoc5oq/h4svL2kVA8KyA9cEgRQgqy9UO/vVF/4tfxwZroeqf/ rHhloYL4Ix0BtQ3M3kSR/7v23ig54Blt8sS+AHVUF+NAhULx3bA56Ce9aGq1CxnXcrbHb8Md6sKU POI3xvHU7Q5SyO1SwSpQ8QNS3Fzew8SdN7dxf97A1EV9AF4jFd0I+KX6eIZaDYd92Z6fnYy33rT2 H/UurK53Nl96G7a2IIHaqKjEilJ2jfpzMw5JFA4itacp1RY/z5wn2lYCgnklBr3sikHa9qnshFhG ycXlQIuLYB09DKXBjqaIOanNcRkFb3rjNh8Bk1otPnuspHsPSI1SWvjw/8NKzNTT2QPKi7IFx6O0 gfFiYu+xQC7xB6ofUDUgLNhwqIbgHkLn5zgrmwSPhn5GLzINJH9DfPg+fdlnadhplRJgRk6pynJh F3w+zU1aiw+Zk/q7LiOlMkpn3SXFLRprOrMnocKa2Vyl9xTAdHbTbtWiBBr94Lnp+7IUEa6b3jln zK3fT+K5jL3yS6IydriJ75HFZxgy2qRxyKcXd59BPrarGpI0KECZgIQ56ICXXEss/Od/jaojrAZx ainqs1RDPg/4+KaBJQo/HxaDoPFaXBX0psaZcmNLvbe+p8lX2nBwIkKlpyOTi95NFQcnxaHMruUg 36Iu/hDZkHks+e2yFOcUWy6cihvxackQxnsyEEYsmsgoYYwTbD4bz5Bkc0lwvEIPkIex9Nyyw3i8 fr+k6kZaMVV9n9KqOrDYDsfd+AhkGu1hFS0S0ZC6P12Ha1p4/75UkmKI6M0EToWSa3txktL7VHmp /ULfkMu2lTybxVtiSDBsuDLkPjy9R5QSLSOEWsHRoH4FaiK16gFctkJMIbWPjTYTiWHuEplqsQCi 931he5jgDurlfGF8tjqkaF3j7xA38erRQ59ssK4AWqg746eYg3ckE8qT4XRoTmxViF5BlwwliLw+ vH5kdwt6cQXpEhTp9jC33DuLGenaS+2JQCn5jGMVeAtDiCz7jkPtTRAU5Ch4fEU2OYvkqOvhv2sN A0ymQy3fvmDgY3vh1PnZXU72OOPBPimFw+w6LQ8SI0YFXgwcqSP2ACNW/hg90gm+rS0vEi/pyilj iTdMdP1cqC5q+Ljvbv3gmnZOowbq+OmeBEGLJvtX5aGH+bAa/3ZcNI0PZQ5o6HZs9CUPrd+008Vu rGhYXOozhT8QbtOr0+5F5+6GsPYHg9+P8XeFkZduyfnWrMvjfiAxhfnLLm8PY5p/xEG3lJnIy59R 67w7GcEw/gpcDJfVtwjf+vUNIYrGFcr5O5HoF+3R6szOpTSP0NFWugX70zhBJZY0jhfF1FSaMW76 wqGnZRzQgdIFRM/3QaiMbxoTzys/JVuBLlI4H2aPW6+MTB+a5dVxB0ZyrL3JYtcghKs1YehAaQnP LHTDkLdJE5LVidnvCN+9TmGRLQsH08vcmotrO2jbgVZl+5QrHDqrF/w6jbHXIOcMQmbG4jioLVP8 nlefHraileZN61a7lkX06gkRBOmn5F7M05oGrGDAkctNO1Amfqp1vvCJqaJkQw7a7QhavjUWDjZo Fsx7llJYYGYoc5pKgMwhxerijUrUCW84TfJtbis9IFHPRcLN81+GJ5uvlwF6JtYfIn6St93a1pYs LETBFy6bqOVHAb6mffpa4jkQY31bS//8AfO6i1Fp4x8rZv47kbpOlBTfkslam5oLo5jJozs1iS6+ tKwXloi2Iwsl+MkNxb1ADsP0v+gioNciNH2wyof2UU4/coLBMdsoFBfNBkiwV2Z/TVTA9g1q+VSv pyK18jjYlxpvJAMcsrD3PKv76Hf++qZUBtEQsYk+Q0nvJ1x4eZInmv1/jjy/SFnTnYkHx84Glmuw BVxt1Dl1XBDQ+4m8CvFh07hXmn0QVFGOzmSWpFSA5jKi6ugjXDsTwH63WWSGDqjd+kYfnXAhK5ez GoeqGODNc4DdkqYZmdTZ6fWBnB1srruWgFSrnYNQK7ubPw3wHDsywNX+Si8fnue8/wRJG/vDIksg j+oYzNoOe5VuQ9/z7GIThscMONEKJVXSanoX/6SmKv5FZPnSNTVYMrDC3FHr/8Ndi6+UzhNvPa+5 l7OP/e5RO/gsHJmxb88wdcgpkxEzTcYy7BNSzkB0YAwMNX8MyxxGInHiF53hqJgDNAjCNXqQlvKH 7JDkM5RNx/kSyeXSG0dlnPmt58KXqKm05k07Q4fYZH7XUY8WR3RdalRrF4Ig3KPuq+rkbPYDOuTW f7/+8X8uUeku7MPhRxBmJ8clwkltW8d6aQaFG3cdxbbKdQNesACiwsVjuK3qjgP14UQSkOWG/ylG 82HUjnx9hZbnADWuJwHu1rCpI/cTo/P6Cn0qqpatAjP/SAM6u+7RzpinI4GM0t7eyazuW6HEolVU 6R3/Pv/SY2HEYLb+I9u855W6N3Mm/sgy5jYMjMnr8/ev48FIyvvmizVDkSlNQw2HnpeZv8ovMf8t 3F/MxxEoMNd4k0LH4O9KLZjv+RbSmr5yfdRXOBGb/Cqzz+0mYMGlFC+iqaRG6RtMbUu7Vwq3UpOR gXx2YDb6X0rgn0gVzht+M1kYP6KYcvgHEJsi/V4kiUy5hGPd/qNhR+fukTjAxNuDEvLXGyd1XuKG YOkee5YzF3h9NpmPR++NI2G+tXKLramWrupD24UtcFw+zgO2aQj2i05YH3F/0XhmDpibcXvpEDbO tF0jVppz7wJBxgHiOuY5hdB4hwfmnQO6eU6A3v894eWybQSeD8Htl7zA0OwE1bljMnPlNbHqVQGz EfypRFiILH9oi7Y4tDku2Re2mNxH4V2JLL162KIqxHpLlcI2r3jaeLFxoLVAr9F8dqd1yGu47jlX ZQRXAwvEhlVxGj0jHl6mG3XtrkEfBpfk1yHFDoehnAeOvnTLWjeojcfjQW59ds4hyRlfUlM/IV2d K3SQ2ZU08VQWZBMdXaFwMkyFIQHGkRYcB6h8l5RL0tfL3oJIMbs9l3APfN7AczJZMaPBJD+MEtgU Shoi626ASXw8ou76eRqGubNNHx44ydXxiLoVXsR/3p4JzkFl6MKoWHQe4lbjBpIOvvYBvvN5UIG+ 37WWpic7TQ3i8fyyFOyuEK2wHguSOucUtgzTuQgGtE0NXotmV0bRcdDfwTDsXFc/9pLAqNbTWH7J wOpJZ/Qz643sbaqTCLzvWCJ/neGwhGJdchpU3mBHpm6vlOUZPHVbahltEgnjYakEmI2tQTIcLDcS 9zv8h8pUy27FjSd7BunaHyo9NcudjWf3qNtIoFDPy8SXf53kvYibReqtGGcwIBdIfwASIlJ7x3JR 1Qb8ob5w4y+n0NCB2J//0zmzS3wNW5OnWCPv3jCYEMSXFfWIsUnbJ2NbGl2H/K9eQ0dTZncv2W76 d+DCqTZ8pD+LXeMTPzOnuqyU8kIVeDV4/Ajdww7LBv44/CMlMCykS6QB6wR8nGI6B0Tkg18Etgnu +XTJwzcsAe9ucDGS/ShDqmugm7n3//hXkMa5EiBNzMCNrqXxlc/i9ig5iktCLBQv1nmXR4yaRr4r DFpdVc9OU250gOuIoo8bKbLzAN//U74voemeZddKtIrg4Gojo+v/Uo/K/cAwpAJJolQaLe9TRfuS vDboWM1usZbPctBUxYZSwnqZ1apxazZDt7U1xFjHayMJLDsfkVAbgdd3HuYNd1kgK6DlUPjoONKo sKitQv26r2GedwV279Jt5TYpj+3S12AJQDtemIxJA3Jzg5kU85q8eU8a/71sFhiUMUs7K58BzcU6 bmTOIEo6hu8xeAKJg1RKmGZjlBspW7QgYz4scE9eTf3yKKvBTzs7G+9FcgwRR85yafCLXxGOUcyo HOC3YJyAdzoSpmsKX08eSh55g/HD/fTGzk/H8SAWt0OM5PtOYq+mNDMT1YH62aGtETUTm3jFWy75 q4qnR5NXIN3Q/4MoHDbsG70k2I3RE4EvdfXmS3LD/gE2tJ6s8jZ+Um4g4WFtCPQobFYszeVdOGoI niWBIpz7Yed/z8yjaUngI9e4Fa6QExd1czQdoFec5mts4H2sfahaJEX4fZbdhZ5QXqwC/zJpZlOz P9wZPQNg8jRW8iMcsO4lsvzsHDaJDy+xPnvix7MyS1LUAjWYF4Sg12MPoBEnKRP5nCTiq8WFDH4B 2oZdZre2XFVSdiNhrX5YEaKnS2ld/WGaPt1iVm6Tff2p7AecbflQxJUCdCYrJFHcLF2Ex4mgI0hQ GRniJH89WpAPbMDyu4fv8W8JOD2M1phAEfKdZD9qElqfcg2d0WJBaDlZCZp2BHlbSudtHnvkFXBK Iq6Yv9Zi33ZZhD48bsWz9jlTivedzvfHLpZ2MUDdUwTdJ4UwkeuAgLHulXTavJ0qRBLmZx49evTz Z2ydDlhV4jWa59KBWwOmDtqoCq7CCC1ZB9AM1fgkTWuM5vqjEuXQh5qh/HrU5tpI9o6gllkT7TrE +ltdWCqJrILzAHpALNkavehDCxuIVWqOk5n0pBUKkYQSFJap1SztOVzwcy1BasBuINoIadoCVxDu OFpO3jW3r1ExfG1m1tc1E4jkdEcaq4nEroR05Xy/XslH657jcmkY5YK0tQGsflaQ/4Q/MlgKJIf1 oAbwrHTtbhKgjwyAxSGqs3ZsE9JtsGXviS5nUrJKct9zCHEH3LcvaLw2NtNDVYIqyIFzJH1lLhfo QS9pzwF2L5CX3Irgl9aRp1sOv4QUxF/29nVO0qNvOzlNRBAuFqvJdQcmUPIdzmbtKDAuBjxGerM0 kKitGOIO3Jh53mRkeY3Tgcyhi5275BvwBVRNx4SyM5AQvOxrjLdJvfEgI89N/0rm2DGF/UTzvckq spJh9Vhsk4w83MXTxT2u4jkl0sqBmBZuEZ+UdUNiZjHiDnuhLPmMmOhUBWbi4tYBJNOC4aPi2rx8 nUx0UZ9PMETtXPlEydTy3YOMqcR9LEyBbB0kT0rrgC+eI2Mwi4fX2qdLW25X85dgGtxR0AHdWtTX +JICrdbg0wFHjA6LcN51klJ/pxOOtzXz+jcYvKuYozdu8iPTkUeU89PRqn+c+WWueFvYSsOn1XRo OqNsplLZcEXMqWhC3xU86zi8TcD1DvqbIF2U4udrljzZpXsSTt5ZgWxJLd/qMLh++pVpR6IHLhNM b/JD5zOF4yOYBu0DQv/Jfz4/pwnj2Bo84hYiTGHPhJjZNY3qO2RqJ2GXf2QQTtIOJ7AJTIoJ2O5Q 0Wemhs53AAii3dANiKAGaBKmDQ9SqjIF7LTE1uQ/pA+czlcfyvzAT85VbUNBw1+mhPE09AKKBK9k OeCI3Rfczs3QxHzQDvUqYPKs4u32Zs+2I1UgNKEE4tBbsCD4od501Oti3JBsyrpWgQzQuMhaYZLQ v9JcWY7D01hCdbkI9+/qy+TwvEKZrg59/bykC8ZeTj4c6LO3kqOBewIjq/lErV0M1EtwzGZgCuAh xJdzToHQyVHieRAogBWT/1iVFjVULWyzuZ7KSocLOjnDsZSOIl4ysc5pAkLvLhavKRUF/e2x8TFj +Qq7dwBCpq22KBHK5LlgdP/ZaI/qyd9vo9nHgS8tgVq7n0MxDGb6Oicg+UD/FjVsNuGea7I+UMYY /OMvQngY7IxKi/tJ5XswRcm1YHTFFaFR/G+fC9i/FWr46c5IsOJau+0txk95ZNAm4ERpAafIcCbd PustrO4Eo1VjkKvUzDwDB7T/acr7BsRYHyE2P78kvERVC+L0gVGlhuUFPXWMwEE9OV/ZNis+7Z8r /404eqmmjc/5kmutzCLshn+Zkwzfv+Sc705bgeMFdW1xgBNW895TwaHlzRVwoIZdPhIPeEiZDsY8 A1jEaA1OkesmEuvNbmc5hsbgKLSDTJzL2C7fie8FI91KC8CM+Lgl85OayeOcMV27OfGhtNmdwsUp KJiQ8c2qdiYaf+1sE0ErQM2DFijJIs2xDgL7ezWO0J3zPz1tFv57N1Ui5BabPseGGUKl5YweOMWF nJE4bX4CY0+A/ksuyBXaLa4zJQx1hRbd7t/bg7qYjvyURobpE8uoIsv7V0Mh7Zz/ufARxKvvYlxz tu6nKntxa0OUsHGKL9SkLPEPi7z9wZVsmRdVjlkYbe/ddE8XQKKwD0j/iEaFMbdbFlD6CV5C5cIj k0QWhHAj1OKDM76pYbugxaCB/D10/GuWHOY3qCns0OZxVIQ4Y5vtLmk/cVGVAp57eeHNnZeWaJZZ GmI0X74eRv7H0Q3SeWP5MQK3v3NwY5st2FAJEIO8jlGjkDVbekO/3VE3s/rIAitQek2g98OSfIrR Ro0O+Vyy8W3mEl/MXI1FXQbGb6XQ1uhOlcy6/E32Gz59icf/P50rc88iD1RKioeiiOzSapyn+7Ub bL+3nE688/DibTOg33+pY1id4l+jOGY9aFqn/+sXI5/o7hilAm4cMPL4ns7LigOrwr8GBW1lnVpD ESF85GWkayycYub2Dq5w/qSyBISdqvoSrictu2Rxe+9BDoOjKQ6w//na8mkLDwUmErByC3hYYKgN 6zL8wy61mTpaCQ5DYqFd5Dezrccck5R2xQUUgSiC2B0gMBx2fZNwSCaJAy9OvEQQ21d/XJnUaYWU VP6vWIzZWhYpo3eIhes4v1tVxmBwu4PYmr6c2hHB8uBzVitOprzdJ5mly2bmIZnvzYZXek3ZhkIy SSLJ1GMaN2FylX0+oVDNZ6MQ04ZgxDpLqZMvYVAGoTW0Ryr+PBtlDl6MpB0qTXQ7t3wv8h55P9/D fO+dyThAo5IEePKJfdVAUE100ZOBl6VcbZ5mfHztD8L/UgQNAOQoYn5NoAkBHmWJBKfnQrzWktGa aWfNW43EvzyAJjvUYkkTuSEYJHpYiiNN5t2JxgqbWWr6Swu4wKWSa7DQH5va0cBu1+CCTXMrnJZ6 5flS8f97YGhB57/H3BTKHv5EZq53RZAAfe5Grt9djvZIeCZ/a932Y1JVbqiW8DoUcc7VoyLVBKMC MgNzl+2PeEmF/hCxUngJqB9B0Kb7Qvplxe6slmlKJbJEjYn5FSO19j3baVa/jCeZczu82VSxQS2x v0abomVQ+KcR2hAZSXVFfTP6SwxdRUmXzQtG95ZLnIdqytqWcStEAD74mGyWskBPJWJUU+MiTJuf iyqyGYrb7Z3xXUx+dcvEF7g9zKB5FT/35sFQfB9bPcqZ+Pd53PG03haxwA/dvVHQ6JLjKwbK+BzR fJii5l8oSmzJl1NB6gJ5V7NFD9acTTUXA9sWZjdS1x9jLj6AyngY/RKQCmlwgyDddi3O/ktCBGmJ vnx2IHluCzIB7UglOz1QbIw+ULLUXmlhIxqmIsS1RGLfmjkkt0EqfdxOCScg16/CX0fFuoYNVhRq DpozwNbNO40t25051K9mFh6bRl/bG/Bc18ZuqFm8yC//W8fODQd7Y+R1JvNoOf3PWEpgP4ToB5tI nKuQlc/i9idzV/sCFVhkCX6XCuUIcpjpxxZMb9Rc8Jj1C/zrMWgs8dkF1iQTWr/9ODLIbwfiKp4/ nBpD2xzeJYnP7kadeH3pUDVNNrrZR6wWDTJIQ1Hp+2L3Ad98VDJ2hEPsLMdNaOxoostfXjcqOB6X hJshpxG+uHNDl0or9mhEV51aoDmYeelGaW3zkQx2ZkWCvMmNGxGP+2S72uAxPyJmOyOF/14BM3/C hgl0nRGXlq1CmdSe7BXY2bHi8nJoz5+wpVkJ7pK59rwYprf9BxYxDkaKNbkQgMJwaVgnQs1QxiKX cx2DVc5Yz/WwPV8JhtfzQPi7rysLMdpihni8ryo8FQwtrgqlGVhfSAIn6ItSiGZco31h+d2/z24/ 143dhThPBg/iDtyIEvs4+8/V0AQ43oO5A7QhyiB7NocLNPl0aqmSqfLM82zFAkb1DuKzvoV7/avw QyiWZ/70yBHoSLSiksahSTIBWSd8RfNR0QIDC2VxTFjQjOTkaBUIhLtQYDwVrFSsdiqyqqjr9RNx 1HcWDb/ueYyR2aKNQsbtBnahqpJpG1r/d3U6871+he1HxBdZMpM9L7o0ggfQ82G+hOCcvwWOP3Pz iJrt/oxL1gwOsYUp8wecgmevjeSkG+aD06IoNspMvr/9qUXez71iFTOIpMfxpeqBh6gVvhOvjNrR 3wl/obu6tOTbn35y7uNofR7i7Wf7DLRyrjxi1YmfwPeFed425FCrzhTeZmm7b2ByCP4J0r5HJsrP Hp3Xl6iViOFAF13tpkOPXVKyFi9xyS0Rm+0qPE55O7FPPxicd2nn7bxIx/Gmt3NjTRNGpYtW6JBz s8Ka9Wv1mofPgd0JAvJGj80rHGQ+rEuZtG4XTUPjkScnWr90CW7aRELJ2RLjs/bfH/pbtbDZVLVc xIlrdN/q7FMw085axLobS7IrImgxO325+f82Jy7xD8IDoZSZ6BD3w3EbnLZK1s8pX4aOYAz81t/l tmgSEKltColPdsg8DZMdUDrUN2nuaqocH3Nzt38KhMMNEKbgOEIgkzYPkyWQal6VaDWpTZc7jbxd Fj/VXIweWfKlR/Bhy1b7ltYVfBEP09MgcmNmE1tE3tvuR7pPOKjHCBF9AeqMBzm9DqnUIuIxqW+5 UmsVLhLsP3lAI6CZ3Ajxtj4zV1EiMaDApRI2VOzozu8tf9WebjHPuRz7sPdQWYOWtJRopK1bTtp9 /duEeK0579g0Pyl55XH1bl04BUjbSOXdWAyxnLd4ugxo0dznXYx1WEUSGL1XO2qdjfKNu7aUvO1T Jlzso+7e6gFEnQYlJz73DZP6+M1w1tI1HSk4IyT1LOnRs9zAdeulgVgAwBvpQG2BzqTzod6QvXOD IZ3UqUj58JTWUMkh7pQzTAiVf/hcKdm/QQHpZf90QYHN125BpQp1Bq7d1yEokAOEyxSbDHxC/YJT +nSO7E9a0gAiFJ8QH8Ilck4kE7pGy2nfnbHiicizpzYqDmOchALjmV/qyErzEyVUV/vVRA3FYcys YOzaq5kQgmthblcWkNZ7GNj8WfjjNGP6YhT77eQqqcbPQiZ1PN3bAXIXwgbhUE/Q3bTA1T4EFLda F6KyMHEBfo5tOPQPhG82TS+N/+X+gWm/KBPnaf4KZi/UanB8ZoEf45lCNnzYaGp2CAqQ0HiTYn41 b3H0ATmoc8FE7EvYP4xujt7iWbWKHNHHMEmxiYZ5D2XG9MeJ1uL2UFZQljY6Cfja6/cJSPTSWhGS dhmBQu/Y+KlBBwurbsAjWPJpdP1KZj7/iqz/AZJJRT0+dTYlus2MpGzkXPru7dB0HBvXySPyPQkt Ftj+Qe5Rlgqvxn5mEmqe/QhNeKZPmOShfFFXGBs93yIuEF4Zik7exfZMGZzoS+Tl4Bxn2qDoSYZm 4WxqPD4WV+LuyJVpLEH95W1z8HXihQNCn0R1B/DpFFohZvQT6SJfb4vWmqaTdU4UJYzgf25newU3 2L+omfXxUsgxb3Bh0LlU35MaUqhXErYEYyylLPvhpFn671YK+3V9+wGr/+GCymn0QVJ+z4DN1uiX LA/luLuH+Ch2jq96JKA0IzpDOlZzXpEsD+L1EQ0S0tRYso2EH0Y+mQiAfy+qYpoqDhHxxc8dPuRZ +fi1xqjCeObP1C0Njm712Vt6/wsyJBPDaEdQsIo6NHet8au9YVvPV7AVOlOvey9w3YVQFUwBf9Dp iYbnKRn7Cwb01XMk6IjikxJhxfo2mKFRKlK1EL4yhOdhWLDE7MWKcmVK9m5Njqa0/21zJqQzXxR2 ADY8DPTKGFMKrLJVNunaMaeNu5WxA18LYy70bvZdGX1Ggf8pxxDF+fnvuV03BAKTHFfDS63S4CPM DoLeSkKHptQ8A74F91ZVwfmJg+EPThYHP3xOElTBLcMEPko50guWGVnJBXs+/UK8JRnrJnIAN3ir gfqK3FsolRzcas91XqQdcbBjfBItOc2BDgRwfLHOKKaHea15IrQ56S8/Q4aM37/SL+vXYEZs+2pO NRHi70fIH2SH5civqnkrE0+aotKwd0t278B9WOJjQIRi7UC1ANwZoZJNibLaKPfgQH6SZG7EAYyt qNI1njk5lJDYydp1raBbeAZx2rhKjHDHAHBtcAcWpBzArjq1jWCDqrk2+IsUhNeeFDykE69K4r1t chZRAxViN6BbC5D/zNwHRFccdow5l5soHEFC2tSi6rlnu3tlqV/7Fkcm8+m1zRrjqK5vBqcboNou u/ahGBNsbnnFTGn0NltV+I2hh0ykWk2DFEn0MFR9P5hnBCcHRyJc/1zHTYJkxqPYABrQhsyKWPnl qhtUILOKVqnZvdXXLrxoVa01dmt8rBcMDtsFMRl4CTCPDyaY0P5IBi++kEDTyIbEed4wq3fNXJ/W /vktGZv+d/jjziJBIAggdPc3gU/kwGDF6QPmNz8WrxSygYOFdOYu6HxCpzguGNBXKUqWr7O9vigD 8nLIHdgRu/F+Nuq6zWxsOL7Dy1TcfdIiiGCj9zXoMjdBrU+dYSYHiOq5XrPmKNBUTGduclThk6Vq Me6Uiq43cUeWVTfxEggK39IazZJpTkhTdr2S5ajP23tMh4T/a0Ex/udQYy98mrF7jeSdPP55JdKD Or3l3pZRFZpoyj36c35Tk26qp6VTyg7I7l93r5G1h4wdR4HYHZ4UhRHmDjGham2D/d321WsX2k4R xIj8EgjL/Vd+opaHg0SvU2Ux6vaaoBvKk5hZjUAP/udKlVXIiOiaPEQ7A/UyhZWjGdbNUfmTORqM ftAVGRI+hpH5f4FY7FmTdYJ3gCRI98ms8vbevFsEvnMKedKZYTMoxFhnkNaXehHv+rEO1OyOaBzD OapeVF1f4ipsX4Xr4HwPc4DDWTs9ksE/Sa9qjvnBz27kmAM55lvas1YVZbDYHQB7Xg33dZG2rQMF Ufo2rdEV0kGNSBKsufzwByYdtdZjz58q1Gw85UeSUqYsXj52TG9y9odkqj2vTnCq7ag+X1N65jjH G8B58pM7JiSJklvFGw/9YR2Nzm+HeqlwdmSkaJyP2ooNzht5MmgAPeHzAqwgXT+V4lpLUZ/bKYPF JzrLcPt9+ROrk9JdDSxlw5hngN5r/mEEMBM+4e7FOK2+Se5532BQ4NnpPfP7hdGsTbiM08Kde4tG kwu/Lsjfr1ICAxWdDpGOxDULm2sLG/Um2YNyvDrvme3sCBTMflzranzG06LQGYGZk/7bnIbrcFwk u+6vEgZ1fV2pP+A9Y1Z8MuUIYGMd1N1N94RDIaA8cSlTq31JPQuacLey/htbCg0IUfBJ4T+P8/bz pp8tB64eJGi5VMlC0agm9VhG+q2xrOhh2V7H7kAeiwdWIJTqzdXvk+u3Vlfn/xgpyGumJOys7xLF fnajkoUWrmHQuMmjFTpr2wtFXNRuAm2igAQzQYvmPcFRr7whmDtf9yO50nmYmjt1dpgSNu4oGDvb hlM/sp/T12mblrmQk/bnOF5NIvfIZnZrTM22FJM59GSEpLbv1kbmmFy5v7+HSdRzKuAbhVXotG3P OA8RTwKGrt5FnIlyisOC/nKtgns8WH4NmXK7blLRwzgxPI2fmG8UBK10WCSXZ/8JxAZ7lgkjKm1B L4Ia/a0wVyTrqlFF04mTJlKMziQsyTLJA6RUi1rmRTObzoCZcEmtseI5cDPZVN5t/eUEV7VfzJX+ MBqIV4uFbOOG48m4l6TFayJpLa045Ii+a+Jx6/HrVhodlPB3qomK1mWE5DT0eEB7JWbFZI4UXeHp Q9Fvv2Km48dkzuJjRCYwC3iVP474I60TdjWhZ+GdrulA/diBw/gnMPAmO/sKVNSDeHcdxjazNpBX vovc1z8DQtG7ut2fsEe6l/EG5C1cP1PMOK92bbVgQXiRbXq+T71BE+usS86tw/BFrC7HhAcl+X0O ZCNXjZMW5ojXulFJJhU/aBHWOLQn7rv7U76z7CBvzMUUamFGOCmN6ZD1FbOQhzDlhV+/9GLN5ChB KNeLfoo5+jBrxj5JiOyt8xJmPODxQ7urCVnnMhqXe6G8h3Jkm6lop0mvupcxqB8ziymwEfO43Bi3 kcPht4WcODuJiyQ5DRs+EfEXLdWgEu1EeX+DjIMyStMGbt1BzCCBIF9KEvEwDEkZgAvC/BlwporP vCb9o/95Tj02SjcQ+nP2TtzzeykmbpAyObuWWfdn0BfxkJNQMrLl2tyvfH+66xzCWGCPQoNu+qsl bBt0PB5BalUuucPbhxFjnKxSk1b19kmWb5f1smScDzeilpMXyNs0hImhIZOoTz1EZPy4+t1QKbAg HtH4esiOnszLR+Ne25FfzcOJZUy9v4vFXRJ13kIdiJQMYwWcCeFaHQxmcVZhgpexF7Z7bytQ1mMP odRaPKSweHvkF+pKoS0tSHKNXYAfBgg1pHvFAVaFTRZl8jsSNQn9A+eT89OQcXzGTP7VF7E/GcpY zByo83c3CGRk/eALtYOLw9lj2AtrtWqHL0UUYyqfiRQruMm730i7DJkYu6oidb8lLSV7jCWsVtrV zsr+I3OO9K1y/0X+UnO2rVbucX1yiHHfm3bow9ETr1iyOosekK6s2ry2lP+NzyMMaC7v7f74F75Z x8ICuoLp+B2t/AC0X4yh4xazdAx59GEpJeX20c9GpqNfuEXrYcYuddo67U1zBde7AMVEhZH1wMqh WotOleeP6XGfyqMSMqIYS4GC3w4vBxm0rchlOXW16NfCNF6NCSVQXcncjgax7w8oK9JK56EITTY7 KL8Rc+SlC4ipkL5UOoYNU+I2wwVeMvUg6oFk4MvoISmvpvHY+rKOXO/Gy1kL5B70gEWyU/UYKtNx 2euAtWQ0cjYm07JNYTNhUK1iHDBw8FkY8FgaHkpu9uEx0pubiCzt8I87VMOzhIt0ZK/a/slIbsyt DPPGZiuLqRZcbYq82+wirGnmv9NGM4P0BU8irH+9NuUkLU96F4x9KnIUvG/bLL9PATInDokcpcI9 1VIPOS/mF3RYmTm9x8SMav8bz23wSeCirWt2XV+3oYv6JAI+gq9GYvzOKwtmFCc5Z1ZvyGn2G9vp c3yAS2vuAYYQTVcBoeAWXcXP5KJH0ue/o3EcgHNZ3AHtiF97UjYzr9xR7GBRaLTjtgBLMtUPel+K iM8O6dm6+Vvaqvd4vVbWz9JyfXLQPVileOwj2UnmmDADo8h4PgiUJFv4lNqPbUqNnwVUQLwOj4+3 imfcd4qoDLf1lTCrXOeZKo3mkdYAnPEA39S39BYo7Yj9YMEviG4/5HU1zl0LFaIkzWpakV0hr+S/ o1ejYWa7kXVhUzQPULUTguAFu8P3jOQxbIQ1Gl4EMVFdkSyRjiym88uzPJelB7fCOwG7j5cQIP1V MMqDao39DymFJcWE9Wr5g1rN6BC+VSM7BBwJPOhEqHdP3dHW/+EzKyiqVbOFFLsNvmmrJvWerKTz K0EKk/BC6e5HzgQYrNCDgjeWmOx0GYjCN2OEV+j4KJUHFrjboyHF1RAwWiBwSJ+kneyaOTEIN3N2 LxUK3lLGJdrY+A+dlba1e8b1I/BohO2cbhmwpDf8y/mkI1HjLc8aebPAhzDYbfBXa/CxV4f1Gds4 o6TPEFO+hSQ7a9mp+rk0P9H/mIcJihSFg58Aa/0JTRwn8AP5C86FwlVfms+AAjQioLQH6qBr6M80 mm/YAXiXhUPWVMxT+ehlTjtY+R2J9ht2NeSU3HHq3MXBwprZVJxRf0qHZ1gefU1/ob98wqMa1VEC Ttz3uSpYfHxQB1F6yfYwJ2wEcE9g89hJAGGT4a9tEKXWw+f0tKkJRICW1yjrp0/NGZqTRvMXPS0r Nc1tjS2/i6qm3ve8gRQphh4L8Q/0mDBHvcuQz/LDmkC7u3v8mqs0ylNgX32cAUnutU5acs02vKZA H81vayRJQOOGsWu0RFYNu9Q5tQDotq/iudhW6ZptygJjdX/IlhE79SElE6MJDBjLL01Un1MT/vug ZAkFDoaF6qXigNcVu/+oAmK1/5lziWVqIATxx9ugvOkzFzRX5fkK2+ObvzETgAdEzQyyNQOeSNfz 1nWbydLILZg9QYDLbxTmyCF4c0kNkCoh6SBpeHf3RJvolZbv8AfUUHTzV6V98sqL+hrn/EcUTlwY CP/pfjUO7nhmy2IfTgJR2mpY2rdYyli3VAlnuP8dSuKTanJs3bsAkLRBf8wLnK8uSJAQLHyoI0r5 iin6BV5NT3xliYUJ8mcvQxCHvbA8+6Vhbz8vQOQwyxKy5Swltr7Bm7RxpOMG3jihXcJljyXDNJlg nEE0goDtnjyMUZK6hHq843zlfUYZnTCiAg6DZs9o9NdU4GqZZivUtJvafISO3Sei6PIMjnwWrLFi /uZkp3ZeEG8TDEHipVF+VYrHHOzs3R70AKTCIn6/HUOQtUKj1QAj7mIyKMO9UxJKmFsf+FWytk4P xiX9lhORz6hOTuKO1Ie5iEIXH4QDrM+b2osQhTdslZs/t7RPe/9mvljEUPIyt/eRgLIfNvtW1zi7 KBYpbpFA09X99t6j83rDdkjbAG0A/E+zszOXT8GcVvyGgY2ZR4pt54ac6/RdPHgTadPR1IuxsbWU mIH1XdXJTe0KmgHbR6uy1i2WRfU5etGUQe7cSmW2vCZ6TsMglKhgjVx9AhghjK3GpDUD7zwA6qSJ 5I8qZs9zH94QyB2ORyhQr0mUCNfHaSZIUlmr0gowNUzi1bGyg+EuyydtD5WJPPxr93MbxQtl5lvM enGKeSRpoIrPSsIBRyOEiJS1Psech1n2xc8g6zvfqcSPbyH85fbESvV+s/RiZF0ONgNFmJyhlUUi Mb5VhQItDJxcJSrgB6pLQfWUBLGO+4wpK83uZkf5b5EI+VtrSm+WOoInkL9AKojPNwEpb10OvKje NmUw/1AUpWJo88skPCv2gtE2lQFe/sARodTACono3Ek0V2q6RVwtE8OrwOKh9NtAU+jx7eTBg/PW 1KYHNlpE9UoCoQU5aKBnZr9x8c+ObortvEls5z3Vn7UhXZbIZfqAp8Rp4qC0HIylU6UAzNvqfh8g knW3S+VnLzd6AtehVF7NO9TBqPWYwDT44Ox0zkh5ACJR5AUiRmszf25f8IjnSVA/LXF2Dy0fvepL ZuFsyA1Q0frcX3VkUW+S0fRXMfUlG1aQwNiCLwa3W2MStR5NHo9QCtL4rn7JYAAcUm2BKuVd5/R2 gpkYlN1YJcr2IJ/+0bFMtuu89QWR+uKUVDX3GJ74OOLRS+LM+/gV6QFQDO5ke707g+VyUH6Rn8wQ 5sWmDoMIQ2T8zoSeDJ405i10dQfI1g6U+bgxMtTOcKQJaxtNm2hpZLh5sxiTsR7UqTbJcS9jA8aw 8zwA6s+qN9XM8pIcqCdqbCE0W4U+EuRzOthJwxnyD761FOfhytNEGYVfR+/iCrdiWFneJeNotjTX tDUsEr+Tr8CT1kpwIDt5B9qOzqx1KILgQ7mCTDB/fYjtQC8i12nRCQsM+6R4o21HXtiGu2r7+hkE wTzx+IZfw1yqRxz5Zvl8ZBcG1yWKFp9sSwHQuzq+9PEgNLUfTCoinfxN/t6RsnfuGJCClNl9XGzn Qriygmdj8GjXdoYGilqDfP6uRQMMcZ9+VUcwixtP1OuvCNqtrk6u6syNoyVOba53sWwUO+SoUkrN VrUyNLRkgSes3zRbLY2+MbLZiBClfEcmYWzLtoAAHaaB3COsbY/XtIIUJ80Qo6ss7tAvbL/IDLP1 /9dscHqVdVy+WDUfvgiLgHMXY1fsL/VK2s0UjAs7qSXNaBFhkARSh73M5nO0r0cXq4ysj3D6/7Z5 PBzMkc4TfhOoqAKze9Ack7K2Ih8P4os/bHY31ag/epXxdAy2u0SZGO+9Er/mEGTbI4MbaP8vV1CK VhKzPRglF7pFnExm6ypAScjRuXU0rjWKPxqY6eCXvK/KwyOrq/+H9K9pEyXrJAAzaJ0xzaqnfwDv OH7lccEER2M7ItxTfpeWdGS4K8Sg2rNPk4LWBy3SKgysWA/Oqkb3GG4wRMUigaHh+zUHuabmAX37 ePMGsCdQe4IntNboIJAni8GBYdpjZJ5twvUSYhthEG+tOw37Bhi3is5AdCAFVjOJm+VkWuJMx/t2 29RtBInPYSx1t+GUL2nB9M9zoGiYqq85W7nHfigumtmu/T1xZ0skYtA+Th8DNINNX5ZCsaDFNFJN th660u4NqKvShwQx7C2vWBimaD0sSpBaY9seqK4d25VHXpVqS0WnVSROM1CVrGm+SyRlcPXAEgcU C84LS9bUOZV20tWfj4CwUJX0BGk9EQBXPjjP3WEDQpg2OzTFw5ufTXdvF8jN45K8VzA6goDW9vF7 OjsZnOBbTL58pZNtrnzkOyVeH/AkPtdzZvmwIIZcbXkLzuMyjNlm1dXpd6ntLBIN1RwQCEMsJMwY 40YW7OECf9qQmpQs7XT+ghAVB1O0NyhI5W9jJEPxDIMsK96C+biGr/lRHqWpC2thDmRT3e04hylE cdsxlPUOgeiXZF8M8CUDEguPJHcQI3G5+bthMtAmS+mPTmW66qYjQfoUbNsH6i2VgGbxm/k3m3D3 rjPzrTY+gintHM1HctDSKBVFhD0x3VbUNjwl/g7z8/y67IljEVLodfgx5391h7Vf98w5I/2a9O6H SXDMoZa3mWCgbg5gyyirV/RFYR//D3NG24sVq76t+0YJ/v7+J83wW0p1kS8Zs2Gsfo9kEICftmlO HRtdBaqWwWmvnHJ1UT2ubKf4AncR1zJhP3zzO3MBLZrWM+VkVSPZWpmG5EVCp4hZtPFrercXN4ZN VPdAqkhLT+g8VWc4si9iD9sE8TSNZ/5eL+X8OJ50COIJQ7INxjqsRUBqgn+p8j3lcjuLVC9Xp2uF fsSeXk40QTPSNrNUchutkmyW0U5JW/c7LBj9fsZYYbLt2DVBNuCjCfgop7G36D9fhuDboHRChVc0 T9SnQ9K4sqLhfSZnBGYG9WG21xifvLczKSlFuxhZ9Bki1Uno9+/uQdjKhQ9O3NOQkM7Yj4tKN+n7 1bCnIxriQloGimU5F8+5JBEHYvu71sBu5+sGt92+9y5CszDxCVMC6t0gxGOIVhh8mhO9uzyL3AN+ SyuCvMN17/MrzXosk5q/97fOZIX9dIqxvJ5OkD/QhIsdOU5XDk6jMYVkkkoP/16352TZ/wxt5m9D cyHIcvQt+41WOTefsmWsY9UwriVozTJe58YI6Is8trYA6bW5AmAypkc7LLa1rkJ9uSrMTfELmt0b FonMcgtXcJgviKPiWXNZTsn9LIcxAGg8w0b0XnTqi4v/MqN5mVN/pqZidn+DZR7GT2BSDXOZTNB8 Uz9IPErHVOUBOxsqe4T/MY7gIjwNltZ/w9thNU4+YLgBQGFIoPdlEkkvMIF5SQVgqIksqhYxEcoN 8S6heJVIqV7BQTCED37ox1NK7Xci0T1YJ7z8uEWanw5YsaaOnizY7SKPWPYekQwVBHVpvRqsiSng I19EdtEn6lIqhzEwL4bo7+JdcdyU47HHFHBOoOXjgkrHhHAD4PrIE3r8STM2Kd5dGOzYJ8JXbGHX czMuPvjRu3x4bCmdpXFG7dRwz3g9K4laxQmtC9cpNCUupIip6n6+o5/yihH2B6RVag2wKeH2EVlo Cq5VrV5Chlyobe9y9RLmR+cdyS+29rKJvoaHDN0f6Mgp7u0lGisb6lXUxUFi7qsydy74eNiaWyzb dMScbVsjMNNi8EmLFriwj8Ym5sKX53d2xHBuDjyDYNke6DoF9fgybGS+TfP72t6MKIFIWCif3VYh mqZ4etjIpDDwmElL3r8XhChd9XeqIdEomMVdrcSQ+tg6qIpvsmssJoBkcch7ChrwIhEqR1uEwbUT h3W3pCIMwGsK9jY93p8TbfGEFnZaCSvnCrnLKPLbd4fPTyKE3jvg0BDWmcsjEsDXLQNRcLzzrUpE 4Ulkqqk/+/zyl1nMk0+4K91cuzY+hM4+IvT3r8Y/ZncCPdcUq7Z4jE/xSRsXZm7hWDaZBs2qFwVN 8gt2pZC++1z1OrLDUuvnlmM1t6sBgNjVo9EN9dkoxq4ph+DHyaEDyMq1GsbFlWxZAi710JqnQh9s Z5cHAjlO0gqzF5qmns63DOJ/54AiXOon0+EtX5vtZUUUjkbIcLA6Shu3gY5Fl23GVGa4EuI0Udqo 0wsKH8GjZ4moXADJXL5xGYQL3jK8qOlqh0Z2b8wbSteSGdGumo69jHM7UYhxydqM12/igzzaCiZr rbG0RT/EQaRM+svKL8/8ytkXzFmJp1kylQsNytKWvejvM/RSSjzwhGiYCTS9oWnaQ/cKpwpYM/gQ CaEU7k9/a9HpFuMGpDnW/gRJy2XIFTIDk1WpqtDa2vbGqV3/JWuUdILllf12ao75ZrGE+vOgy/1F /Xm4eT9y6VZb2xlGZvJWrtX2XfIar0TYL0FPY0T7rZUd6Gtxv102QWX6Fuc9ROTosU1SnKpVV85r IT9JhFyTfM4WDuyqMGOvivBc0k8eH9/nekhkAeuw3AV3CVSXxuvSOie7W3i+q4XB1LrH7fFGwOE+ xSp9Kcf4AP7szssiC+fE5p9r24qlEGZiyPswziF/aqV9W7Z6hEG/uIOQz1C10L+9fnQk6IDzrXOe +8AwQn2h0aKOTep8gpG2SYPU4Oc5UyV0Nfo5VjJ8/KWOFnVWKfg4Ue+kHAthEJENjy/pMIyqHFkG zkXfSpVkml85e1H+A8TN5KdaWHDVsJAdrNvndRKRhuJTOFVOWnaLsh0E0+ibRYl+PDxJAXr0tCs8 Vh8+BpUVAJUio10a7oq73s421Zh8l5al7CQABObgQrR+XTb6v+p/OOceh0Cpgysr0kWI6GU0dP4v pdV/+USVI7woW5QY/2Wm9xRWeNJ2uUSFaTkJ6uFL6UeHImK5rAEVA9hvfj3pHGFJLeVU6zALjOQ2 qQGZZZY5lfE/VIy3gaHN8wq7gm6Y5JEOwEzjEqds6LeUNz34QD9h13KxB9ECsbzG8z6LQChCqbP+ IGYvVli0r6V8lkegHsyB3Jk+KoUWjBPidIAlJxWismRxkwDHF1KhIyCqKUu3omf/7/Awa50Dp/er MNwMQYINOFg1kbkZcnP8tQ/vGYTpCPY07l40AgPOO5/7H1+0ZNUGxxKsj3b9Qk+n38ojhaVNIKKA Jk/wPja1wAfb4+KJdnzAit7qRuHTlPIcgh89H0kheCIiRWZ5BK4c5S8zJ5SV6Zu21SB+oOSm7wP2 EN9ke6u6EOphUBQc1rEIuYRX9ri8ZeuG8AAJHXoaWbLGaVT51MvED+nkkv6KrvbfwioNNs6JNV5E lXYYy7Ht+eERdHhcjNMDQY+Djq0fyiBxO/9xQX/68XyTH2fzcrLwYskfxBff2y1YMvJt+4AmjOQG gZ/niANxRe4SxKi5oLU5WbW6h7tXn6L7dM0aeyzMkKm0DzZKvnDt8dJcRkdyGDgIibSqHQYshVvy DtogE40JlKHheRLlS7fAxxAFg7fOo8ZJJU6mH6M2uII6uL2yLam7PPcqaZFzBed/IhKssEdhLS8D wtKRcP+zTWfOJ3h19UWUjGPTizuShJjwXzc0NVa6UZUowUphoOTijASA5RKCu3apD2lhch2/kCK9 2541sfG6dauxLCanhQyXBLdnNKKGfRAa/okXLikOi0yfNUSYNWFBl68zRt1P3FEnlo0VUncPbkUH dVSlxWv+SlNP5+IBOHpzRhRcs6J9iitdSc8eda2VWYutO0RMEBHbkW2bi2uHPsxIQv1wxNtSI8MN oVAoaIUL4uIhBLV5gmCwbleKmwyUwVWg9kj0dytCAvCRu+ys3Y+fHKTnDvVnzN9dwlLf/JYT1KYx JLRs9sG3VQhG80fuxevuGCDXA448On2ZJNCB4hka6jYhnj8VitBvg7HjvVdQQ7Jss8kRyDG5hHkd PI0ZqdEtOW+mR0wue3P+u7kId7z6BIz0M0qiLjuvYw/w/PFbngje5dZznDVQRuqjfxozHt3NYKcP 6r0sgIJS6wzyiNcn+E/ZBg/nF8URyYXHnIwk3bssCaGO+D1ETsG+lKiYiGyh7G0fw63UlAA+vMlt eoKlw8io6bwJI8yzE8WSvZJ+TXCXNqXhUTfOPvThXBBeUHeWzcAzuRfwafUwrtcMhufMumlYGNQN vpZ5yxYNLjvu3RgDDT9FuFeASPvM71ZsToMD7pSgXcsSIXEFA983P6gg1mUGHnWkrODLkkFdQUeL IoXbYY3sy/f/OYtZrqWXBbEFxVfgqVpH7aRGPymgGZJ6tG0HFqF4Mf4kOIGoVae59aAYJBJtl74p 8Hgm7tbyqPHM4XFiY9Vd191FLfjEFj0Pfn7aNnULIjq5i4JhVykDjVZSPbOCu/nLN3gvHjbzJ7Jt sy208QAUpT/xqcEWboV5E4epwaxpf8DYK037gkU5OSyaJKc2vsAFEYslBRoiMNdy7M1y0XVWjwzH aI359fkT/T4UR6M6hQJc5H39eE1zTejOP32MjJLAgKlg5YcFXiazQr9Im9de3gaowb4dQ6+ZoXmA B+//eTNeDKFzT+lEEoogkZsVGN6YuprNo/MK2f0Yo5y5cmlq0/ak9BRoFguWECGESgHq++L6odSk A4rWkhyaZhTz69oHhNoAK3CWOvNuq6GUsU+Bo3PKPvpL39ydRGtlRGrsH9Wlrui+jvPMtCnTwVPh ZaegOfImgdareR4C8miUGgQx5hg80WpH9oIMwxDVaX7DPDG2rBWdO5pSzyqdCHn0E6J+MNNdxT4Q AP332AxBpHZk7b0lei1YvMUtFs2B566avFLGId9rcwPGxgoypha0IhJOCiS7NTj+DsAWOqrIg9jJ G2QuNzaIUmOAJc+sgqdBWDE9xbFAgLUHpPdZs03xn/8hi4+t9lEcWPMviEeBeJhqmVNXR5GD1jwg IFSKBM1iufS8HPM16e3KKXN1ixnOT7/HtRJ30CBVZaeUkUTN4U3cWeMKzdoe4ZqZopziycsiNEqn Ss6yWbFuHyPbK1v+wky9eF//pZD5hRVDVbQje+MqzlnalqHN8Nyz14XqmXk5TJD9/08K9aVU3/Kz 4dER7W4mQk+Netu7ubcsj3kEpjfaqfUyS8RWd9uqZY5smI4JoYXO49Z5dKNJYnK+Uxmo6mKVmCor 8ajii0EvKGRqz4P0f+2h7fJfCyoWTwU3JgKiU1DtCJqzG5mdNU3xZMlJYDxr9c5DQ9v9cCoAW4by mxl/45s5HOYo9jHopnHL4hD6jshBKryrTBowOEqTp2AGoWG1K2vW0daRxcG+CYWsOddtqUHOmHem m7zBlOI3FxJmXf868wgTmRWcOqzEfqh29uENsapV9AqoPhlNseGptoVlOTd+JT5WzKK99GKgOLLZ EqUTQfwg9QR+dH8qesxInLmHtNblv8jXOy+mvUcFD72I+nC//KW4sIl/qrnFo2ioQKo9bbUPIRT0 QWgHcwNESTLWUNdcPHBhaQLyRt2bLtNGJkJgEsgskziJj7sS8le1E6NxfMuD+KvCnaZiwh/3y4pn wZdb0RVXaQQO+KE1pvS/kUDQk0QQ22RodHxmcM5PWsG5p26mcW6DWcQoqWWVilBLazjjLGx7dACZ YJL1whFA27VElVjEgH4s4Pgw/MhM0j1gdCYPFY7f6aBcIQEg8fAZXDeG5mgLSSkgRDFD0INhEEt5 8DOw/CEL3qQWvvbeLVvdxman/vg50+2eaHSEGO7Rb30lF2y59FWc3817DF68my6L1auchMbDraeI FyDqeUAo+QSfBGsljoN+ZNYJHevnADkWujoC8dOB5am4aDcwfP+ig024KxKq5AzqxsoFsMwn4ife SV60VmHGesD7h9tal5uvfh8BT2l6oEHwNY3CDcpCORER3uc+Gud6ZvJfQhniKpf768K0H5UqAieQ FgBiAJ1AEj/czeq82hxkY56KkhpZYDCfXGrNseIf4iJWL2EZApYg23RuH4lwkjZKcSdrDcoeQV0u IYaQ9JJYQ8ViH7wnoqC4kcgM2crV26U4Su9weDv6vOIhkDA3vkeSj6WDtYfbJXjMHWDHQPys068P 6lw9m3y093+eJDa9E02a8LyG0UfhtLpW+qf8QUZR56YV0JgJkSDPizbw0XJcT8OWhqCWar9ddiWt zCB/T9T6gZ2Td4jH3I2ogm6xBpWkvUeiVurSB4q1eYAoNxYnVv2HJrvSMbBL8vXp/g3aNhBcGCId kBwSF4JNaWPPeD4Xy0WQJ6oO6bg1Du3BMz1PsR6NirmdVGV6iuCicKs07i4rP3s4eMpfldHAvpV6 8UXpmflAIRb0qv8Bg57dlUuWIpJb+3Z6sgBE1yGuwKLkLqq6Y21ejMLOBh9V5OEnk3AFTH54dAG/ 2Ms2yZc2KPYAODUURa4jsO7PJdCwmHmN28b25EuOlL0B/Z6htvp++5GEQLuYFqFym6QwE3I8T7xJ k1CdR9WAN5JABDjPs9ldkX98pIu2QhLbN3gw0G2KpxjIbO0uSv/35plmqITAaCMG37wVsZv+iXuM 9ha3Y5vI1FLg+IroMHj8tl/7scm+e56GVsVFh+Eyu+bfaCEUyeSYwivcuSjknquJ2UAmyAYTbvPi +dxGE9/204tr6/S6lrJM1FdHRoZKSohENTAkjHszf/OjdEGzZRG0FAXEKNqSO+siPYtxL1dv6c78 zPOSEHW2mFINyetIUQXMnTM0q1kTe5EsKmBSYOwjJFTV/vaGw8JOjti4X9hlzoHhPtG0Ydu+QPWG BpnkRCNEVE9Ho/6OZ+nBAFlVxP7V6QH9bIpA2hblgx5apAC51j3jaimNtL4cjuBmRZhfh370i+GC Ifxzi53CZgOMj+glZK7n6Yh2IUqqWFc4TuasqhYElIl36lLR63B8OgXWwYUAmVgCufoJ6bMMy8KH uSQcshkNYTdchrVHGXnsIMBTQe1Mmdflp43IChB0kH8RkN34wp+xVuPnHTq+bkRxx4ibhCLuWlKH dzWXCX8UFv+yMlFQTwA2qA0EPlgO/CdteWLPENtUcnf5PC48UNen1UkR3jzCMUmSy/v72hGOl8nn hIRMAZtwGuIRc5RctedW6YBoMtbosBw+KPKwJ+hsSAK20vB4O6AlJiCP3nK5yfIjrhRot8xOwXcf CzMhMTpVvW64o3WCMX7TBX+5roQVFzZ9781+Y/3K3wOPBMhk7gVrodHdgJRJuwBAM3Otv0cmAieQ jQgyvZX/Qif7yRvXAnZIcdaXxLBZ0xGRhhZOofc7tIPCFAklyi3+u+RWSOBMwq7WaUYIf21ivl51 7ibXmrElgthtaZNVO8ZQYA5DlIEcz9lJOLnSQjgzXYbgwZMZWySAeXhNZ6jjb6PZngTAReqgVEl3 RZMS887/FZEjXwAcBPHrwifqEs0KS2lCasrBlAFRIzk5B85izRRzTEPZYJy82xLZk05OAZbN6z1r Iqoxr0gvSyi0fbmAJl9ZJrCmGMrJ2cQz0nTWInnbJl7p2fIV3Fdkf6qeUTGg/7T3mt3k2gEd1qu3 6pZi4iEP3DocjhUFAPmIxX5ir4IaX4yNPVpwWh6S6kN7xvwr3M5K4cygHd7yQyFZRCu6UbzwQ5VD xefZTsMz1kZXUzWb/6Dw2nA7ko3FIESQVmRvlG7xkt2w/4w27w4b4hE15dN9hFM28zbEKE0oV4GH ugNrcpV6jSN9VpptghMGbLthWri+hELDdr8qp4yVTR+Auc4aW/1Su03UmURtUA7y+Grkdap0+GXv /gvzmK/Y2svua9aaHBDwheNb+wQCr6MDYR9/Cjhme9Q/XlAjSgLrgNTsxXjui599/0YL9IkdxBqA FZU6P4iZ8EvJ2KBwOz2J5rqvrd8XNsCyyhwolv3lt+9s7Mjvn7ICoY97BLg6anWpXGdeTO9CZ9+b fm0MewfbSNfRsmH3lCXgQBpy86G7zxbzYTBGmuRX8GNYZX0mKllZ1vFZH3iE8Pb8kH2eheuSbT6Y ydBYjMH6DHfUavnzieTWCO5MzovkmwseLxBJMy9XSJZD9GeN61YJRoXas7bZc0gBcRQx+gg8adrp MUsj6O7f5O2arR9efnh7hqWese/6qwQ2IlxHpjfRf44+3pvkpAz7HfEkMOMV7twYrRUJ+YxUWXjN IqeZkUUyMMfaWiiNLPQzl6nU4PnSGjVbj6ahkMRl+H9kLeJRIvEMBDMNXZjhn9Fzr7hpPoIBZli9 KeoUjVW7lDhMo1uBrY4FPw+8GiptuVJAIELULoqp5Wt8MEQEDzA+NeGdJMcF56z8724FnllWigLI U7TxNaa4Xf3u2FmW51YckXqU7KK/7teS77kuFIgoKe2JA9yHzJYIWVJhoPy/fuQM6fyAPG3Rsgl4 sgW8hBXpj55OqxGoLMcMeFZrHLSxnZQOhVAGVhOOhc66xE20/NFpqsuSjp8CEuYu8udyHCOXI4un tOVHBcvqRFAa51p1pBuqGxAUPZoBg+Vd3xZpyOoHBJqkhW+3VoplYBh3lcVSadMvm1A8SNdY7Mty bJUDOqtARCXbjJMwjYhRlCzre6o4EpZYxA3btpoH46zT1U6OCOcvgNqFtp2mq5XF4T7wPHuse0tU 2ECJun4PT8wk2usCPapRz+ybgCHBdlqU/1BXlYwQ/dBqagcNIHwpgLju8QSnrYkheY11lcdhD0Jl wyoVn8fvC0oJrZOFvA8fnApIuFPnO2Tdise1d+3tRW4noBaD7TSXMogg6qZE5iqCNjNuYYqGGM7c pCXdFjzQFcrqiVR7kPrvJkVU72SL86aACS3wWUPPEm0Hl5W+S4Rce69LaaehF6hysR/xxMmAZ4T/ L4ZynBQ93GqdB5SJ4yp31+EJ/1zyTjcS37Jjwy7YZifzA7ayeo7hHj2phj93Umu4x48HySM+r9R8 X7XigTc5xYq8gnJbwxSeT0drdLsOT6qxF58bJhkxOuhBGjEpuMYTyNycaxUZA9oLfl6KDOnFB/8m wIkNYmI8Qrm/Cz5T7f5Arkf8pEjVSw4Y2Ek5J4DXl0uk4+ycOKYlZ3M6YSqAT9FUsRBYzml9OTfY Pgi9lbyEWqtxwM2hmXkAS0JeXeXnOZrkr56Pslmi2WS8o8fziiz6uwHIczTrtSCPSP2O23jaotmL XocF4WuaRtpqRvunEvWoiepCTOtMCiT6M0lPI60tlEiFHP3Sr4vkZbkMVNH/D1Pa3QmUI+jGUXCR JT5G2+XgjDQ/73dNpYT1x24jRAzma4gO4WDRAbwAoJ6ywmmtxBIOsN8xTjc81Ty1zlwIVuNTO/J8 poesamkR08T8N3MR7Hq3cG9M/040Gzi2jBARcx3uy64OwzPco3+P1rsSQ+F+TirBj/smzMtWhWt8 sOiTOTeCaOS5wcST9P6tsuuZMmbQ2QjYP5RJAiG+VHDYtFzvI7//w9+C6drC1zvGSM7L4yTrd3Yj /5Piu+IHryVz8V/CUH+gphQAVNxJE3wuRG+x4geLHIpwAkpQhIurjbNo9EDx8u+evG8JvIUPFSaR +2Acs1y55lSyrDGLios/74bOtJGJDJV1WXVYi4yH2s3pKUgq+6eTvC7MdWmEM64tMQM8YGudnLM1 C7XBrlWD9pYwPfc1ufX/WpZAyNJ/leDu2SqAkwqrlVivSVOINEMnUjFvtDPNDrwKO/4FFCOEOqrT fxDKKJAmG0wjiVuVI7C668NzDV86GkiyHnL2VmX0STzO+JjthKQ3zPCD8Zvq7lwXJXp5O7xSm9gk +dk24prezLP25cthqKLonsiwtUlhf8IY0bcMsxtg4k7a9v9pwDdleAwTDEevmvc21X/rMixA6u+I yzipyOxtRGCeFEx8ntW5cLufruA1RJ/gsiIqikiRAjqbhhCTvqALYjYCrR7hFPhp4BAyPBIWWA4S lSBSwETR98RriUJVAv4KSkAIBNuAe7UO0m2beOkv9s8JB32dEEow4y0XCqwGdCrhEo2D1xNJBiDn 1DWGg7BrKKI9YH0inlEHPyRowtC6pSvEeRQ9BOpiy2hoRE7KQUEu+K7K4zeQMvbN4Jl7hrkonJWb +aliCybStgkwGXGXMqeAGVG/cRcsj4cbU+tfyDBSGnaBd3um9lk3i+igak12oTapoTcvDjlPPxE4 lDN7udqYfWAWXqufYF5Tl3UQ5Iay7Dxo0IiXYibZb79v9BUD8i0PVFBKLA07IeKGqGP2kCUYV8q2 iycrEDpf+SKqB8ehWanD4B4ZoJVcUmSN2MhRRoXEjyNkd0rnIMJ1eYH2fS+iGjDt74aPl5P+gLuv XaPeCZH9ZK/pnFvD7zNHEGYF1tS/wd/DuYPHqtFkwAwohiZcBn/ZX2WA++6vpuiBJVcK1u+frq2f hCNmAGLZ6N1cf8sNTwNyrlZFI6Yd4Wp++sd8epF0l7s1UdHldS74LOgD6xCtzkQb3QtDpIw1UHn7 CM2PveuRtV7871meEnk7xtWXWd/n09hmt60U6LPeIDYwnBNdSmmIO5Lvxs+dk8fXRjb4HhtZSOjd AoYHTsNmi3Yk03pvTlv29NombYpNwvz/ISR3B/Lpo3+1Gn75sIqooOBuw+YevoZ1LpDQZT1I2ykb F3zFMb7SNFld1uyoGG7hhY72GA0nbha7wayNU+CpJBsOANIQSl6m9Zl+93bhtKoY8bokgrYal4Np 5LDQ38E1u9KtzEGrA5m5QGBzTJ5XPfIsJkzolXrYbgB9SuTEtMkLv+9Rz+G0kZUmBhVBwwOuLdDd eW3iOcR3PFpzVevlOJJZeoHt/xwUGxakNlsFI3Nas4SDHSYTKltPj1hHaq6KUsdqhsrWxurrou7t 8oopFgOINeol14godhmPosTQwjY0NXcUG8OkHaRijDBUbFNeLXmwJkoJ5NefV3pvKmV+k0b9V1RK dWk/6KORShtsiOYp+dyUrmzk5K3vbmkH2VpYLLzywNT1IefCDpU8mqVvt7L+sGJ67h81saybPLie VYOX7Q9UvTm1F5S8QBnM2WQOADsYWMKVEpP2a3dlFzSeaKOu6mMtjeoEQZtVhp89Q+pxF70XmXq2 BgXvrx05r1N07UHEeQ0Yfk2lF5Rbx0xDB7RomsgKIaZVD7Xlkqn12xyDbVF+5U6jAz7cDL3yoPBP lxcd2JEmYF8lJ/U2XbZW7Yte6siG/nh3ziUqynEhPqfOgFvVEUFMhbLeeJZE/tLi5EfHbJl0KC7a +WinUtULx8mu/ehWSjDXwrNOwcO4hkVEOtAlbARawJo3GtNymR5nOT60z/yf8BqZa/gdKixDa4YP kDaJaQOL0kq2xocbGa2HPUeexg+oTCtj1gdh9dX7sSiReTbSkZOmFbRTjoUjg+ty8HHZLMlqEtH1 Fl7cOryvtjmlDQd5khJ1owuiLVz/+YoJWCBC+P+tWiSXKoUNZ+IT4DLpsgsRdZs3P3uhpGz2/W5+ uUtsCJkG0x4owFLrAVB1Y/x1GBO/Bwq173Bwthvj2uyk0+JsiJ9Az5MbXVceuznVopYabst7+JBG mkVDqhv5dfB+4AM8R6Q93RJxx+joTYtIFCPe0PTTyd5bMaKPQ1xlUfNG/tbjkuAFONoyoK3wQlXl K4Pop6Wd4NKVzCX1Zte54MSMXoTHSM2Svo1hQUywJx8OEdCIdPja+0hqM8yImGUugIqlwOc/P8b+ mfVp0TPxAPAWP1m+qXM6nfymH5N6k9rUff3FGlD5eYP/6z9d4Vb1tx+Hm73+di26a8s+lMt2G3GG pizyMMCVTXLzOv7KqfoSqSZ65hrBcyxTY+N0XHh3VLW3n5T5WflUDkRdj1zVb+P9jWdP2NTl2NsU VZIPBKdnHPY8/t47y5bRC5YWRd8fOiEy3daO6eBn8OocRvC5+RxvEQOg9TqP2ZygKsnPnpGJzE0B 5+Z4CTfuGk7RdBkm4nPCgWO1VDxKTdAInRg3JlOXGO/Lio0OyiPpylSC0xnMx5gxpYZ27YfBy0eh BmN0RZl1Q87MxKExjpWh64FT98HBFr6NH0VYdgGI5rqUFwZM0RnC2Z/iijQvjLVE7QKrOU/36lHd 5bHPWNrRoL3/+25FWSd2fBvpuI6qmNhmi5korEjJOfHJCo1y/ER89TRRnLMGpO0c7/AsolnW76bn infXnhkhdSi35wD9EH4px2pvFVOrXgnLcV/LJKi3dvIoeG2D1SmJXAI+UUwhMbk9DCmKqxvFL36H 6TwyDv1y0STiZgygCee8B99jWxj23vPQJrH687BwvE4i8xSHEIbY/Y2Y04OqseTC446KSoXyixAx PQS23KalYQg1SsCz3lIZNKdvaY1kUbul3rbn/pZsrtEe7aAgifK2TCQaogHx07WOJHKTSJBpL6bt N3WdrFgCtoXiNMh7Sv8MVe41gWPh6yMZ8ztlUgjEPKO6hWXoV8Tb21lpqUPcmAWUp7Z3asltfx5J r5hPbsuydluHiJ5MA03JW0eSO8vgar6OKXYswbyTESYPU+vWMu8gMeDRRNgojZ5tfwyPQTBo9Vz9 stbRkRu289Wg7d15DZgDHy4HbzbDuTxUK9cFTsxj2ZTwUAu6UEQ00WtTz4X76n5B22xu7Um6ez9V SyugT7Q+ty5icn4leRhOVWyFcoo44PxKDMHWRXscQ1TFmQZJxVY0XijduYPmtr26jgyjzEF4YMco T5GIpRTlRwi1py5TPOOHpm10+FuskFOBJuq5fPPiWokAHL3/hzde379yNIbHhwCYmU2U5exPapXq prcqaPDv46+CoRHdgYBMVYslhViIWvlxnxgDlT0lcfplmsU/uD2XvwWVUoK2OdLfDMoCpYbogORz hEcAbGmcCUpSPblR7Wj+LyJKvaU5l/gOw5lrVI1OwCk2/Ke166yyAFLocRbJFMphme6X5JcgEVRF vjAsKGU/Ne2vbrIQ0L0NZpEck7IYR+zfPz6kDbfGXdyZrjxmoYVrrKhQhGSLzznSXsy3Wx+6p+wk Cs9Ka8F1NPM0JIFwvSo9z0XPRgnUUkPL+EzMO/cxB7FwrM3696HJTb6dV74RnyYyXAgQfDt+7pzH u8ExNs9Ag5TAwUdQtuz8QgJqSHlNDZ+6ycc28MnYmE5pDLzmheoXGbZ6BNzGeNyD7mqC6olJMCVr iAsSahDsgB7maIjPl+W4VdWaSqFzd4r/0vUF4y5LxHiWU3T9PULWcKUb8tAK+iL4NSIGzIdPGhaq lIkGuTj4h+548GHMsC6GTfVs6/zn7WRlUKxYRqnISVat/+qv9NmhWDVpZVLkEq5mKs4t2SGdfeJK RSwuYLmFNd2eSLzEgNs+oslWR8xfKlXxoPRTzvc9+IIrwdV1EP6LNBbYMLcAHlqsBTg0k6X+znIN vZ973XqtfGE29HXy4ObFK3bRh22lxSyZz8l+bKEWR+wDl8gsqbHKtYB+CqYsUx6hW3hBifEBvwtU lGu78GgT77c7JJcwuuQmShnkrzVUvpAUGMhD5i3X9wQGQezYcfk9wk1HrXcytAGsr2OZopwaZp8g K71/J26BvZastPCF8q1PZnsp/80fCaG8txRq6uhooYVCVIYZxUWu/5SJQQ7o9YMC+7HuSJAFHjMB GhiVW/e7UX5+Rg+KzzJVMosLZ30Q/2yMA1oBFgEHerT2Bz5MjdrQS4kJh1Br8uJSD1zYozqJOA4e V4NDcL4hqKgPTefjzavEnaG7e5ehwmZiifIU1QxCug8w7cox0818WNBCR5oMD47gc6+gZv4T1FbA FZwFXNcC64J3steD3hK1Banh/eD3x92daZzcuWWbcoMw1arWYRCqTQ3cRI7zagsjqoNGcY+IvKpv hvzhvALsEqUGl+BPsQ3jHeZfzvIUEaPyajRfZIVDsl/LZW4jI0K1S6ZGDF9Z1w9YoKvc6z+LdiID A4BuyiQ5TETu7mjRcz2my519a6YAIQDY9qUyCv0vrjPiOZjQt1fDl/DFQkQ0YzuYTQbND9OBvUNR dbj8hxK0NyGrldaKaxRzN5DfQlV5WQTXYh68LP4Vn1WcilIONVJaUP3wHAQxWU9K4U2s4NG+TYG7 4xXaGoUHj+y5UVzLsJuqakaYEH1+/bsc/MgFCkHy6xYDPKJ0xV2gDXGy7udlPy3eq/NkO2hTePEg eIWBTtC9rwWtz4dg7gBoZwiUFmhi4o1RpPUhmGVxj0Y1DjAe1s007/pvU072QdXrugTelZzprjLO loonFrrPaQwIPfpAc0bWfNRMeadKzFpFuCsawmAAd+x5Rz5O5PYa6/XrfgGS4kd/+vDOPhFQ0so9 euH8lITULyDC3/Htj2ta8ZVXjAK1LhdEZ1l237cscrnhJ/9IDbyk5nJiRFaV0yONldmU2YCf0zOf UVV/mqB38LnDD0TKyJbvwcQDvVuOhIEzsxIR/4/jm+qeAYb4NFUp0vW90TlaWpn2/DO7qHlj7Arz hw3bQNkKobyU3D6HzOcHQTMC1hT+wnGWB8faD3aIZXUe899HVmpAyqPeQ4vn8EpvDqT7O6n1fqXr 7UNwuEkjT6nLobcvTpTf2tqbiXLqva1C1oZhA2x/9BLAxmqyWTujJeqObCE9S3E/PCezLfPCypmi FAb+slsLqZ6aZYLn6VwpSEJ02FzBDweToMZExAMAkD0YDpHp9xcnCSE5GFDc68pvknVKSK4G4Ur0 pY3OTGcCXmwHmatJuySEa7L3Uzi+h3Gk9j+qnbZ/Fjm32uat2CaUmUEoVkDN9bRm/qykHAkmM4pp GcW2F1W5jqxuhYUfdPC7e9IbTEH5adEh0hyyG2Q3R/bV3PlZz9PpYOniJB4DXGkyJNKlzzpCTL4h 32M8in/LreO9ILzZs7jli/GAjWfcghCGldkGcA/KZgUTG2bN4kFr3lKiem6+34j9KUVhhoed6Mye R77SJA+qlefeu+0ovN9sOmbhsSc951kPO/3HtO4vCshCn8jpx9xhPGp5wcIVtfTlLBk0/VcAWpr0 NLoN4tjq4wHAVvp+TanM+lOiLhFOKXV+WfQsToEt7igEvV4aRJdNZv0XgdUrFqSyDkTeKmQv8f4J V5stgs5oKpmZRmK6Ydk02yzUWrW4mb7GyqZrwMl3Sy2Q9Pvi9rHgPq0jgRPEfRHrcjzeuWEXKo07 wz61SHN/u9ga0Y/cmaoGG77bDCf1JaHxDrJ/z51b8m2W5TWJsZ11Xi9VusUDNAolwHmuuzXc2Rv7 DOiS36r8MKAIeI++X4epHP1gzr6/DMcjzgVEVq/lmYqH7FdglINBjxRejQaSbFcwhSFuNsRYWa2q OEbQl5OSdlrm2Z342SPZjA4TmP9QQWQZHXsVo7rzQRzOCYq9s2TwBP8mSGRAa3ynq74muWWVRCNz nEw/k8ZldCblRtL3T19z04VsNh5B/3+bMEkeQiIGanrSLgf47045BI0e5iYmdyONYJYfEzStOxlk NwfFyWvMXSXBDFOkl5T/JAk+ptJKEdZMJLlm+SjJbVXREPhJV9YGDlSr6F26cHNRJJAdZApXf3vO JEkTJdqy7dLeXGusfdxPpB8hZVKrbfUL0/lEjWxMAuF8pDf7SlGv4n+FWOUtMm07nY17aUI3m2Kw zCXpa9qgI8O5TreMsWZzsOEBHz92/e4LkDP+ZzmSeNxVahuSZTy6KcWRvUpIAIy9PWTOApem/ueb SXr/FHNHFO5Cs0RRk/xCPUPckXZsmaoisW7YeOsqiujnIY+8SFczkQFfBvNlethERYcOzSMdd40i AFzEz1Zb73+tHeLx5COFKYLKMRwOPIwRNyDUYdbINBKeqaKGsoP2nItgGZ5zgO4pFR3ymxOpITUU JrvHO8h4JMEhDM/fnGMSFYMd3yNlv1Xd1TB46xioj6uN1shoFdti7owmejimn3+kBrqQZfC5v85/ gUfAg366j3Jx4a4E+75TyUA36Cn7M8Tf4ZDq0xKd4OAP0vAbTEEbU3SA9oAy5iN8JKeoA5CAKwrf EZZCqGNkO+9aj1KIfcrW5w5qYk0bwMaCFyWvMn5V3O3yIghx/jm6lLn56mn7MCXhMRx08NEtjpNo F4OXQBTZozupLnqAbbPyMysym55oCH0t87ZzX64J0292FBqgvhSYoh38lxB+bOqqvjTI7Mx3GZtp iQlg3JvqIR5cQTLz1m03WcFTCBcDmlFQjlig9ebDxN2Fv0EbMjE/UiGStqN4eaNnJdb3QcJrPK73 QdIy/xvn9sctiFK6u8RdMCyh2cWl1NDd/1+nQ+mX60jfUEAmDI3rEoQaMcpb3rBCjTsslcd9OMuE OwxkqNkBJ6ZgmKfj6XigwzNarvbCTbr7wn17YyHSxfZb0noaecpC4WfW2DTlsPHRXCI/qFruBYXc ors3prwOCg/kSerRDoQgRSPVkHzZWo9WqluMxrwlSV8U540l/sF5WtWDF0vdjS97ijZMy6SjYzqI sRhFzYkWP2s35Xp9q0KLAIuuFPmwc/VqGb4RBh+WXV9cy+uEDiGzTNddFfwAkGqPMG1earV4xC+k BjX61DLoTcRqdHvGTcTGWeNDX+GEBobKrSOelq82JovJOaMWNO6RnW86/zg5S9Qd84pIqGM+9EX9 218ZLTTII73Gx1OlP88E5J+L/0QWMqThJTZufEoIwHlfy8FqxREYwbEhwUmLkWQvo6NC1zz3GBbk +P4KFTJWq3rFOC5mi2mmWfj6yH1LxBO8TYQeceCKHT7JhgGtZLSjgHMDUrYr7FUsi21/8hFCxuFS OaXxtSaNTtyscNOaBQNXE3jF7M0S8X8WKOSJkXoncxhYy76qm4OnruwDSJasjQiHG5z+CxGANMyO nw0tY11jwc76tDgJaYVjioeaFEVlGEdgRwoDI5WYZc6rsaE5WZwNM4iwQhbdhgpmowc/vvGLDwxo FYTYfUjclG+i0iH32MWjlafTYH2K9Uwr5rOC3bkVp6qcxOYrBhTXFdoGZMzVl77u1pY/Ns1oRJO3 yOrYgKg2tILeDwaPyrZ2O8NRUeEcpF7RvfXatnlJu7stW5M0Lxjo7UEFbVyKRvddmInaCylOQv3b 2tsppM1dKy2SpLgZdKQ1/qt9E7UGwqR6BylIDUF+XpfCiUIAA3SWO2mEQnLKhcOJByFwQHSGEGJ3 zICERmBLf7z1QHST9gi1raERD3YRVk6fIm/aFDhAocMW2OGheFZarIanQl4lsMJP2uJQiUxsX0OY 9fVrh3ovSfSzcCzdrzwgF1JAY2EyeOKN1Ud0dEEeF+IRYp5lXwk5r3kDTopC91rfSYNJCRRZrUeV mapNpYVFUFqhnMgQ74C67LXWxApJVitNtrfOpm2vH9/GnwpBhU3IRr+jAX10Q13qS5PAOXaLA8Sm NCFr5omW444NaxA+LfZxHg5VfpOZcnWvp5XsZCEEMv2tOKVEFHOUVJ0Umh51JN5H+0i6nmGXT83Z 3tG77Pf8ZBsyLUSknaI99tHnoczsaEcze1VFRoDhaKbVRfDHq6dZMZKfp0PeTW8epVuYMHkOny07 0y57vCmBm/fLcOaC/gYuPoXhFBDoCnWFttu/Wvqq6GI8/Sr24obplB8c4qHK7pGlN5v/67yYz3ox Fs+MakckCpSxsetRHD9CB/Ee0/cldVS4TNT2DECpKyufETbzooQh9xTWU9jkmu05p4vOMtH6L3k2 79E9KGC6iTT3I6ReeDgLc7HHeTDNESN9cEXG0dEgq8Vn1dphcqCyv+fgK2Vfo6GA9oDT3SGRz0yA AMyrlpPKxnjZbu4LkGQ2cSBHaXbZvEar2gVOVHMe5V48dkd5//WhlPGdhCKn92cJJujjVGBp+lt2 beU0r98QIo1cE15QJp1bnmrdo2MFrJfmvndzXJWlvSAlKN86R2C5s7lP3Zeg50zkyCNVQKLrhGep mLpQoj3YTIUWrVYy1gR2IpYkfR/athPNH60fFVxh4Lbjdi41lBEdL4Z9MR+eMkcAG9jM91auV11U WuR6f6b/RBM53MwUA7zmh0JitdZNtYsLXzxvdqWwAyRgFzI8GFUKwvn5A9rtTl6QDUWOVALBWCUg xedFBCqM6cPPvYaQfTvE2wqAetc8a+VAKv4W//MOzvZy77JmpECTobB3RNUPHjGglDfECz9w+7nn J+gkApovFe1ZNBbz+cGD2RuDrZfnvUdo57hHhMZdSjsyNlIjYqy79GKnMf+Brq/xDYrLIz33dUhC 9r0rESGbkPWw50WCejtBvpiK8m/nCRCmijDNP7V9oXHslXbeLEOHCp3P+0+IUYNVnBlp52ZlRchz IXB/yNqQFcxXaL58prlVbPO6QKXpcdPWF2gOWfgMp9Dm6x241nVaQQwCq4rf5dTkT+ZAy5VcX5vW S/HwfZsHJt7/EI6ZlBqF311AoHbbxtX+EjN8jDzl4h0iwLFL4SrbW0gEBYFDePZ/n28LifMLQ6Zu X2UYksFtE3/RtNzCntg/JN/Jcm7+0JkX+H114xIF/kGbhrQvp9ygJAAK+rlu22P6gnTwwACStk1Z WyjD2gIeKC76yd8zFy16/GJ3PoEr9mfjeXUfwWz8sZzhZHSF3pSss/0+b/l7V7j0WcM6vCC80xoO arIkQkHAuW5FAmbQ/rHJJgxCsej+kYrhMdOo0ITFdh5TDno9Nwb4qDQesdWKuHLld9FGcxmEiFpg fV8OM+S808ifNQ81TR7aVR0K88JHpOlC1rPrrjBxfzZ+IeRik3z+YB6h3cdKCX1jDZCSNhnASi+Q RX7QvLOtXss8Y/xPAP8oAk/z4OEo5Gf///hUVgydsGXGNMzooIC6o468cbxYbS/fyohlS/1szrdq dXYfdn1Jphrh8gDqr6R/RItfoE4Cm0La+f9t4UAPJmIP/a1MG6aMn/mvNoQUceA7ljOmxxiTKH21 tM00so/AgWugF7rLNvwwGECAqCIib/GiD2Wx/JgoHkzGEuO625UOJLD/wXC71puCAe/W8afTg2I5 Jpx+xkgLCywrwKm9aMFtaO+X5hsJR8bySAhqLY52rLi1+dvTCW0NTYIycra4WuLm1iTr+ZNAWFma A6NeSPedueKR0OZx4aRloiT8k+IJkQjEcPmOq+VDbqQ4DAgY1mpTlYIDvjskCI3WuyB/T1YrwglX mJiDdjktGbq4SiASMVZLkt7j4+y4p0Xah87WLEf0FcQxxYnzH9oaqhty30o5QxdwfvTn3u6vXp3m bHEmQBuNKhNSQ88f67QDPWKCRSUQHMn1Sjj2UpWAhGs1G4B4NbK5XfL6IS1t9i0TGsc7RslGyq5y SUvzR+qjqX2dzkWqZpZGEhPv6LPz+slI8h1m2z0XE5Wg6GfGI1EV7uWGhG5IkjdwuS3HAv22UL3A HWOh8O1l5p2ON+rJPcBKo0lr2nLRarIsi5w5edjZOot3f6I/vTDFy3YFQ+6eSEvWz6QQlXnb2UAI 0h7wUP3ez4fQ4Hxv1kcuR47AWTdq0dxWx7TDEE8DW051/vhdGhlnOT2KMVx1WFmnLOFZhHYAvzVP NPd58D+hBApgtZSX+Z5Q0cjDU6JViB3S/dH05bgYcyLcUL7kfiv2Lwgnk62rOuCl1iqyaJm0RsPQ EvkUBsTcLoNBeTlixyxlPNUOaIiq+uUnF5nytYcq8s4RzFaNIIPCLcKdRvkpOBARr/yCgb0buqWh UJJdeSkKAJzqkms26q4btnjScES096ifO22AayYK8HDwakxWYPcaU3oTQYUXh+a13DxzMEnNxkFg eJbkL52rIXR5kRHsm6vX3bV7KSOsf6M5pcGAtC0FyXVrHNGPlFfixv0nLRu2qZdz2pcFGaTK+zz3 YfO18ZgDOmCCMAFT10NOUeGrzC6lLRylxozkIgRZr2Tr76+j2+v2u93hidRoTCpaHHX29tEMjEKd XteObiq63P0xFyKSu0A65e3Pp0LOAJc1gJ2hh1cIiU97qMTfnLe2t/LHcz8itzVTT7b3pUJgfFcQ bxzLVhDW2TmmNAY8UkXBSmve5R9isE55x2Qk5liZzKl3IgEQ6LITkRwP0s2Ci/h8FClNel49KPEw WjFpKP8oNflbicMzvphtIozX9qOy+muKlT8AfVBGl905A1KGBE5OvDMlO+jv7nb1+FjyGS09UH4F QI4AFbcXju4gVPf+FvzCb95ZR2jLmU3nHO+7rWgD7BrVfvH1orQwlfofwlCpCZWaRYmZPG3ij6Bz zS4AxH+cnq7/TmzKe1m+hX8O3gNJPMLtCbTRoqlHggbk8pE5KNt2p82ZCbDyUT+0Vc0g0iY9X8Gf 03RhsTvZkAex3aXqvXGjCD02aDXyD9iwHdXeEpqdIzWfN0EIHvzN11KvOW0Y80yQTdibrxuxAuw0 CBhnN1s4xOb5hLurWswvpdYJrSu9pcNAL0tL6IdHwnLmtetcKq9eAlBeFwS3fZYDsxdkaQ6A9WqT yFGBSKjkBekFJD1RV+AWiRJIogyzPDnJ+BiOQKQ7Y6Sax1qlPgvJ8Afkvwj8Lv5fP5Kj+ZanAKjO H0jQBmbccK1TcdFY1XfKMRpjTCw9y34UF5rJIHfsy6/eUS0OBBSNM5byfKtpzGjFsawj5gXdqejq h/rvGA/xhg7cdpk5C+f9tfddMaFhdIjRQccny6HlCk5VWP809V4IyUIe/Hh1jIoVqZCj7mOUHtak PBVOSmjTynp061MXPnPgQIoLzV7gzuTcoF7IrI2ocqV89XY8/rEh3Ab8uIVP66UBs8uhMTfBXuDK HXrgJU/cYLfXdK2ipzzKmTGTL/GJ17kXnqYnAClArauAYVoBcqGHO5G9x1pH1N7d/p51p6bw3CR1 rCjbhibLaB9rg1zj6glRqiZWJLOUzOtAWBMgwRKHRB1u92uLYglMrjpOmsa+gAHY3fPkb9sKkG61 sVJjXo0eXbvBoMvRrEeIcpNwstE+3RzYu9gXwJ43AMtZUVwvZHA2D7LYpppR7azX8oMM3svKfuK7 J7n45gQ2+zFqsicv1S2oB48ld8RJ3f7fMbHVeCEBPNo0XiPoIbc+B7vGokx5QKg3vvIgt/FDuqDC f7v75lXtoCCiRk6dcWjmFViUAj5dNvHssxuduBvZUyuga9PEOdpcY8l+kJ7a7q/xmRHDEpPClmG5 QzhjNDy3+0yAT+vvWCgBbng18Q5m2VfSWKGaX3n/+VVV9CZ8uzC0CjqrT7dN5ugKTTUTfm9BVMZp M/flgyLwutKHp8Sv1SVcS5DRwhpGowdJ1oSLV5c6NXojyHStWTwRd3rPlASsNaxPfRzvp92WBXf+ Jal86XP6L8/H6EdIDkuZhgmazesTZyzUni5Sig/FW9iVwSVA/rFDfIfFLWdbqlBIegge6+Jdhzht kZC0D6e/MD4aJ3pmptcoxn8JsamNs2bh7FXSrR6SZdsZ80be2Yztexjgzs3hxiLTu9yDIOpdmiHB wreu2Af0E6jNB+RYsKW+vQ7kPwG/IhAiLw8DMWQXuu/mt8NYrNd4CY+gmrGcm96N/7C1IKG74eVv vDrCNWxUSfH06mXRxj8gnL8T0TgT9krETtJoyzq+Ok3MCggcxnlOreHwkTsgQjblUlEZgvP6L87y 9mHMuq1ugIwiUc85mROza8kmyrg5R3xd2rdKKdVJ3aW3meQTCZHxtbhumJSfDCVRQ69PMgmT6t8m Rl98ByXy6W17jswGze98KwHF80EjV3tsyQxdC7pvsvd8V63OONf0FQ3NX+DcD7MQGZoejwGU71Ru n1Csjps/eOE7fwc+aZako6HSuVHd5BuNn5xVsyvuHlvwWomMu3WOzgrXsduztmy0AUEBqfZTh3hI X3NToiH48tqavJ4p4S3JkBy7vBWndKrNTAcQMc0puHaIoLbc1XQRjGaF01mpkqHUb+AZnk1oaHlh +rOnqlYJP53LkhZQ5RVKbe4gkmrv5knbPAEeyI1jtQUXhOIfeqzTIHKOneFfkHvCkTPyj5nVWGiC 3rpgWLAhxk3ZH/852rR6rT1LBjVfVTsRl4AwFJc/uZf0uV6fRr9d9p3i6R2rgo71DM0qKu9vc/PP rc52fKYD1A1vBNRhNcK+IUSJupYkKOuDA/VvBadehd6Uv+TKzS56rQingN6DI3tz7LSqsXHAZDEv RHLMcI/CR0lxnvVWvwErfC201BNdUDc1k7DRYBn83BrQHcxZzaWenOPYEeQ/sezlRqfkMiF1nV+1 jfaedf70TS/FDTsg8mTUEdjT2reiYU04YHN/MumK7TAqd00Pv4Qz8KPb+5GAQgVi4cXQgooVV4ck 6YsDrYbM4qWe/ZS4p6PUpt3TihSeJg+a+p7MtUPWzV20QKxaxeED6BxT/MPYCwE6yq+E3kUjTZLk CxxaEemD+Ij0khiGr6BwVrlD+SJrrenQcYuzgGy6gzn5G1t+6lrViYySVWTzq8gayJjpzxPAVviy DguZOBpRpVDgdwlA/9v8CHlDSRWCYoc6sJSZ+ZWCXslBsqejzEDSGMYCnLPozIccsMzdcyaGuLDm GD1tYYEyPh7UAvtxxVPVeKQ3gWSdDQEtFVA0YW8vWY+cquTTf2pj16JX/7VdICvL6beCNdIzNS9+ 3M9ZkgRD5fwEt0khQh+sBndSCZVlloqIAfYMP3LsWljqj2My9Rp2BP4+NfR0R5PMmF/keMKutpX4 4sCZjy2ByCWJM0rggs0whRa4Ni/I4rvokdjDQBgPviWlQNhHDfxC+BGevjkHNvDOXISmMDqlm5TA q5Z6E/WmtYQix9foGQczx4fV0zT2TEoaZsJ98fjVjryxNsemFJKhCkWrlw7vxa0F3nps3UGK89vd wDWtftnPQ9C1EWqqNY7lH+UKUJqkvJD+TvDN8G4mFiUv7KQrHYpMUIlDYfjOo/uA/SD2A37V/Rni CozAxJu9kBUm9Wlfi1md+z0/XFBXG0chnDHT12s6deQpwcG0tw3ibydk97/4l1Ubx6MSb8k0F55c T+49N8TeqY/gz+7J7NHC2Pe6IRZxQ/tQmxL3ML0Copy8FwdH1r44HF3sb0aKLT7qRYoRiq3nnWUI s+PlJ9ap3f9iR1jqrSOGXijWmqF18AytcNydSYPGHy+ZDnVNdCsUukiIsYUI5TTwLtqmMEnMfeUy 0DcgIgzCN3j7nkkAHIC/mhIQQq78QkTAfDK3N/gaorK0OFAxYYN2IPSGYVeLJA3wGX+t3kAZh4pu pJFk+Is5qzgo/VEmmvVQbdVVRQy5IhEMYKjlRd2DFN6We+JhzrZIyvI8u3FfEn7FThZSgUaxeIGQ mwbXrJHQCIAcSTJqd56iWaJ7gJI22WTkYzV/WOFSz2xB173ugqyu3havl4aXxgS5uUI/Eqp+oLsa LmvG/lyDAjOkYinNucn0cAzvzCmuXG6ghsv8tG1cWlEls1mnaKmsNP2L/lliMXi/ovFwsZx23FKk yiHnBSRscdJ/LeD0+y4zo7yM2XjB7YJJOZvH7LKQ9WOo5TOcfFSrdexQYUX6SZ3Pvf4JtwaD0hDV fniEjM12XO6iGaCaV0GUGRayM/uz+T+fXPMcr27tFwlV2OXrEtoQV4+eXwPaY813MSQ9LbvQPP/L OcZsjXj+yJGAAWHIzJsf+64NZXmYlqyU2Pt+2mh+lPWs+aHYsfAOO12+GBtmfD2gQ2knSWUwgNEI o3BFMOGk7oQg1cPjvgVkw9HIFFAljJu0KwBNnrxMV8Z8CzUXf8SDex3kJxlT3LwUQEv1fEwvJC0M BJFWgukZFIB/Ne1MrBTBROMSa4tS42khTpcRZ/IjB7mCPFIem9DT6Wb2oEu6llLsLt1OqYi5qh0x uvTNYN052jikeC3n81ie20Mcs+MU1dvIFd01SpHiPEjKnGcvJBdkKP73Qa2CnkrrLo32CKc/mNf+ hMoyW33hsROpwuwbO+5m0gfIMCGAPrAAPCDYfPABp8fppfBP0tzt7f7UGT4N+M1Wmjf7uHaliV0x FAhFiqUAk+0JBbcLDtueBEsfH1/ISPScNy7n/UWdGVPh6zew3cTi342cj00HRiOjVKHiJmnJICtW xtS0dL7brV1xNQWT8u4/NR5dqhGIcn/pQFFkcupyAhKH7Uf/PYX0TrTceYpsKzDlZepjEl1PtC7t Aywnc2yJJkeDusGJfl7lHe+cBEOavuQ24epUnpxt8siy/qWEMDpSVU+RvfRDlrkhHZVY9sqVuXjg kwRqysoWn+Q/ToRPZ9P9IFsPoYP0idwuBD9RnH3YSV9gFTK1pYZbfTXBMGh1bYE+VVohjzA8Q5PZ 5T2Zc3M6G8tSzSjSLs31xNYWujRKqx45N0LPP2r+pGWnSENTcGexXPv6s+FiBRGKX2SkI+2lZhBi TXG3fislP7Wr3e5ix+erkrQJ2E3fV5alrm9QFwnPMV5U3SJ9euwEugY8+DRDntqk0PjlQGRwbdVO S3EBRt5V8qZZkbdv75nNt3mhHgN2uEIlsrOSHBqOf4rpmuzty0C4tS8yViCYbeG4jxNu8leJZnsM ob3YrhxXAhU688XozEXwtNTh2LpsBcy5he5eVKKAGFkYX2R9SiGHtIsza0WcyOINJ7xi4KDqY/Ft 6bUs8JJhrFC5JpTz6ktEoFX7+x7qF7gHVWmCwyTqzRvbCSMR2nhCBUcB+SsOC60cEzhK3huraqtR 4nUzmT8fGcvmH2MvJ/HKXo10UztgyPp0KU27CLdvvhqn17wnlqkTSAtAV4nMpmwz95CutdCQfybU 8wt0LF0dwHVS1XkGXgG9tcB2tf1t/9YvRXapGmDmB2EgM+kB7QJ1zQ9OGqRzYmH+3Y3uoXAf/M91 Ow4xPs/hmvRW8esCSIJucp+hETPfsXvfc8OQFPpi+yGi7l5+y1/6Rx6YeV5SEMJShgiMsTKTj+Rw kZG4xlqrRw6yvQ7P1jdtM141EwJcblCdfLw9Qp/+Bn7rFVUe5vhJ43xxY/focmjPBnow4rDt3Y7S gKdYaWZ+tUCyzpJHbZlIROuvR+EJBzwBYJ3lGbWNxegEXLm3iK6c/zfY1a4hXdpt4MH13axkEZLW 1cH3k0rvw4g3wZw8AwUyrHFByRlj6eM3/lmbu3+Hm+oy+KJGwx1Ge2bb/yVfCQv7p6kmweRU06nj IeupmaZPuB88+XlpeR9uiwMaqV/ekQqX9Oc0GjCQA1qRTdwViPrmjQ0pbVdFQ6/2LHHdPqHYyknz EGMHqF0vCft1Ne7Log8sZNpWhPnz8XfHPeUm5507l1UtfIcOq8eWFalN4GlPPf2EB+T0+NhBkzlo zO0XIw1O/q3R1/psMAyDX1muO39yLJn8Hvf0F4Z+DVB+RJWOokIKlvvrRqmbzMcvqQUc+kKU1wnA vUI1dOfUgSGK8UysBPMItcKKfTVpmTes07UldV/ZZnGG8+PloYSr7Z32eCEe6asAlEzYPv4vSpSB k4TSfwj3AcUhGHNbberZ4V5JUXtxOCtLSWXgTti/A0GKkCdANe6v8ZmhcmfDqMxRr1EJP4hAsSnM 77IqPlrkIjE56j4GpDNcr/ATFFB5VHo5rtHL8hWSo8jYgVAOj7EGHxHdyzE4ts3MTA4S1f9gYH0c Wq5uDUWIQW2NAxK90tpzx9ezGq17vfm6tIXjgF7PNV9Alf3jZu4BzTSRpRzzIaEtXEbR03FRvQWH 8ioUY+B0eauM+Civ1461+DUm/CzTe0G1T29xs2SjmZmAHSyPmuVuMV4dz1j+Iv8f1JVo+ASPda3/ 6G+wM6TPZZXyb+FmdXaHJRpXADxuKwnzvbtY4dgk2X2QEAN2oaHDgrYyxbP9NX5SNAdVAZbT0CKJ 6zOQzm/0pqbwPPO33mT/utDnSlDj9WvBA79VyItyqeA9sIdt05sRCAb4ESld4U+uVAvpCyT4uugF ZqS+812UKBGIRslHs7DIomLhh6HuPR9K86d4uATHLKPF4rSSnmCmKTnOQpxaVzWalZaC8XrEHHYi 4WnIW0bUuKJ5wMY6FwvnTQQ5jwr0GzN6qkG8I3C7fmpsevqYLnmTjOESnztoeGcH3156DAt1A2WE Q5Dkp7SOCE0pcCLhY+xe2+tiAWyHOJsmlbaA4aZHqxaM8SmWH1TfRVQLn5TlHeAvH2uT6J1z9Hb3 g1XjR0ic86LozHtRG1xFQDSr6EsixIBXlvRrpqD0sp3CL+UX4swhKQIRYvZxdSe2+30ejIbrlvG+ euT/6v64XztR5geiqRZVP4hqmzsHvi729YGA2W3OKeV2Rf3JhFXhahq9SAccgwdwxYydAdRNLNe4 6NSCv/jUSMDOPzJsIW1BB2yVaRppENGE/H7bisFTVblzCsAc859bsStfPXX0vHKxyoZ584eMKMOx Dqc4EMuipfTFiMApUc7WN9albXI05yZFXsYTzCmFtN4k1agNqXJiDvnONwakRrwdvzP5I+qJ3tnH Om/VYjWBXpyNua6Zikw2htOcwqOvN9rF6jVWj9+vBRHiaPgdFcsqMEOxiXleopJID1wV3Sz+SRmH 9csPVMx7SjPu9G1f8J2vY/4kjRgfaWYJD47cVEIMzDU2Kv/zteO9GkWGm7AbaLaH8XQ0IGd2J/3d 9crnSGSbTqNRt68yrbpir03iXEPOqPSE3FdLZenJC5PW18fm6Cc/wQPkHfPwkErJJ22+br7EuGbf 9wZPq85SqsU1uYKBw5yy5x+SPjx3pTnct6BcxUYpla0MPHG6f0+23EvpFfdXp5N/PQQaEdFRJ5KL 4Exxijb9HE4sJGxbdJZoFd0xK1tcUwqEEjIGan02Jrs/4JVfnhP6IfAADLcD9k6bmruIUIfsAHGx NozbO46r6/UUNbAMLH8h4EL6tFwx1pg6o5Vdoypwpl6rzbFGBP5X75Am19LiLT76DgR2OSX79MWE WFGIK8iIXxIjM0FS5pvJp4eRx7PUycCsXwDOBdGavja7pkfJ7hCgG6WdfClHPh4a6JQW6O8shhgv blM3dX/LmKNfUXyhxcQLVn/bMADKbJ1xpOV5N3hByHcAop57BL99f/hJ2g1g73GnOKvWDwI+VZdc zt0tvlXKRlKMcS3lUF/hhpcTu7BR9ockGHpafdBo1ec6qH8VMZ3Uahofs/Bqi0yxgobNgOJpcEJz 04BKsxJEj0FV1Lo9pelBBetrc8DuUat/WBTHX/fnbMCeRSpsIsVB2HG+SxIYN3Jt9yrKNwC3kwOV Qc10/kvkejn6u+e/fZbI8KlpcApC10DKw61tO6FGvrzJc3GvEl51Zk/q40CzGTJG4Gg27Ka7JXCH NTPJrCXVFCUdXiaAXwNbJf+VfxMWwk2uw7Tiln0n8gUO+M2EBPJAjZMHaW07oHxFvL85AjI48VOj Fv1GfCXRkqcZZICieeDySIUtplecwp1/htyDRDOqEXPSLppfEWCrrlTUvs3J6c9W0zcJGPuJvR7m zhBoMGMrxKfhVrOAMIT4LfHtB5Hmo2VAr3878Rp9dTLDyRbpYDWCd+lf2DGXLdcCcL6MQyOmZrMA ovEO+j//kQitwQ0iVLswJEoQ9d+Ay1LT528j+8lcvhlqyxP9xF+qHRgxiATbNN3z6TD+dtFguAIR 0hM2mme2l6C/dlCwiexduusfIQ/iYaX/wzQBH1ZcnZJStF35zi2A3gZSuSxCzoArjgWShDer4AlB f+QhmmvAzBP5EwWjpSba/+S5EgkcULcXq5231RuNzYrWU/noUG3XvI3mV44fCkydQ3hJ04FpJvac b5DjLBDV+Ef4L3YFcAlg3yT6wxkAHS38OJg1BxwwcB+JO+2c0AKtYUbfaO6djOKSY8N1PXYKqKo/ AQIW9OaYgymriCoXuGye0J5Yyb54suzba2OdWRYccwEc7ncDxTq8X8/IMQo6yIkN0xnHHAITixLT Ss0NsrOv2s1O/8jXqY/QMI1vnsv/iIX0lxW1iZgL8hPgIFBgUBYJvdg+owQSGyEM0QxlT3ZI52et YmZqRZGAAY7A1HtqTdz+O1j9YmYrkfsgaVtaIWjGV/Fd7lkmd58ExcGRS0fBydwKSyIG7QIFnRl+ EHA4KPCvtme5qMzqA8zxKBk8/bZtbzbFEq4b1L/5ukHQIo6bRkaz0csTkgm3xR2MB5JTYH9stQ8I pQS59H3KZC3cxks4t794yvi83aCG2y7VXiaF0aB9AvH2OWZGlQ1x9g6KOzSCzenAFGo/Q6EhAPaI 9C1gPpUqZ7HbGr7P3PUqk3PEEXVDViMr6WUS1EGJoCmQAfSuirnCJuwcNm1sNfjzq+26J7zptilc 6pFr2xTVPcINLGUw59L/JSieUl8iSqLRB+0MrAON2GjVu0spAUtBMhxLT5+NYFn3Pep04hbxwC17 C0m5wGvF1Jc3hZqskiqEirj1mZdu8MKlmZ9v7PPHghhXi32g834ybcAj3N6Jz3u63zSobEJmLyRs 1TDmKw1AgIWumCLL1OSpsTCMocHiUxzPeadgNu8OI+QNTRDqFbpufwhHwCi0WcDKHR32r2PCVT6b OxJJtF3TIMdd44nCfxWbw4L62mB+tPpNS0PopAwF5WkjeYlPkxgGCAfLjbejTRT4ZWFm5OrRtp4E 0yJxbKS9ScsoMyP4eKXFGZJIUo4DVLGl00ADxyAing9e1kuCYs98PPruQ/XVDIa4iITActXRliXL gSkEyBqzQwov3uHPNnW/zgu9usza8O7U7ZYHJDmXrmXpeHY2UoVYXbxLJYR69oDdQPdUXaEO4H3P nsG1/a17ox7ztb+AvZFVl2OD5avJWuAu0SoKLnLx/WhiW/tDGpBGRyTfA8vZrtOCpprvmZAziP9b spVd80DdaqDzqzsK9AiQQ9wvmAC6JI+Qtk6I8FVYNUbHROqbao7YzRt9pK5jhk3w4oBY5yfBdcR6 +tiKzAYpaKD2jHi7CpeSUu/i0jLcRGazwFQks5+5QczsIU+Yv00/G1rxTOM9h0r9D86ZB0RGBPpH 9PiiLur9UUHHXWWyz4j7fUsgANzVkdX2HdqXIBcQzmAHMdwgNzSzBpgKfZLO/Di0989QRiXUeMyF b7QNNDl0ATuIiVdAzdmO7+DOAcqIr+zIR4ZqJY83IRUz9RbYZuVSv5nOjUkgyV3hRGWLm0h9huTf ZklvkizEqBL/iGm8VD2cVhein7Z6YrkR5Q3sbRQMGTn+FwWzJymxUwH77OHTDuGGTtdDLx/MvAOW YCVwUG0QuBLB6iLKD29LcF/x1Eel6nwwOvMdULaLux3jR//s4C8yUYnIyJonCTjBaDtRAQwVTHwe tPhSsupDTlVZCj6WV0tehT8rtyVcypXKocKw++hwsgoTXyuObCGDtz+bmsOQgIHx8RiiFmj6x3ny 0lBbIM/OS0mK2iTcG5+fM6WzNoxMSTKeUc23s4aFViBnL/SsrSjE9OG0OvHoBAYOORqAa8Y2QgSr APrOGUjoEDdyE8gjciEyrl6CjQGAGRaEun7gzt3wLSq1XZjWAV3eEfJ24OBwTQS/tv75/LYcEpVv dyWA2fSb+Oj9WoAUt0etF1Y28arAqg69Y68Zu0je6gpB6Kkm13FcI/P97LaKNpccp4V/AgPqd443 2y6qOTMwmQOp9ZNacxkqQgXhysSiT047jbR1opPZ5xqPjXLUNe2Jw2y7i3Ihj5eOas4ZJgkb9sqO eWqNrBr6IIE3UAVd/2l2Qq6/f4Y0kHYHCXJ2OzHsH5OKsRZhoKfqKrxAwRzlzkP7gGxjXXU2vS+X kJUsUYmkTenvthEQgISHhH61mXVGlx6/BfNBOzGDWa0Md2pG7txlRqVvpJ1xig2xGUBe8XMSAV4a rvcJWyYsWJ5PwA1o1uUVOUfHr0zEbh07LjBrysW/x+vuLsX0sfBapTb/v+aGfHp6HDyLYAZQB898 0eSP/XRilNr1kR+U+4meWp2QApJ91uSQCABxpqxSxGet1Uq5c9Y1TzgxH5FgB4BfkyRfA4cq7gpm qD2HXV4tNXIoYj7PyeLsm/nfzcFVeHXB/55FAEDuXsm1UY9ZGHY9DXiSNAsxqeBq1mCLAfswujzh ZKQ35xCKvdtFd3K5JZSkKLFbn+mRGK1Rc2JBDOGIePBhIapwho0P+UjVixeKRaZYTFcEtnGmQpih p8tEI+BKAN4HWGbtXbdOgRs5obywbwP3VzUhAMRRgSCF1zEqwN25xbfzSn3XZK9RZqrP1ftRSIzl P7O7NF6YPEAAu6NAQXDb3GoJhiuqa4tfnINQRtA3UKsZ8USf2Q/P0Su3H9dVarO3+Oyiz7QEc/Zl 5nNPWds2ExiSqY7hjNE4uAosPvaVCFcpbMOeLcxNOhOWri3eSn6hSvKtPIIjuJUA/QvWWf2IgzLq xIQBqcyV5fEt/vqCgvPEDgvHxPXDz0A1vfO4g3A3y/X+yc7rE8OUWtzI7j+vQcsrEpwHNkZwkTO6 YjISiZfMpGjK7quOZUiIArREfTBGoLF+1s9DWtFbZWoAfe+pEjEZxCgCBtVViti29vodQ4isaeft VtvWJLPb3+KufXkPT/YtBxpHTBs1HDdbE5cmVK/+yeg+VCD6HJODrDpGjGA0vJJwuntQ7HmtybNT uKwdb+VJf43ZjZS0JB7qTfTPTlu4dxK7gscFKb+kqZ9NsTkybAbm9ftbFtookpdeSvRsiGmnEm3X HaHYeMCtWKlh0buS+fSbGFV/f56z4BQfwh3aYbxUlg6TregVTCu9fG2u02SMuMsWpAt0JMggf3km hWzjzUoV1thrzh8O9hkeFvZknALZgKMUhrTbQZ8bpE5rg6wblAQ2+6re1OjYRoXul0CQeRmq6ENU 1CtPmy4khOycOB7UoTq/wT6CIDOLAVBJXwc6w7g8UZ+XvGCptV1tYFwO6mt/ODVymsMFRmiFh7Be HkDtxtIWgZHgaKuSiUuRIgiNA/XcdJpTMvkbIyXhHkCMfR+dQN7TLMT5Ak0lKZXwPwqiwgD83zgt zIoqqHCCCtP/BXP8LjxyYf1Ar2jwdxTS2eK1xh7miL+hKL9N3bUkxpHGmlXbe7QGH9NOplWkhGhj 3xbCJdoCa0FCyDIXxlacKUZM8CyBEaBoMxC0zlyrMsBr/LoNd+Vh1EVFvrRJxXmLlM5huwUFli0P NmgE++206XcTpwwTq8CJuyup27g5F24RRFPapTbH7Eqf6V5pUEnP/RfPpIajgkA+hR39uyZMNpPI L+1lbQZYHcGtIswgrThKCfAMr8dBgZJygKGtNnBRfLnfErXRIGAZkeA14DrxkGY+7Bd8Cn5dt9UL xQ11KNCKe1x2THnFYtE9s2KyTRTis7C/7xoNtj2fPeENz00yWsZibkDBUSPWUsZpJcuiWgGjdlP3 JUCpHP8SiWQ8PlbLvgkGGlt0lln30zqFkPSO7OHgrs7jm00brUm59AIyuiLC1D32+qsZyAeJXwC5 3LL16BiZpuIqz0UgLdy311YibDR2M6Uew/J+krAHWdTo7uTUrbRxfpZ/qBgciBZji97A6BqqxTY/ HKhQEAzV7W1Ol4SuNWIoKzdoIy5lJT/hsjKV/YVrYEa6Tc8oMTNloIxdByS0yocYXfCnWzPE8dvm 8VK2VAo/4JSIt3DPF2Gb3htWInTvBEA/KKNF+X2jCGu2VCQb7G9d+t6UgA/HLfen24vgmDQbgj++ BL+PRuXIKayWG+AVr9sC+87b4ZC5JMgCYoRBllsJlHls+nmpwAkJP4xU8yq3LxSrgHRCz/NF/CEq GfmIgHzn9RAiCPE0dPB8/KvqCKa6KPm5zc0ymwTyBxrhFDIATAndE24IJ7tpHsQskFNAclnkVlbT IEB39zVWVXZIVX1pl6gICGYcSKUqaJkslq+FCS2DY9qHnOJaFvWrhTpYKIYUhZ4coelfmUMX/rZ6 4nzMYINTjA+3oZIoP3u9aO2Qvth1sWxJxDNLwk9LcBmuliJ+Mv5NOeRliT8996SEW/oSiBYD2yQm JCvSaEFydn+K0PSXGUdl7KwHbi7Kg2i+wUS1DNyGRqni51611uNThpGcr4yrSItNM7fjvV1cJHw2 q4mGNgJClALHT4br1bwbPGzv3NyY5Sw5D/F/q1ybsRWwa0/79Uk2G00NX+Q0sCpuYynuePIu+3FL 6kNshkuuhKopmOfBUx62wW80zSx/0egZN93l9fIl33fBYBIayDhrRtihXK2a/bzEqgwuFV1s0AqZ joJFWmCkfD8JbbQftWS/kI0bcYjKsgolJsE11PCnmu6v8FCxUjHNI8XxpYHLCkSsrtWLTNbs+zlt VZm3qYgtkCIvSyRtUSQyPGcKasPqGJZvl3I3FgKyLYAwyuRxkgmYukNjpPH57+pxY7qi7q7PYycz H9eZJ+7MuBFngVCGLwbRuVyS40ACCpo043PzGiGtv4dJTQ4CT7Saa66AB2QM695dUOZYZ9GlcFpt rxpxe3VBiCLWLiYAs+SkJCL9LSFLpS264536uFvUa8F4O8m4LhZAv9HHVscPJ4E+bG3ZN5PtFonA wZoBCM3VZ185NMr1z/RlQ5GhQ1GogMV4VvMALJms4MRMaJGOAIN3/Oza16lN5x9eH8JACLcsKIEf mJiM67BuoidbBkDhzui46QEZgh2twkHeq/eYWqSNGanicuBrf58B3/KdjFpW0ucFgQjlPlYsR4od ap67k2oIbH6and/qqxZ54WRsYoDBmcKvBKcO5XzymGjmMJkXQ7KomE/BIPOfC/lpJdsW6YJBGOLq sDQNZqAhg+ggrBuwSRRh56GJhdNlya2KufHZiuNaELqhEMiQxH9U+scwK9ZU8uV0NrfOnGFO1Atj R74s/D9uO37sk85qzGg+7rSh9EBNTUHL60WmHfULyc8jAEWBiRvCnD6mk9kww9CA6nE2lE5Onz0g wRlKVXwwYslMGyys7C2qPDa82NGwVoWwEfQQsTL85bSie6SY3jh8QzyVEPdI9Ok68OwWVYH3jFBF AxplMaR4NX59HjNuQIuX7xGhSzJ252R2YNJOqEN1mVLzNt3PA+KTlcR8u/OF1RXk+blnEuQX1v8C HlTk2LoRpE3Dh5Ao5RjFHuYWQCqvy0gqLJR3beN3gRmD+HDwXRh05NHfis1b8ydQek+r2Zju4aWm sABePIb/TdXRAVtNE8AGZ5V1viysyDfEtu8FeHM2Ed9EziAvxT8GhF1Tno7X2ZJFhmiHmji4FQjy C085of+LBGiXbdu+3NV42bwSp/WYrCV9GRFFKPc/J9xQxAeodQpVE9ZTpeUAx7tBemhrAa4h2eyP Dc6Oy8cPsp0DkvuJwkSs+hDKaumoyukGzX1lL2b7DYjgUo97lMymBJXFttkbMcvQ/LRjI/nWTkzQ qWUz7sSFkg4G6WZSLmoNtQrAooDzTzfkaFlP487HNQOhSBFv4KLcfR0rICmaK6UC1sluh5CRawrK 9TzciwOnEIsesWNUAb7yeEIjU9aO6HClioRiqubqcYAZGBR6BCT1RCy3Ry/UWKxfOY0GFJ1AQJD1 NeH0u+OqIQCViJr5TBdrw7zsr+V/ldPt+keHn2KMcO2TJGrwVoIJfEskiLnrrIUNSCV21ErzVOS9 3lWshSe+RHT+23HWcua1cPG1TJm9rI8HDauL0WPHaLqeik6hbT0hIf12kFepKxw8nYn4SJ2nEOWg 8+F5saHb1S+5EmGAMbX85KNzKmidupbLD1MuGJXiNU0WrPMHbWD2JcPoa8ZIuOWQ+x5jCLLGDfwa Yp4s41D9vLMC8tzoxYhTLLcLnEe6JKJ4d43u4l93jEfpHJNr879+QniGuH9sp2JsbX1aEWlPRYtl Fovk1DMs3bUno+D3NAk1QMCmVCYGvLCOu8EzVv3JmbtFqgtjZTFGF2hJjk6tPmU5SyGHjXZtsQ5e oa03aZwT+WbXD4b9r4a0qnaX/gG881LukPhcmmnSIfiOYIY31TIHEjW/+mdfxJ51m53yrZ/5y26d Ev8p4PKaNTY8lAHgnjJ48HdrZ6vhhHzF4Ml6s9haAMP+e0U/cSJDbED74vdFKtnMMozwmmUM9tu7 qmtxnx29juxeKjzAkJaQDwldBKdDL2R/mpHWn0oxitQwVM9VvEdzJQW39A34KqlFqvic2RwEmNLP C2Lghgn25OorBqtTlmgIecmtBAnlCB/5SlH2O2ShrJR/UaFnUzjSVjUU1xcqTIcWmwX6+q7n1+2V eyml9I1xq1t/AiqYUl9Q2RHz44Z/nPQgCB0tk3s4fFOKfvQ07/24Rma2nN2jv48XTREX/wCmgI7n 9OSxOQoAId2RJ2XGt9C4xdZl2CM/mtWH30IYOjzst1oZt2yIbMmu+cOUIppwwKeJPk2wN+z4DHqh 5FrEOMtesoWgTXPGuLIrKqvwRdFrDQ1GrIMf9XcTzTYejnciyLEWMhQPMN1pq0TIftrkA4f18lcw XxiHUn5kKnvZyfPUtzsCTuEkqDKx2BkfpmY8q8K5C5hYn07hkr/sfU73T7Zu/WxdJAKM4PbC6fAU 1TIgkHkXyNc8DlGtPIsG2mHwrBKEJiV/y2dThYnN2U5WIOSZk8e5vVi3iNhlnS/5zF6nOQ8pBdvr +7AxJtpouH5Vx0ZplxpSuPZQdNArpxXW/wvasZXcDt7nZQ33x+aT+hTip0VVvxSsL7ZEd9riQe97 jfsQoVdrkCU4yiFpSzsmxf0iGCk+0BuHEFk6aF/3qc+SgugkvGkvJyBPfZcHcLOo5fsdGej2PA7+ 4IwZGLNJlctD7HYIVuskiU2erj2w7meTjpSiF9IlK+a5aN9sDsfmjWsUBVWso1g3hXHkNHbVWKWI e/hvhc03X5AaIxBGef7mXfc36b8NB2EtmwPirbC3KxLv0qJ9A77R7l3MdZH4dnoj/zEd65QfiyVG d92OoNf2eS5rUe7t66qIlMlTKCgkg4Pmf+EfLW6NL8xZhMkp/gEmgOT4x/yhSuzRDVxVnpWQFPz2 oAfAjDc/Erl97qKBfHjoAOIc7e8M1hLIgsoJSsqn7oxGbKaOmQtpwUybepwN0LrYr4ROcW1m0g4h aPXisnBkzyqVc0Qz3TiJWz1asu/rAbiB6KmnNRSqqe1PIMsTYqps7s/AmM++2DO04YHUdeBxL+8U GAaUzT26QMkgJoZNu3w2VlXeUOmPlpyCy9DQvvfzMllT/AcwtU71UOOWD7ZELsghGVY3neoMArZd sIoL8vj+xh3afdlXIWM01987CvlEeqrFECdHC2x3ecykVG1Y6zFkwyKy5/+zT4e04GS6flskBBK/ yHVkCr42X48OqnpRHsj4kdhCMnZ64EIQUwdDIcl5fyve5jAJnAdjnl5wlFKgWqznNZAzWAyxwIFc KjZ6vLwsoOWiWt2anrV7rP4TUo6FePRA86+bMLO7MCAC+toaBLkI86vpg2AWRg7mU70bTzScHpSO bC36MsT+RGsJEkgVor4+Iln2wCtzX4viuFB8nQ5TPF1ExZrn5gUDAvp8FfqG2YgLpVTGNBT/dijL sx6EDstadnUKZZdh7S09mIl00JDdVjZ69XbPQQm9d9yCS91iPXvi/AaDHqHRHp7p3tzEuDM+n1nA WkUfNpEzvc79sFIKIaSXd/+TSC6LAy2BW+tk/ErM28NcjgPrry7yzoDJBe+Z2sqtR/dMV3iDEDLB GKTKhmIu2VgiqboXaR8MwqSzzIrSI5rcAuRJ7Dp5cj3XVr3HAUyTL9miuQjCm+lfuI/1WuTzgKlL OEIWXvDXe53accCNWOj2QKCGJhzZNoyuxhxN1RVyCDG6JzYezpeZjMe5r5dV4RUkgA7bhzTSJ4zs 7fDdv8F9osZh+qOXiKuuPNcpyWB/NyKj/ba0RG7hkcQm4B1TP7QbcLnBUgqlkkmYZ1xZPuSotkEQ I8WUgG3GUc5U+jTz6I52bzcLm9l1Ufq4bH9dAdWq+VeuIhs2+BSad+2C0b3VGOLIlrq6iEdnHqG5 cBKNJs8K8O4PVLSpXuKgoWN87p04DEZUl7OOYn9+6aiRYY5nh9Nb1+5pP9l1kNZMKw4w07dd3pPT rgOkfg+Iq/7sBR2zcxmTsBB04yWyws4MctXCZf/yAd68mQIaOo4BOZegcPUhV08gUGAbAm9V5r8s K5PCOa2ex38Tnm8V1lT/s2Bx9Q4yOROEAU3GLRu6CxEXM1fqvyFt/NWw9ep2nJbMX2APFpBbVuYc Yw8jfOpXKZLP1UFhUc2CooCZSLhKm5hyS854xoPfZm3t8HM0t9p/3KJlgdWMO+jXkn+gKGzvW9HX q4SHZxl1akuIwsj+M/sk70TQP+kbhrA/rSaHjqYCNTCdN9iOG38K4+uUNiKjG9/6YYTTUTI7n96G 6QwJnmivT3HIgyFrKiFXAxPCcvZHwBd0rkrgZ/+PKgj5RfiPjaebmWLernAlTEHimhXUMXgdBr1C G5yUiyOy+SC+f/RBxfTLqvqXPXOJxcQTUth4KoQTsUmgSjKbzMG1qKgVLf8PUF8w9IqszdM77zS1 HtPrV59nMg/WRd+bgUxQbk6ZEmq3aos4DVgnP0k9g4YOvCTreeDa7EoUDXpEuA2QSrNxCii3Bkxb gWiV1zjboMGh87pFfNSuSZR1ti8ZMSKVKFAZldPuwRYpRZgzzoEKzrJmqDD9MqqlpqRIkXwUYXss rRfBI1osWHPb6tbD+66QidWyX5l8UqNtRoQStIctAYwpHoThdm0rCGgRAF7glP0mkKZWuoehYhtt IkF7HvXVsXIJn112X9ahdT5gmWQbO+S0W8H1VAUEizoOZFbWIIrbh/QuaO+0yQ3Xwv9BzLSFY6EK xFywKOOHsgTd3IeiWARvg882cEcvitwcKHbHBXwet5o2ywZ+5T9/GIxOdolOmN56WfjwqmZ3IYtj fuhCUQvWghlWvc9psd6Y+YdkrNtFrWjpvj5jGTjy8UviahzyQMRzdC18BG9mq1rGI3LxgD/CajTD t4tMCD4QUr1GpGpZZ6Zd8s1Oq+ZcUm3B4x4YgOdbDn3CVoW2I7PvXQHF+cKfydnLZRJyu5kqcUDt LWiDB5mDXuupKlz7pJwJPjQA7wh/kpFhk0isuW/EI5bhDOFIyVULMnmkoXgJFK+UJePWxt8A9m5g aL0AqbU1EVjjg2Itx8bg/0UCpIhjtVLHuHJN56E+WaawtvvFaIZoSumA6Q6AinD+SjaI1ai3dUaW QG3IAiK+WSxANNTV7GlyOLIzMLYOAduF1B+SEUgWiQd/C6kNLVYMHgjmPlkWink+wTLi+Wf/XQ2v 8c57ASeAufk1cECafQA7fW0IuMUv8C5ayd4XosXTRxpCiHXIsApIe2MGcz35K3RruOc15JThkNar V7NokUSbsbb6Pm06gsE0pfvYUuwU87teB/qUfbJiCUfwLk/2i194LSL1kLcWVvbs+zKfLIXWVJcL IiJMhJm7z+sAhHLsuEmb7KzihR2ammXr2yj67GsW5Yx0OrY9fNmh22MO4uTp12ewqlgzAa0Vocrv PR4HI+UxBKE4KJ2LX7qccMq/XBsFO6bHHn8l41zOFQ8jopSUdrIdA1KMcJIuwIP/db//JNi24Lb5 cX0iis7bOZkyocgf7laHTelQyHpc9fzf/P5V9MSWCN4TaE75xgzRsEjfDL5jx8f+QGobgWt48JjB octBY5d9I114625Skwo6uRnxmVqTnU8D+1XEa6U6D0aTKCDEM9inFVS3vPRLl4vcYqJz4i+jUE6W /9zOKzTbcJpB5gg6VCpmqIF0r/Mz5L0SNuVxs+zZTGE+z64gxXtJhyv83qrRa2dLS6ShmxrNXDWc NcSMgul3p4ceHKw0gj/RzpcW1kOafBFbJ9yYl2WUAxrokiiwqXcIPnZq6br9IGJ38uD45j6/3Itn gxImgpPfo9EAkhY4mtaZs2GKsuK1vbiiM7UtsV5hLWwHgzoVf+NYIeksrEBIRQR0o2VmrujNR895 s/yQL+J6Qnmj89fD0gAsrtKWevF4q2FOaDGQDsx1vPG87PAq1x3KZyuTDGcdBeiiXcgaDAAVUP1b YB5hV1/NUVZqU9gM5q346B2q0wJiK5mq6AZeIayrIUDLrGoUltMF8xv8MQvoDyFBYjjwLS7e0tEk N0LarhOPVsp/+3M70k4yMhIyqrScJcSdAmyRoH//yMqs+N+/4Pvd5BgIfC/WlNpgDcIDgnHKXs0j 9SzAZ1UiZsKE65WMG74KxOMqZ9HquFm+mFMS4EPkLed/HZTIzZNWxk3XHgVFoPk+Gp7+jmu+0pQI vaBsuj3UpuhM6MZFUHAEi9sDPN/wHGcVZgt2u77cV4+F2WmOK00owkVr/TZU3Ew7AMtc2Hs0Trs4 SFIR4bjyB3FoMEOG8IXLawrvMO84htLvCFmoWnRiZ6Gh00KVnGeo2Q22P2wGkMnCpyz9Iyt7GZj7 9MjoDmpy5XU73u8tovQ3kB3+kmzF8VUpfb26rWPi460uixHc4k4dbE8ZIFRqWmKhGgC1f0E+K4Ua lO4zd4rVYrMLh3Za943DtuQ3aSthmYgN3Ki+FsKnOhB30zir7tIjzDfPYcR1UdO4cJxmFZhEJ8NW TT/guy0zNj4Uw4iBRBzDi/RPFWMrL3JjzQiOShisOBdPWdILqytHPwsNJb8Mri1KhCX2Q/5ddx17 6iHA4WrG6vsYEvf4FUT4Irp/ylUT4fQMKCxfgp/t/g+OTlk83lxpGfGgLmATpUXNJRl9qhrd07Cp Rxr/iBwFtLdoTWdOJMCKu13P2Pyrs2oPfCzqNppoOIT3VJZYAPve8pjU/pM/zgsa3r3ZuVKoCBzp oDfHjZdPYntX3qla9NB9RadCqd0aO9USw90mVq5pQtPPVQrs2JsANFNGpHcWphmDrDTRDJPDi5h5 N7EXC5H3qBzJYIu/aqz8EMXAoMYV7xt6BIaK1wctioHfhOeEmZLqv/6sCvRn4kwGRyjOR5IQTJoU lXh+RsqSHhIa+tc9VJSKrS8p6vmysmh2lnEwircudkXaZoUE+gXWEPtO4xbpokov5CJBkZrxC1EF AoPRf4tWCog+Pl9Le7h7ZUOdTxnkHHmMGUmEPvfF1ApPZB/wN7esNiFF1CbY5kJRYhCa+8flW9hz oO0O6c2sBVOkcR7YfuGAtNCr7F24QK22o/X+CtiPSqFAGZVwpuw31VirzSrzYKfrz50MjSlH1wCu NooDgdOp2PxQMs3ueJHsQPXfssVfQWu2MduWaliGVHkrSV4ieCT6E35Y8/pf6gIBZsbDBRl6/4Uv gAfLEjaKTc1oBJa3HSb3HVTlMR15pPMit0myws1oauMli6VYKqz+Ffw9R5XoxkKMDXyJ76KffnX/ gWe7PZ5uoos0hRLEPaD27HLtx8lS/J16yPFacHH8UdOsbZKyHaqfV5xhaQ0qBMRTQKB3btbmKw2X VaO5YWhomArScXf/Nw2+MPmxGsmrwD/ytDvPWajzhsAWYWbJdLTWI6K14G+i+4Cc3BsXUGeA0cMQ bUmJXb+BcbXChGh5hnNd0NZgfydTxxLedc+OGrCmRxJuHIzkexJBS9zChb8dLdtlVFzBxuxYWm3w mT3VH6O+j5ytH6kPpYwR48Ak8mCdvSLC7556AgNMOqDBRsbi+4ZmmnHxijPXLEZyjBpn4hnJ/4B6 qik2/79yQTvcbICx6onJVJxoIe08Tv7kC8oxWqwP9a1GxFmtQWePtz7DiOnz+ZSH0cPRI5sWVjPf dRpvhySBWMNXjpNpkKXoKy5txYVBJhAQ28CKZKo05Sy9UXWBauongG3nCAaZmR0TMEoW76UTITpY dACUcRJBjM5mHuh9/FibJhlcOBFr7mbsrFP5ZlOz500u657i+0D6vOe7Max2q5dsiTQZCfYtlTTc ZflcBdhbFZo9d+LcdSMMjdHU4sCqCrsFqrtWOeGuVcJCfq1H1aLa4N7U2wGpKiruZFNNfehPelcI B8+t78ztUuGIfPZiojuGRFb37ZD+1uSpP2s5uLttCffOpX0xHTy9/1/nQTFEOon3daYTf6KcLQjJ mwj5TR3yWaiKvSzqb1UCmvNEiLEz2DhJGFK/x+FxpWgDQ7ZwtZixApT1pi5kxzHPml/8B9RG/B8t 4mpB+RMKcHgmaAIBrPG+U7HKuqazArRodXc3lqmWQHIEkc/5VonYZ8lS3d6hoJFpk2FsfjY2oSv+ By72M3HClUo188QZuE3s4yT32zjQqxnSMRqKBw/Medry2T1Fh/EqTPyzQgQY7CoiMGNtCShweh9w wxnzAGR2nbfrdIuW1KKn4D/IBUSUT3fiKF+S+fDBiaFCz+a8HFQYZN0vZrus+7kKnKYFuaAS4/ox Xkh1yk5RHS782O6AhkmFZMbeWwRymlfKLsleNgxXylfjUBMP2k+JEQ0VVfKKueHH2g7Y/aiBHwNK g9CCiaMls9rSBlwjBqTeB+6jw7ZQAEZ7O3o/XL1LFp/IVXOMLc/mK0LnHRDWDBkn+ZIWbQycoJrM 9OUy/CXyoneUoG4EKLgeBIL52Susxu0ynKwuS3uhcr6X55DEkSNU71XQ1P044WPahy1peq7NmmEu pJb9hkpEDql6EUSdckEsK7oaO3uIt/BFtlerVuVb1E+SnD5pFYYK56YDSh+mPWgwR8qZxTnOXXm2 tKTSlad4Swfh8MmycPRguKzLnbx+peDlrXzA+hGUASEmKYt9Pfds9/UNb3bocCsf/FnpWUuFlJaF sqoidp6KeJxUvFAPoUMNoobvz+GdmplJko2HpmyRgBy3GVPFkCMEPSnbeniYiwCVYdpH79957Ag7 xloyudg/k0SIHlsNqLW/ezDYra9WkEoJLyUvfNNqdJpa8vesiOSGqmUxwqNitRehxOOW2NyG6+hD GWwKvHV6Vm2p31JWBgkHeTW0wiBWSDfyTUYNUU2LQNRCpgrWYRU3jolSOoptls2oYZ3GbPS+gCB8 TzU6LNR+JkZmI+/Q6SGHSE5HZfiX9gch+lDMlJrKaRsAQ6mW2DZSPD14hQ3wnKF+ErnYAG5u7mSc s6hrhX09miv/A+EPK//NxuytLcNH2QQIoXhaTkxhzGDqQ6ojTw+4XNrkFuxopvxuK3UQsYbf1uSZ 2nA6ybOA06SKXi6JdD/ABlpRy0mn8YaLvA5G99Dah33CliRzT0UVEhtoyDeavylDV0BDOrvm/PDm yCC4zYKtskZQlt0WNY+9K9BCrNIKlVU9/DkDCH6fUTGooiECEsxI4+r58wc6WcboAhWy1jooLrR4 EsM4Mip8eiUdkFdZ69wm8VHa8NlkZE/mIncn7PDdJeRWQw5aYx9o8Ba7TSmvhaBZAN0WzKqdJXMv gWRKQ+HNn08SVrJ2CXjQGA+fu5bfh8CrT7Wo6zexkL4tliuL0fHRcHIPUuemr3RfeL373SSlSMR7 HyP93GH+YOIyspREMd3bwURcGa7ypGon6AQXp6m2ioCFxoyrYY4Tus63/FSrozpvfwtei3kLUCiU JUpIgUzh1AE7ZINZKe8YSFQxgV1YkYaDVVvvSD3XHGXGN5x5Du5GF7xK00w34EY0SkVPelc4AvZp yfggxlG5EcfwLPmXn/TpI7tw5MIxI9ahITO5l3t7ubZXDBzxbSxSotxk1v1znAfNAfs+65L84eak 4NgzhIJjzmeqQGBycPD8kyKPlpgzR1R0lZE0BxLy/OLx0O3QSRtkWNmyFuuBiWHvX+wKWWMgeRCL NMAZYbvkMQYgQPm+37HOmKQorLpjLqoQxR7zZhF6781SbSlqHhNInI1JysNAZv/FMZGxGD9usCwx jt8oBoJk8yp7Sw7cpKKAZaM02vFqxJBNGV0/qyiUdDrKCQc4QJJTnKh4xhQxIXLHmagRR1bj/5mT gepJ4aX9eYpqbiD+EPW9PHwlE+XAv+7jDkT4cXEY4oZCnv49Vk51KovZeRofM2YjwSmH2cTONLoi ldSGvgiKdZbzFnwfchaTRJ41hTR4AvN5YD7J1ka6zcFJ0xeTHGRIGhq4TLngUhIt7OOsPeQSYQat YIOcj/wlIK75/IKwDc7+Cacjrht4IdqsZGpH4RxW79co+MPPJ7iIGUsul6B62mw4TVfLKjq000L9 TV3BsvzvC8kpOcEhAhmQEUYD2nETPZb9x4mzIIljpnryHkMBMn0ASUu/fUkNVWZYLgeA0y1IMM5N Ez1+gjEQiP8px2m/Ecsro0z34vW44mTCbSKH+pROSD9UITztGl3QhSFklUKV9ILywBRhugeUwJ9y NCLBcF1IHhiah1sTs+GbRoHWVzyMHw78ocMKJ3gA5dxNdFP+Sjt9vOlm/Rd/CPABOU7xqZMC0OMK 82/C7HsvOS8ceb2+nttzzqbq8zo2td07gI6Ui+JMEsLqFlHjmD4Ism7JPAeW10x99Y8RIKqz4Ti9 cJUxXcefgvqXDQZo03ZulbFGH3lFZ8BjaNaPFvBa6bNSwSLwrgRtTUQHv/Wrg/tbn9eoA/tjKZxE vHSDQ3WkUi6Jsaz3IhX1+SiqB7ZWkY/soSiu34MHHECMVyk6yBRMRPWuZI7gxO1IHFV6ksxRQwh0 DvVFP24o2VG6wG8KdEuVkYy4YU0Mr5M0Ac9ylYou5t0n8QMSnBkUc48Ypzcu/Dqn2gXbfIBYWXka SOpNmltMxstlz9xtSiLdOyIDSUOj9xyBXxjkFOMQWiht28P8kLf7t5aSlXH731Lgn5pvP8X/I6QQ KX61WBUlZqDYRvdMkY5ab63o4eKPwEV9Q9eKhX/mQcId+KXyos3AsteTfncCRCXjFa7bFUKVP1aX Ido6dBnATDwaZ05tLajjJithwEklsH1wkqxot3FvmBBkHX6F0pfBUZBgqvZCHnjvwsunpuMm9Q0X Vf3ZvDYioiS69QXdxz4HaFgKu3a1MV6k0WgMtKk5gxcujJ4oWMhl6EDkOAKFHigQNM63KTYiE/Vn Sv6qsSf86r1GadqdoMDXCsIU9IRKgVdWdNUTSXdlmGehZ39LP1ky5ePUJe3whfvEh+VInGtmVf7t NCMyTP91S73D+D/cH3r+uNW7JJZdkGHP9oB6mXBx1CRaxIQ+gsivTqa4M1D3td6XlAz4viqV5XD0 uH//CF9oQ3M6sWb9Z+VjksAg4wg68H6kILLdE4K+gqo9ml/ymZoGt7CxG2Wlfehn2hB7QaeTxP5M S04dHUf6Ss28CXPvcvKsj2u7thy/OVABLgZdrJsIzbbAkfhgkodRPFVY+yS+82GkDr4IgsWH647j Q6+DAAso+zl2X3rjtA8QZ6fEQhxr7/sKsnlivOEAhxboxNsTix35IFvu45U1l+BjjGZY10kc5oM0 fSgxuDDVdSEg6uDYB8pkoQoTNYLP3sGQ94Z1xzFfNszAgkHykEXSBNhqAan+8v/f3hPAKMHc8bQu 7VKsbJZRomvQk81v6KBdMNtTiwIxIWW0SqNf0y1fHXxtZYJosEsC8q79bR8HRg5YkXzKxQ+Wt0fI NvMu5iNndkyoU4JAkZzLrQuOlhfxgHGYuVoGHsG5+WwbXArwRDN06cE+Aboly+L77jEdKS2tF5XK 1XdX5blFYRFQsSvF2yFTWi/mOR81YqqovWNGPAOTyqXkKxTSeMUheBERGc8duC1gcmA94/hR+slg G/2+3ZJJOb38Mgc+5YlKBnrDBLGdCJqfU7huG7vcPMrOnIrXVQYKIegTUb1P4qSc1Tzhi7rPwA0V s/sJeBkW41QtlRWBOlJp/18MwrEERELiFG/uHpT4r04m1FCPEy1G3vvoHt2KptI1qng9OwIIP/Hm Z/S1GbXOQKxibBiTK+iGkwic2jwA/JOTocRdZRr/HEeb0xqy8d71g8vCG8hACNPrxMIOIZ9Y8Qwz 4cRkiUUvs2LqGF1Ebmv3dDbqqtAFAjgKWyC/+aRRvAj5ayZCn8dopw9ihmdEh4Sk86UdVB5BO32w +hYY8WMH6vladeVcwK9hCHpcZ31kYWgDGO8waPLTdE37R6o/3+8078VF9lxiUurB7YvnJQtnzuR0 65xxFpQIKzeJEVMSF92qY4pf/H3PUkivC0nkaeFk21UeTRpAqrkc5DhuJ8zUC1hyrxukYUfLPrIL 0S5xNQBcdsyjspAU9jLeuPyyGVKCaEePBqvtgeMuJMlUW0Ohm/T6TpanEE3jQbMfo54cDSVuvHC0 9hDBNCV9qz+43jAueWYzeYcf2e6STfjFJ/7788im4sv4VuAICEMGG/DSnQ3NyHU1jfggPuYffFpW yydbbgwFt8u0BPzzBVe05Be5I0/QBBUDCHEhXk6TsRpOhMvep1ZFAnQJWsmJAA9lYp0CQyVTi/Db jOXVBiqApy/8D+Cs6kTfOU0V+FEFpaLFHSlNk1p/rE0Xu3vftigHVyoXnieYmSXQyMtDvi0y2L5r eNW84mzBEV49vdihoNer2uFmd2q6155KTxBF+m3wYDjb3OO8R7L1U2SjN5s9YrdVsbinRn4s5b1I HUb4rcYv0cxB9gS2QrEH+Recv+Eh3KLCzY8rRrjyefH7BpxGFRQKng/PGiJNgZKMJUu5yOA6erXQ VHtsmnrwOK22/NpW1pq59/xiCEreAb/SnL/vux3w7w2raJdfkE4bLdycn9S0DMxUiN0jLJgVfwwi 9g== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iQ2w2kV+K5Rt45Cn/JQIUWXwezr7QaqOLgnFeaVbvsSkYTKy2Ui7jq86b703ibg5T5SoIWRvayvq OwEuAUqLVA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A4R9Tcy4ylvYt6JCfD0PSilEwcnULx2XP/1AyO2RhPN+RIx3zbYd5tKviuW4uZBrvCpWGS6PRl8f 7OkgSehIjZuw1Ae/xz4MmBBRq4WLSYGyBNYmvoKhf+C96JJpxof3OvmrDolwdsqfp6F9Qx8olik9 eJMR03lcQDs7gwenVBA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HYhnOEeC7bQAmdbJ/J91pdZUIxbCxI9d4PcLZzvzffdsZ/jKY76/lSxOW4e1FPhX8RgUq2HGnowP wf0JnflFXsbCbsVhJQu8GiTdaY4diJtD/Aqkl5FogcTF7D0/OsJYqpa/4fDVgjLGID2YYjigZqb/ Pqa4srR3xV0phNpqdkAO+JH6KxRP4DTBLWSyn2SQQZktQfrHqFdYrNsWsnV7sVkScaXQGq0gV2kx OnBIwb7UNO27RZ6pcK62itiWjKl08WiclOnBGPajhaOq/TYVbh7CuKMuQiHJKue798o9tm6X5ysl zOM1KIOBcH66bezGYhP+0DyzuWHwHO6RbmvvSg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BeKmCXXNTZa5uIhddeX3d3KjCSCN+BLRtt/hZv8BZcfAUjtC16gqiPlPhh3OBQ7joHYH5ObD2Po5 TC3q/WUcbDMW1VJnsZ/7/nFJvPw79eNzVkDQCPV9eTuU0gALu7kmIVkJzcZ/n8ri2vwknZ65h5by ZrxuS82FQkeKBCc6qQ4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UCdrNlABg9gGB+DdZ1TPfLl2mmykEX++vC0OZIoyQB+/ESLGuXY4PiyZqKGNqyMkaKDACa9XJqny u1RBbNo9/UeOo50wpriM5wNY2e4HrdbM4t0pEMVTJq3P2Z0E0ndywlcB4KltrBWbLq1qfmZ+Xvqf OjtK4jEoIV9oK8atje8Z8kfmQ33H3Da8/1+r4pCY+FH2nFe+8fOCqN0BdhK+KPUPC4DGqm4zl/Bp l+aNMWMsxnlSS6dUmxgALIyZXd4zty3dKR7i7LOt6VzAk50QxxKOhVbwvZy93snsQD5aSSTZm//D Lxb7O++Lz81fnDjURwPxrr95bMavOEBgzNsp5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57856) `protect data_block eFpE3hGU/S3svAeCFws6W+7q71Gx+A0Gm4ehX5nYNFvLNJ0ppyH7z2aAIh1YoHDwNj65nb9DXCgX uIvbm+vvgpG/Lm8kQvcIuZgmPqtmAl4pjdc1XlbKxb18F0vVvTtKjZkt2ohVOWCT7Hp9cY8Wq7hy 80Y9l+7twtikv8rKcXPbFn+/WKgwwPR15iNTxWxqn8Zwn4pbs6adqfSCDRbKePyaX3daJFPqeccl cFTRX/DJOLydq80zrW2AlHG1aN7qSelq3tsb2mDt5Uyp7TwY8Tq6Thh71XeviPmeP00gEVRlMuRH V5MCP45ofXX6/UH+RP9mBgZlI0eQ9Dz8ivWAt69wJDZlTNQ84fUX6iXwkGbIsMmIBECFQ73d0HPZ L3Czgs1+lJEtWG2ALf1289+S4lK1KKKW933SxZ5T0ugDO3Y5ov/MMpEhQ/q4Byf+yQUCGVozq7CD 9EQbt0zZDnrLn5LCrhS6DDY7XHh4NfYM94U7hk5RzMFdBBiQ48WCm10eN56dDe3afqMNQ7sSCbgj PnOWM0t5JGjqsGtogqYSFr6P3xb/mV1uDsaPYaDiaZa0OPXpyPIzhpoxm7Le2LXC25nrK02hQ7C3 naMvui8kB6NWv5VrRiyk3gyqgyQFIx96xdsX9j6R3PpcbmySIRYO9fFwavY08akWZd8f6dZ+HTZk LTCJ81ov6yYRyhrZD6L+3bIMxVCef2tJ7WQbFiS5YoXGyxOwcF5CEHNtlKY3WtJb11rN+ycqkrb4 hHt5Pg2BmCmPgaCH10EDLbau2wzGBZIZp/2VhIUaCEV66F4DKeO8lCvq8Zs6Q2nin62gN/dLqajS 0EKfrsjR+nAEy/uWSJU2NNrkSalizasFpYeUolDxYthPchzNnxfVzfHR8l+K8hfo3yS13KkvLnmm rZwvDgmO8xexJAj2YndRMZLTIG99F+K0jFdxjkh/chxJ4EHwjXhvBaw1pk/O4djcUeSJ8iMTVEzh GILeSgt9rwl+e4siQ2bDUFEKoAOU+mqG/NfjUn2hvgXhGSu+qYy1c6dmKog2IYvBvSHxyiFiOql9 09fAhQiGnnJr/JwzQL60p1o+wXmLH+U5wL+QOQSWWbgnpcRMvzGV/STqAy7Ni5mBQ0wF9ud5afQ/ he/eAjWFzBbJWNZBQ7qa6hBN5AjYhE/7/O2Yy6k1c7RiEhjR/yt8WV1428wBJZH0vCsiygHQ7U7I FaTuFJ0oWvJSnDguyjkzIr4patOu9alrwp0L22OVXerMYh/IRbpAiXdbFtXxSQWYPPjIYwhHejFK YwuxY7XBWAoe+uqgIlBes1gVUVKfx/srXKzCCJw2sQS9JrJ1aE8xvWaEPVfk3kR+EMgVZuSKH3JM +jD//TSiJOB+KLhoVO9eKnuHfy0czCGXjNqesXgDWtRnGlFXHa4IrNCdJtmIb5ImCmu00c4JXimv DOdAZ/W5aP/82/68g+fkagqsNBGVsejBfdctfZxMwQHc4Chqo6Ide1WARVx78MRiOxrIeBcCOXuQ eVeeB6EqGkxtSoqTM2XkjZCD9QmBxYnij+3eQshc6o7GY3lwrHZ2jJinNA9uX4m7+NZoa5dMDH1G 48fhkL4swXL/KMFJhwCMa7NkZo10CTnPwSOk7+wd/2raLrFgDMkx9KzK3jx6syiuFWmmZ7jGNNyn eMFeV5uVCMbx1m0m57buXQ4dccnVriSskETqla7XJjY8XntTchxc0sjIxRi0qy/AuvuxMfblSZpa 7dYXoaSrJTuxLFHlLNXG3e2RgBGHe1WMc5kn0C6Uc1O1AO6+tg/Si49M5AD1zFYCpmASkNYABT1t TQTc3A9vxojsCcd+AY6PhXb+Mm+gKFfA0rc+GMiGD1TwjaiyzDKHE78tqL3InelyrEbUiHIJm2NF u8RUDv4zgODM/7sUAm+v6wlKw6+O2u4WyE+Di8cpD27gdnVnkyPNdsJvMosd61AbLjrMS9VRGdr9 GujejeVIxRE84NhJ3ffZDQJ+bqRYqz9YG0imm23hR2v3+ckzWqJ8xvKlcPJtavSfKX5auu6tl1zz WwQUo8a6pWHkET9gQFtrUocZL/0WSH5pnNe6HgPbtl/FCCLqikbW1q2x9bznTaKKDgbSe87Lw1ol AY0OInVG/mPzPw1Dd4mb4nA+GiIvD/J8D5Mq0MP7Nos6m5XIEgHaEPbWxkQWKGonZvDz+n6wP8T8 EsaUGhRuNboJ9RsBGUVK1ggLXhmaO4JMORJRYYqv/s6L8e60HKAhWYQdC6LwVbsFd4QWkR70lP/8 AV47opuVOF8PSOQfNxJ6d19eAXEt9PZBgLdL7Its8esKT5lkoofKfpjeA2iYUsf85u/22N3L6OU5 p4f8wIcaqXSb8thsG7LL0XGa1uqZ23Qc6LqRM7gHp+2TtXjs0kwGFtHBKukK1Z0kBHVmvgAOlt/j U6mp0Llr9uLaz8KOGvwVvwuyggbaTLgKBa80wNOAEDIJuglGTjRyKoomOm+75sNKlzh4PPUdylhC rMCJEUmgTazkSnr+Wx/ESa95lR/iJftPIQmWV1GvFE+IkKT8+SQQKkSatezTDyRJdZ9kBueeMHbP 5sWVrrA1G7apnabw377T7/HiBLIxvr7af+eqMKu7H/Omd+9ESzmOPTRWN6fSOQVeifNyUk9u5n7E SX5OObts+Ou2ME5evw2YTC9nB8C3MfmCixdFUO2cM1mev0pFI5I/ebPxC0Qy8DMtnak2b3mMQC43 SNT/UHBfXzGqdlf4uK9K96ZB9EjlVM9kmM3Tucr55Yk2ZSt6hG0HbZF2j+KEwUo4MKjNfQp1a/Hr rqqFkEsn8dX97IWM6fhZipn0zCC6zKO4olr/h1Nr1O4SSSFWJoWoZebGndGgG0Gafw186b3utB7m SVLT/QSOyw8NuP431/iTmMK2uENM+00yo47Dk0/jMjidLSOWg4kdkWwsvBeBt25cHhRs7p1GEkXh lnbw+pY8+ech1V4wdUGqsXPtStBRRmPs8fUvXi+IHbnFD1Pjuab2KF6GKxYadFQIRJI9FJ2wXdk1 n7zUeS/SBy3I6PcSItRhn+4V/bgc0p7Fhr07zGQswGae6qKIqqU+OSHnpKnw2rIstMtdKpd0nVUW tBPqQl3hna3tizMaLf0igOiUMn6I9LFrQU+WpsbqT9Oxaa970WOrAzwPXXhgo01HvZqavwI3OsFV Ujub8fhCLso7cPC/INTk4xYy61+FfLkpxd3oKoAbNBsooI/cAUiobhsQVdHP8QZUFP1IpbW897V4 Iwt4NkapnWgfCWkDtf6qVuIOUX6/6+wei0+rkqw6n5j5whd/tbgzAsNX9vcjzS113Ls0Jkcz4xLt um6eTXFvLOHmQlaChxzWtlakEAnHebG+Qld0opKEM50et+QFZFBXNTqEtBayHPCOBI/xiLH7YQlZ mD8eGgGWLYDuB2jS8hR6KbfVC882mPnawf1xkg2QFppMcmKDZ7w9hWJ/D4K79PY4pQsNMF3LNvej UIoCGNGxBfl6dwXFXER81pIsDWVPZnUCdjYvNCZ3WKaNovFCTyqAo2AZ7Ts53xUI7U9/b284qy96 6aiiv08t15n4km40iKXgGcum8QLqVkm8nBeblyrHovwG9IuT2ypmRSm5X5+Z5ZsDZ7zmrYq5SvtU GE6zqsE5nkI4xnJRQfGDiPORGKohEXddxk0tQ3DxFUNAu2pUTB1L9SUhuJm9vt6q9ataaoMjQ/Dd xNCR4QC6Tfu6Ne+7MgaNb/PXF8PHlFyT5rfrDV/sdof772x2+UctVpwBqwXwgsx+yHc8p9NNsiTL KcDSmKc0ELW4vx8AepZpuIK7y/wKFNKNrYQd6AJ+Vcy+WBLYXKcNw8x46HL8W2uJITJ15EsviHoh UkBGqQ/5vy6jqoLsCeB9R1hMpAPpk1c89ST8tp96cKryE3hwQHE7TQIZw8AwjFG/3RGWM/+4vuOa eP7iCnAAImXwFPfgu0J4QMbHW6g+WH+uisPB7jVxq2T+YiWrW6uupO6ZIG2t70CKQz7zWP4p0YJ7 +PcRpPaTzx5YxAEMS2oYAMab5cuChTpox1WMjkz6CVekHV1wpKdWRknDqGeF63dsy8lF5InDUG9G okHrqotVhgCVlx8rMRShut/wDIOCAe/GShtZF0f9SxyYl9O6EQ7+uLAi0D0yFpFFpsL/3VWi9p7B atn5IN4C3WdOGadyr5xUXyyIa/5FnrSBo9+ukRdri/hFMcYr3TpQDzgZHg0d6sMM5HK+nM+HIeL+ /FOeY5AOTonf5wTODn7cVb5oiDOdMOHD43jNB+kVZQYyVPzCZG3UeeOcoHI43p6aV8af2HPkZazc /JeCwKtb7sw6n/BXw9tvw9zHBYk7mjS36dRJLlRCsg8ZJKtbcMMuDxtPA9tetKMNcTWJUJhJqeY0 RppE9lKiSJFqk4s16wUmDW9tOxIeq5dL3ItX2FDPuMheM2/68uOt8vMRwzS/y22lV3D9A5326SM5 LTD07UcKJFH2yNVc36r85clWpB5qZ7IGDY0Hjqdpbp/yT2STht8/4wvADuNOxcxtASxcWT01dApa S8JH2DcKxSHww65xGKfn27kJZfes0L1wsnEDOZ7xzyaomNsu4frJk5XuAIp5A7db+MchfA4jD7fT JmznQJwCpWifpzBpWUkqxV1dnv2s0DisFdVOg7pbdc65EOn+jhcIgpjA8IOhFaTXMiXnS5+nftl9 TkSs+VoYWGozaPJ7RDE7j02Dij1v1+zhrPc6aTM7zJNHE8B+B0yhAMOyqPU476tZzKAAVHVuCZSP RNcBOgKquMUNfOq8Ccu/TRu4zMQqYxZtT+bmOYudNfCZ6PwSKlxqdY3ngepfWP5NSSA+buo8Fx4+ VsL6tU1N2zFLBcidVu6mzN887RvQq5ow04QkE6lKvaGGE7sw4mv/drjUc9aojA/SpoUYUVsrXN2j ED3W4Cr8B848fWcGNr+9KbA3ysHzml8eNKoIxo6n8Z6WGGnWvvlx2CTcWUOnfaBYJ380OYZ2mW+8 KKfIP4omEAYChtjB/hKG7QFyAFbe3t0jEoHL0dzNYUBAZPwC7lR9s9RGaSy7zZWtC989QlAd6r0n Tlv/NQiUUdnQOPnJdbwFTQGGmFWgUCjSSqntrdfY5yrMUfvokt0vJnYJOUFyQFuVdaKoyKlDzOod hPz0KvY3kyjJyGYxltig8DnmCNHDdragtM+tua641B0k8/dMvXXn1Wy0TWOqgVsMFS4H+yOGI/q7 ZGMKz/w0UTjLKEvieY2SpMxQUXV2R4MHk+7khCTUNTOCDd9EuJvQydvnXUsS/y2LZYd3n56EDsdP HqTSQzZ2EvwOhQy5n5qMBoobLaInvg/YyiKNzcTBG4g7luccozm9giOb271pEcf5uaeMCP9XPyXR JAWIhvGGVhZMsiwEZ0ze9P+liSTJfP4nH2xnSHpvQ87zZXovvoM3A1a1bkHzdcjPRV5OiBWFnmoT kf2D/i7Gqw0RdH/ee9IFxnk+7m2pmxE3OozqeawBJeXQywm/jx2zJKK8i20fOUggO9muh8LTV5HB nTJG0FAD6SVlO2wuxUAqiFFU2SKovXFLaSnbzFHEfCkXnM9Zduj70Tj2KpE+xn4lxKkSt97bZMgF Yr6WWU8bwaudTk7FaP4YBgHeSzzfDb0PxSNDB2S3Jth6JpmdLd6mHy6vSaVOYE1FaShlxpSTeDZc u52c411JAvwJjsQTTST6BPx1VnBG5oL6dlJWLYk/XrChE/l78ERzLNwMaqM8qsi/0+nyRk4JKpsx flwGL0Sn82hIRFJWz8KSFRw/E+SbRhvOsMMtK24ZkE9rnuaFoPN5NeUejWV5UC42Njir9whDQLNF NtVnPcRygHHSzz3OeU1JOodY5HzN/K+ZMlqAfQidzqaCwVcfNdSpaZWMTpF0e9UOGpKA8J2A/hH3 vxkRxr/ssn+pdkJQN/kuMN98uMGm56sf6B/0e7DAlyub6uO7Fr93LWG+u0AXqM0dJbIAYd+1SGSV bgz8qssW9cDYFNK88UvhigD0j43WHjf9x6VeATcV3vZBhEErViKurl3NsSRCHbHk8lrA40CUW3Mv eQ8bgyntTHzpN2CLGDvN0UMR/pLeh4n0wfXBoQd0D0X9U0ko7oezE/M2UzCmxrTcc3gCJwI8N935 arpPIbnSDI4N5rWYnn9R1d9WDqrokVo18EoIXUXwqWRmvkoA0b5/bU7TeqvD8xCQJnjzFLZxd2IJ lShXvAevI80YH13T1dMJIPH8+vmPZW7Rk9ssZKqphaiGcK18H242BF9Ek15fGlKAz5Wy+WymQgSd qbE4A0uFU8iobnkdX1lzmM0W8oss8B7cfyOoqrSCYjiI0DrSPnMcIEZUrJe/Sc+qUHo31pYpMXtR GtKGNkwsCaiqz0TIXH7bwOnBqW3RdMP6ctV7eniTxu5zJ5G2Hcw35ojKxfBKgJh6Q4lmD9C9QUKu 2x/ZHnIVM32y0WkukQ3A9smYXbXjwjTf2q0Gce0wSQiEck3rMCP+LVM8QfF4wTT1AK1qzNpEYfaF T9FTZTSgQ8Wr721Hqv8kVjwKDfpAq6skJ6zsWnzEXS8K5Y8/VFGBChzNnyIavwlV1vvfE8ERmeG+ UAzkyASTASvtBW+kyJhhRQEPQTdhaGRZX4OY8J3sxayGTgNi8oV0ErriUjtXBq6GI8lVJjqM0pw8 U42tCTVbibE9NTPsE4xHXnf7tAlez3Ozrbh6sOt4mPE4IGNDotE6g3Io54WkcqVkwvMwhPRfzddy CNV+nzyHMqVKklRYYLzmeDcZWJHrTM3hX2XdMsWUbcYk4U2iFgMqUmiNCwoVbleCJoTVRix9kiZk nZ+mDc9vAUZpEGDMoN94UghgaZW1qjQUKkgABSXfP0VETBUcgJS24NLCcifaW5fwAoShI2sOJf1A Y3fvuyKsH5d/R7HdRGQ2Yy9Bx541+KxwnyJDzlExJ9RrmdVq9Lpw6oqtj59hBX+zO7tsFnG2mheL Sw5Gacp2FwLRvF2BUZ1lXHfxelXlhxhHYUbh+5ISe/eg1IGMAJhhUY6BsfKzVCCTcpGWLpI79Eu3 YkidlTTeo7j7Qq1K1ZocWaalDO0Nr3sFWe/PwKvU3hf7fhRqVTRuibTX1pyXA4UsGCrDurye28CH yGF1eDa10TBEdWIKqtgPtQbKbbPOQh/Fz3DunvwdQjcduuVOHHwJ2G/Llqrmf6z3k831hk4wnMKs gkBmcBFJPmcsQk/FpCSJcBnLeFELfFSV0oCs62AG0zColLkwUIkxSdcJeRGjlcML71W55lsr4UTI QsEYi8bZYakxjpXulvf5BOnHx0bmNLRpJJl0fd3p/4nG/jptaKExKFWes+HGEIiONwgO0pv8aTIe l1naCquW6Ljd5xIRJJfErS/8+O+6nkhEkEj+fXylG9vcRCnRRdcGcQv1E67l204ctm0TNF8rE75q pa8GK17mLOGcfB1345ErcXuTCSwZkvB4Xw0uZleyYUYB1tzesKntNTuujS1J8LQ7wUrCByihxjoj htcrDY1OdlWI05Qxc6YEFf1ZbhkCbcSqv5aevGPJTg6Rn/0YRa5E3QosGT48VjgsfsacdaGhmWmv +WdCGNPS6Mb95Ymdzqq6jxRpUev9abcZC7jDY14sSEdJTVhrtFM0mJKV1qOcsZbXSaGEamY3X9KP LQ5BmnxNcuAxwhazV5wiULJVQm8XyfBOQU0zCosrb+zT2c7R1pNudFXCn3/T93J5OsacG8+9P96l cWvuEx+K379bWT08vvqtxoDwYLZKxxfTKpFC7RhdhwTz7YeI+E5Bl6k7QRD5624MQ7fa6I8bCaBB Cns3zyxxmWzrKPdXgud0asVTouf877V5IKeya4AcYXKbiOvkcH1v3aO8otKQSozhjAWXThh6joM8 cM2uAjZ8BSNXlBwIT1GeqP8lxTM+mybSP5YAnsAbEiLopP8e74cIY/FIJvyelQRoVJ6fzOl1EI+s igu8/DF+4O0QYZR9grXQSTewfy9LuwiiisM94jzafJgCkFTZcBdBOTx5bkIYTpwP8DipY/lU0TO/ Ln0E/YWZnRuzhfsyxxWEU61RZRCf/IuhrCIOD5MEAIG2veSNeAqg5mCIjK2sP+fTYMTEeSaQ98p6 znyQ8wVzzuH6NBkoayK1Rz8BlC18z+1jImjkf80UWEDvnpDYmft/clPWfTmrhjoHGo/QK42IOXA+ 56RKrkCdjMmTuCPTpSX7QZEyHkYKuVri0UctexHYz5GNh2RbVJUpqAbjG5MFUV3gOkI9ehsTUloP CTFuoaTAXHE0+kDfSFsRULeGpgVVvjiJ4qexSPbD2Nz6vGJQNsm1Q3BAzpDC4ENny/dE+tNp9+qA FhkC6womcoN0l6RNZlwHzwPYaLiY/xUAfAx+JgoZ7wEjoH8qB0qVO9PVZJP5irbbd3lLL/KotJG9 DTjyWh13ZLZpbeJ5xP/tEzryA1JH9HD51Mx5AySIystxl6AeX/xNBvBCvBLDfd58wnrBJP4shTA4 nK8kGPWUIde8Jhyj7sIr7Hm2VNCvIfINxVvvQSm1eo5a5hEPthrDqAsYcjgptjuaJkn1441KAjXg /veyzFxo5XTyONoTZIgkSNOP9UcfKFjUe3BXA6Opv7TYrNFgbJztJkYCzpkalxFiFBx5VVzPc3Xg pVfY0sOVAIV7jgqJMnO/ov3zkDyFs5dv2W2hNqoG6Z2ocwQ+oAVcfhUmiVUYRj9MnLEE8/DMIY/m lIEjHhIO63Vmlf4ZYq3BMJMy2T0BqNebdYopjVg73JvqbIOgmweLPvb7WA1VIrUDxucKw0A8r2ml zcXiOCFV26Z42rCm4Rxpsa+/URTZLPXAf5AyyIkJTr4u5Yul73e1ssjnp0o0RReuFdpctrySD2GL NdpzZEbGj05cinCDsNKW1wr2CR5lkZwB9MIi199oaDNFwC2bl6Oy1I5ks2qL2VtYHR9cRdMdYALT 99Yv+qOHGYzAsgdPvXA+X/WhwjoamdcrmR437HO/Ze75j/JPbHsCxPvQKcmHvo2lfeAxQ+wY7PA1 yaR4JVWfhG5kwnRM83DsRHO2elEv+S6n1Zy4Ab19sJKFZzFEBkd+gcj4uyZ8TOzAnM8NYJUwWh9w bRB8rN2/1N+t0iCvR5dHLRHzFMnJa32BZq0Hxo3Ub9G2C1fQZMe2cvKlQqhEN7jZR53lpxQn4v3w vOw0c6uHJtgg901VFyixuH18M1zxrW+EgswmTxQKKZjiIVwVYwfgOYnv+861bXIIb8Uw0lxRbL6x v8ayquSSQM23aEP7D/1tE+JX3fg04+H8Xmi3F1+e0+ylQrP0lXyxolp9pqpFgCOHJDDXOajpazNl IHJgh76tKRXmwxxleI3gsmf7CdJc8xGd7FCJVOwsiY7C0KPhI3h9HB5W6/hasNvtz8pvGVT2Y+kZ LepYob+gA8BG0O1Cr6Qq4ucEihkZlMZgJhdu1MdJRvS66NQSnHFNCVtTZdxwyNX9E1+0XYGKyGFi vl0SGKXlvsMyG2H/pEIM5XF28s3pz2HTS2oqIysNEL19eGkbfeIPM9CVM0RYyFDpurzDRCwPomfn fhxdHIIfyUdwwqV/iTodbH3VtDkXjfdfK7c4Is4cRJDv9luqfWIcHv9+Quqhu60JI5eBsLMZ5lZi C0qhMRUzVA3sco8W1JRRmPHIY1/tJZapALbpgDs5iIOAg71tuT3fyljVNzimqtQh6ZtjYSJTB6aY PVfjdBbe1bTzW+w3kL3UsJJLyZcemhdMc9R1Rd301xLekqOelK0Irf9B1D6+TN9iNplKcnq2D6e+ yr7QH7Tc8Ajq9jmDn/g8lZgzb2RbQm4DUz8vpyrRiGEEa/4CBQqWaAezk4G9IvzGGzX45JGQ9htx RlLvITqDXC+Ms0vfmqrivifVKYoKFbiYmoOgvDP3kvqfncLx0WCQwhas9MBhRl+mIuIsrcNY4E3F ASsXb/r6zaCJkdBiJgpXXVRrGxWpPGIZ4IZcvgk04Gb6QCDF+ByAqp6SLdqJClilhcFEG2pcFaoZ pDxaB2D1qzcOqBKHcgMvWN/5yD5VtPDdrj+7v0r0iG08VKNfVCVExZqWci8umQ7Yb51kaysRHEbD Rra31tAYITvQiHLm6c6ZWX5c3S1V9CqOamZwKqwZilFvodXwUo27MRo7VDY081hG5Stqrk7QoL4H eTzEbYf32idOQJoyNA093Qu3bEZlsIwyDgODFZEOCBSubP1FJHQ5C8NlAbY2I9GM0JtX5Utovi6a /YdkleLBiAse1CLEyjcg4v0pNQZKNoWAAlrLL+LVptTRzjoKmccrOjV1If10RkGqyd2Ftz7s88IL 2nh4pRcL4CfLZzBSJIeX/DVy9ik0zFVBUh/X1Be8/Y1MFuRmBXETVMEX5gkvrM/bvt9ZYYZrXqa4 DdZCh7zEDcclCEIrvzhMP+2pTSLeUu6wBrOSkmDnPoRxhSTn+UG7mO30mex0ciuT4yCRdWEE7MFF WqG9YGUBSvAqev5Rs0y0Cq0FhhoTyxMdhuPZetEbaE81xzuwlF3YlOzAO27wvIwgwwCACllLtFkZ sTpByWVGSep2lwePRgQPBt6S3amDhb32muQ5zkszsc2GeEAnfeCRP0wSZPCQxJ9ou5y2DEQHeLFE 65F/m4dm147KJm/SH3kxd9SpPHn30gvGfvOYDPITYm0AFXeinVRKiMN+jpurcX62ee5IjHVcSTg7 iWK6xnLksQ/dnI1p65VbIFcTiNg4B3C5vZE8PefjBTECmcOlg8Sd4jeS9GxoQlDExguNV9mVdTvA PsGf2NCxggOO4HX03Qyj8rDz0Yyc82irpakmk9l9kMIT6D4Zw9wBOWMUjvxnz8Y+mCIH9/1a6rgE LW3QfL824D8oG/aM7G5BGASQ2ivkQcj1g+itKmIy7FXNai3ymE8AuCiJpQTlM/6Cke17xy0l1/QQ vkBHJsLIlh7vGh2sh6LqrFkt+/GANSDADmxGiUnNznMShBPVH02nC464bpF4XHKuDuBqwXPNRzn6 4nBgqFEPu3xwiyx6FmOUiAwlTouh6QtFl2WK39fMVfTzhAUDZHpCT5aYuWQAr/X8hStTRcftAlDj c2CdD/iunFZqwccoGYLKPlaQzD/eSMr7+kY+EE8jutB0K58wtSzQ7jtFG60qAttqFFK+Yfu88okb vUEQPNRtceOGKda+qvvtK/knGgDGFHAC7ns/jFgooJT6lzNwHEW12OOS8199UKwTNNXlXCgt1Cy7 fOT3lf4W7lXlBejHSxMf+Zd8Z2naNvxz2yIijXzJoiNxm6Fn/SJ9hLCJtglhgXEvaogMkgg8wK1a dTlRHiquvuH6Q70Z+ieZ3CSyVN0WNso8ek7U6A8WEQ6X+wcei+RvZnfGaf77yIlJz415jfJnWOIT u5LQy/ydy2k+0pLuIGnFdIhDivjKWtJz3nsWppAD7Ydfc9s0850EZAJ7uUoXwAtY3BE4LZamD6Ng KYI8SM0xqT0zpZ18j56uUUIH696GuZT85w9EtPJyynXrmS5cbjZZ88x/jWSRbAlWUpu/K2OoTqDF RvDlj1oJfMNQL1QCxy6zLmORn8Lh/bYZph7hRs7JV7iYqF9jrIevrSmxWqJ1I5V6veTtZSoaYoa8 05cYheVK/w7kz6ulzoipLzBXeaeEzH0oxsW3X2x9UjSQ0r2uu15qDfHtJ7f1ekG0bN/1BsAyCOv1 xHUHJgG875BjRtME980RefKuWZjYQiwFzyFJk8b01sZo84HLzLb3q528K2OQws/tedtpqezE9ooX iTiacjylrFFyjDt02I4PwlfRpiqEPDzBezqmX2wxrZIfoZTH0ZvuDaDFLFdZsg0/92PeCW5/xtoZ xc+gsH/9mSrQRPCQVmcxwnNKmy/H/p55/CO+O+7Ryw6OqJGuXltsjMX+/w7vjZpS6FsrzlUo4ehW yX0lEGWGysSXo72uZhhyKMKaByM8fmMx6RXPDxNw60/JQsEaZE62x8VWZaTy8SxIqu3CdR29CBNO q0bIeyzZ8gwTcBEFJJvpbkTn2oaR0IGLfFjBKKXndWCC1GSLhvu6CiEReb2NAjpVlrEidGRnIAHF s88DjZJVbeiWozZGg2q3EWNOEPz8D/ZGCllvPijEIl82lcIMrTQYeTBKkpxohRDN1b0JO9f9m/SM Xms1Ld+CU/H0JZ77mrAM0qspMNgioz7uGFMPXaMsoFvOfdwZQDE2w7GpHvaPAfacGP4fMiL+p7TH qx/d6FSaQxSPaRLHoRggRP2Kbg5bC70j/+54eweOPehiqmozI3GIvsIQoVQkC6MLaxomFDnAGtGw 9N7CwBG4YUrmk4wCZGsMBRqk5DBrIbUWoSfWJwCUQIGoIeb23CoMa347PgMSqJfgv06yAx4cFZsC BYy9LI6QJUmFdyiEFj1nxM1nFXCRr9xPrdSm9iBEq8Vtjpac48B6k1+7CXI5XnvsczmOwUSdYTaD hdbXBekNk/gptms1U+2QsJrCUh8xCvY1E/jrcCRO02sbKIlXp5joujx4ZGtd+UMbLXqtWUtowcuz iGP2w3JDia3BovEerTGV8hHBCBxsMBVQMXjVOCCFl+xAgGtrzDJpFKnQGeCNnZHXa8Aopt7HExjp 17DqZR2v/TjV9n9111SCLuRZ2Lu8bKafqazBuWKtDmULX1C3lQ/tthu8uCnnAmU31Lq1R/lvV7rn SjUPeTXnX8kY0F+RZ1fcCyQ+lgZHExsY+3Mimxb2yOEvkxRKPNzUR6rE8oS2UKBY0DuV93eWnehE GDnRpR8hxsetJCx1qp1XdJbiCHE/Q5qojWa0ViBkLGj/j7vNUbZrC8aVchubsJg/cRotljJpmlFC s5caFOQumUWhWLP17LUz/if0l6GHURq00UKtzBId+0AqiE9R1+RDagAzjB775YoyVweFREHIzsQi Pf0SEIbsQ2yNgzRFuVXmufmK6xtV//CPGm5NAV19TodeRiRYUr5DHPLV2sZWTRbtx21JF/g3curS zd9tnwa9FHJ27sVQJE70F0tE+26yumKYgu+ygzbgNiY7xffw+MNaXVggE0w4WQhFuYoJNrgsasy5 gWfiiLur7HK01kqSj3HTzR5VVVxHNgmL30ET6blD0+JZgK0kMESyybZmblAygylNRZTPnoUNJ3Cd OvaQNQLvnZMR4l41GfiuM8Aad6M7H6RMvqttiVkLHs8hWxEoxlyQ+0cb1P5NJLdxp/SD9qpfBeoC gJNOivwbew8K7zw0/lO03nNEgYiMif2e7SK6Mf70z9aRd3N5PnDjVSQQYX1kHffZri2l4EnZnPoB LCO3EtnInNp468sr/LFEIDo3nHVSX/PvRbcKRKJguEZgxmUYvU4nWV9Mvh/qFAQTlQM/GcG2sOml 4xzZGwxPZ0Ksymlh6oe1KH2ZXDfFk2uaI8ID4V0XWQjCosOtExNWUIFM58+CXpw5RLBEzEyUfUJh w094jm1feeuTe7UfrNRlnrn271RkbNpk+9MFqVLoOUn4ZqYUURtO8D1bgYbuWKs4d+JOVIHcoVeg 9S1VDgADXxFdnaB+o1dtmipxHSPWw27b4CEMS3glSInw89h1KkZ1WUqjild5M673GXRDgHaO0oru ti5kZ6G8S59M+sFS8cOun/f+MHB4jGeB/VuFnvlZnGvaGCSYBqgg7nxjq5fEVLIifuVk1ynJVmXL oW7HHDeP95ZFQX5nae8mK+scM2GnL5OzrrwRmAAYz/kc/a6f1KGioxhT2K3WYWYDuoDJBIONCXJ6 8YaiH4zny6DdxMHas/ixUC6q8PHHgH0xyG1fyPyd7lgInzmSwN6FkzlIkfrTc8sXfG+JgEhW7oGN uWh+qfPjIUcaVr1DhilJOsDjSASmcvkvOrhc+/QmSBOMsHOelfGXv07c+D/ob3fvQwU/CEyWBVEI V1PkshSByMbrlDRogiBSSnOfuInq0U09gFYLyEzvgXU1/puHS9g4FQcobKJyy7qi9TIRARfeyY2M Oz5sl8j+w/fErXcbEsZ1fKQb9BJChuF4s83dbWvLi9bFb8S4CeOa9UGKh1bnfPmO5mUZ099JFg1/ dKhl1IXw90iSV2KtpjGAP9jHa+9ceSpbpx5xUm5rOi2mzKUArgjMMju7zOLrlRSKKLGAONsY2CrA sCBvkLkD61jhUMf61+vmFOe7R8FqnhGKbo/uoZFEOn3stt4wBOgRZS2BRp9Lc/QPk5yXmsCrjkJN 3K0RuDILGWpXVIUcFRqse2itxOw0D2KQczTL3vV2T9wIkGRgvY5St2fYnVow5EdHPEN3t1Jjq0i6 y8kZ5JuDQnrXChaU/z2crTDRzD4qkKDg/7Pp+gP7Uhr8nueWFEukLAiFNdNimyMoEaLUP0x8ozcm ZiFFVuoUWFOoCeo8sG82+ZvYSPJG+BLUbgISslKzYfFO0+VgruA6dGaEOkiu+Fv21TUohkGZhHIZ 7hHBhEeTJZHO6iKpxt7Ybc1YVv31noelKDMHkfAJqYEQhVJVpG3zs6lOrMOIABWqbrAMwnMGAPTA wprXGnAw7+PdYFkl2OLn3CEf0WRG4Y+1bJg+c3tb4cF8Xj6YLh2Dce9Vr69jW0+hUEngApLGUbz3 /r14/g5nooeEWAn0jhIzXgBl6Ys0nrQr2pp5GIMj7NpAWV551DDm6k3rsdZV9Dtm2NEQO8ZRaUoJ 2LAGWW0wW7TQDpE+Wh70UQ6xM18rXnyo5/H5sf0ewMghBs7xG7gc14pcKlwipxpI4qjCdw4FHa9P jsxrArG2KAInl/n0v0A5PrwAK5MO0IDXSWn2j8W8JzHzrKqGTKsyDFy1vPvncqF/FntOvHExM1mq SA7NmrHDt37ltX0/ZOn2KF/4YdXqxEFV6peUX+3IZWfqe1xNMbY4QkJEfjo1CYd0TeouzcjqS450 JBqIHtwdszzGkqFFQIPqy6xQYXuDcbpjbP+STGvYuhGFX9rLmU+BO5LJa/LlfETcONx4+q9FPDVz lqpzAHAV0ccV1u6ZfcAsmP+vCvE9FJVTLCRYlzTxyiE2aCBzKFN6rYGjvLYKcjJQJYWijHGyyOz3 C92Usx/Ms7Z4l5SOFULQ4VPWw93/RQJnt2BxxwpfnTX7H5z9x5TEk7ROyWKZQ2nSojajbNwTYCxA 3M7tkMylqD9TrSWq+ltsUDlZQadH7sPLJI5cBXeWzVWo+bmiANfq59PpVMc469mEqx4BzqE0FEzR TKOGH/qVLE2ymtu/kLp4cf/TN8PFc+D7Qj7ZQ07nOMVdaSgwXYzSyUAR2xq4UntTmNx5AijvFE1y XQ9WPMgTQZlPce7SB9h+JwWjdrJMQoXNbpdrHV3Hbr7P1Od2MJ4hu5dSVf20+lcfSOmEzxRJ/9QB qmjBEu5Jfd3KOtwmWE1ROtrnHHp8JEIm+6hh82Py2bV4wANvlM5wc2MIshK6Vlti94SbUs2qYGFg jI/VXTlpAsfn9If6I+/d2/gjbwI2b4U2E1sl4LqmsHlj8eiQFXV06L+oKyQ2jOMgqVv9OJpea7TA BGo0DjqZ2RZ9VFehnggSScpe3GkkCk7YEcGQliidALbAFaDAN/SX6xzwTXsF/AIut6++M3XmAMoH iRPjzBL8KxW7fXQyQYjgdEen1pyhpPI2+PFWXxoXJSQ1X5EJbFC3bm6bhS8L4R1NJ5xbBOa7TAGu JvKyTVrK86ih9zG+PQcjjCEB6oO29wAt7mqolk5keV7bOrxJY/DlQfNFZmYbO7Ch0UOlfBTBUZqY TGnXgiutOadNfAcdbRnN6C8vGQlPXFhR3d1O43FC29YZJrniqbX58sxxNl4tFRmCuOBevW3Yxx0C JSzYB67oIhsNcobu5FFgsKz89kcOpyIfltfQu1p9s6EG7JolyjLcUtxR21XPdaZSWJkBjf8XEVnb 6vpK/MrMWFI++/ZZOhxBW00Wd/4pyBfT2bj9KcM2tphvddU3gGBG8MP8HVUdW1G2q8DNjEzeKGsH iyT/DkcQQPBy09z8SYbcLCM6I5dtFZp1TCCxnHNLC7YaFcg2c4n/rQztkQZmu3iL+2Tplv4+CcdY vfVvEkavoaIFUbSsd174u4qcEfESnaN0M/qGzQ7E+ad/MOuZsgCa1Rk4/F9jknn9Cn8/QrsXwhEr 0+AEMgGzci/5tqXNIHXkbp5nDyqfoRRyK5iaoWgcybo8nuNdNWi6EsDmkYmjtR/WX2kyOvOflFWB SSVl1yzSPNPYLp7snRICDY68GDbTkVxIxeOZFhsW5EeXpgg/M6RK6VGt8GtnhanovEVXPP5WB5F4 vTOJAr8Eu9rpVLajHUesQ5xegm4HnsfP2Kg8U9VlE2hfqVPRksFK9+nck+YeiLB+r+50ObtSExdI Ed+4AZu/bKMveh2wjb50Wkza1gQzbetlmzlJaV3nw7XB5G3GW36WnDYIjlxIHdWfq7dLCKEgpvQ6 /+pP6LBSHZD87Zk6I3BVzPDjrEAaM3/IVm2sXGiQpwDh7RFh9vvp9wfHy3OCSJkvgwxOh5HPMKeW 6W0LGoARFocUC0tBwQ/6BFWrzD0U0l9dbgoayhsN7dAqHBcM0yZPeimQtOYkvToBDA5+hj94gSng roiQyrVI21tzG3dXd+kkyG54bqKCu5ArnkCgRARPpv2qQVwpAy5BtCbDV/Ml0HovIRreCXGA4/4v yMWNlh/ieVrM473/DEi8CpKl1aAHDnQR/EJNBz4HYYWkeKWAsnu6/o7iH0b1RDVPvmyWZXvQ6pPR L5GpdjE0BOWdvpkr62vaDfEJOaacqGexCFCfb528j4g60CghNvFfo3dwaLJ1YLKX/jH1s3L0UvOa uc6dCln2EOWMYZZLNrPKiYQswEoX1q6NHhlCb1FKCO7HK605dIpgy87p2HTfjGMY2B6cZLGIM78I GUZYLUXfcspPWqsA6qm4BXvSqdzAKDuz4gxzx750BD0kWIxCSOkeKTSBZFmT5pkCagj5Yytvb/FF aJUPPAYgLpAWjEeFFk9yF35CBgLQT/JxJ+PDh0ezPfaTn5uEWvAfqeB6bQ2THU29pZARFRtrTxj8 eQkZFUM06rJKcZzSCQIXfE2a+Xsuoc5oq/h4svL2kVA8KyA9cEgRQgqy9UO/vVF/4tfxwZroeqf/ rHhloYL4Ix0BtQ3M3kSR/7v23ig54Blt8sS+AHVUF+NAhULx3bA56Ce9aGq1CxnXcrbHb8Md6sKU POI3xvHU7Q5SyO1SwSpQ8QNS3Fzew8SdN7dxf97A1EV9AF4jFd0I+KX6eIZaDYd92Z6fnYy33rT2 H/UurK53Nl96G7a2IIHaqKjEilJ2jfpzMw5JFA4itacp1RY/z5wn2lYCgnklBr3sikHa9qnshFhG ycXlQIuLYB09DKXBjqaIOanNcRkFb3rjNh8Bk1otPnuspHsPSI1SWvjw/8NKzNTT2QPKi7IFx6O0 gfFiYu+xQC7xB6ofUDUgLNhwqIbgHkLn5zgrmwSPhn5GLzINJH9DfPg+fdlnadhplRJgRk6pynJh F3w+zU1aiw+Zk/q7LiOlMkpn3SXFLRprOrMnocKa2Vyl9xTAdHbTbtWiBBr94Lnp+7IUEa6b3jln zK3fT+K5jL3yS6IydriJ75HFZxgy2qRxyKcXd59BPrarGpI0KECZgIQ56ICXXEss/Od/jaojrAZx ainqs1RDPg/4+KaBJQo/HxaDoPFaXBX0psaZcmNLvbe+p8lX2nBwIkKlpyOTi95NFQcnxaHMruUg 36Iu/hDZkHks+e2yFOcUWy6cihvxackQxnsyEEYsmsgoYYwTbD4bz5Bkc0lwvEIPkIex9Nyyw3i8 fr+k6kZaMVV9n9KqOrDYDsfd+AhkGu1hFS0S0ZC6P12Ha1p4/75UkmKI6M0EToWSa3txktL7VHmp /ULfkMu2lTybxVtiSDBsuDLkPjy9R5QSLSOEWsHRoH4FaiK16gFctkJMIbWPjTYTiWHuEplqsQCi 931he5jgDurlfGF8tjqkaF3j7xA38erRQ59ssK4AWqg746eYg3ckE8qT4XRoTmxViF5BlwwliLw+ vH5kdwt6cQXpEhTp9jC33DuLGenaS+2JQCn5jGMVeAtDiCz7jkPtTRAU5Ch4fEU2OYvkqOvhv2sN A0ymQy3fvmDgY3vh1PnZXU72OOPBPimFw+w6LQ8SI0YFXgwcqSP2ACNW/hg90gm+rS0vEi/pyilj iTdMdP1cqC5q+Ljvbv3gmnZOowbq+OmeBEGLJvtX5aGH+bAa/3ZcNI0PZQ5o6HZs9CUPrd+008Vu rGhYXOozhT8QbtOr0+5F5+6GsPYHg9+P8XeFkZduyfnWrMvjfiAxhfnLLm8PY5p/xEG3lJnIy59R 67w7GcEw/gpcDJfVtwjf+vUNIYrGFcr5O5HoF+3R6szOpTSP0NFWugX70zhBJZY0jhfF1FSaMW76 wqGnZRzQgdIFRM/3QaiMbxoTzys/JVuBLlI4H2aPW6+MTB+a5dVxB0ZyrL3JYtcghKs1YehAaQnP LHTDkLdJE5LVidnvCN+9TmGRLQsH08vcmotrO2jbgVZl+5QrHDqrF/w6jbHXIOcMQmbG4jioLVP8 nlefHraileZN61a7lkX06gkRBOmn5F7M05oGrGDAkctNO1Amfqp1vvCJqaJkQw7a7QhavjUWDjZo Fsx7llJYYGYoc5pKgMwhxerijUrUCW84TfJtbis9IFHPRcLN81+GJ5uvlwF6JtYfIn6St93a1pYs LETBFy6bqOVHAb6mffpa4jkQY31bS//8AfO6i1Fp4x8rZv47kbpOlBTfkslam5oLo5jJozs1iS6+ tKwXloi2Iwsl+MkNxb1ADsP0v+gioNciNH2wyof2UU4/coLBMdsoFBfNBkiwV2Z/TVTA9g1q+VSv pyK18jjYlxpvJAMcsrD3PKv76Hf++qZUBtEQsYk+Q0nvJ1x4eZInmv1/jjy/SFnTnYkHx84Glmuw BVxt1Dl1XBDQ+4m8CvFh07hXmn0QVFGOzmSWpFSA5jKi6ugjXDsTwH63WWSGDqjd+kYfnXAhK5ez GoeqGODNc4DdkqYZmdTZ6fWBnB1srruWgFSrnYNQK7ubPw3wHDsywNX+Si8fnue8/wRJG/vDIksg j+oYzNoOe5VuQ9/z7GIThscMONEKJVXSanoX/6SmKv5FZPnSNTVYMrDC3FHr/8Ndi6+UzhNvPa+5 l7OP/e5RO/gsHJmxb88wdcgpkxEzTcYy7BNSzkB0YAwMNX8MyxxGInHiF53hqJgDNAjCNXqQlvKH 7JDkM5RNx/kSyeXSG0dlnPmt58KXqKm05k07Q4fYZH7XUY8WR3RdalRrF4Ig3KPuq+rkbPYDOuTW f7/+8X8uUeku7MPhRxBmJ8clwkltW8d6aQaFG3cdxbbKdQNesACiwsVjuK3qjgP14UQSkOWG/ylG 82HUjnx9hZbnADWuJwHu1rCpI/cTo/P6Cn0qqpatAjP/SAM6u+7RzpinI4GM0t7eyazuW6HEolVU 6R3/Pv/SY2HEYLb+I9u855W6N3Mm/sgy5jYMjMnr8/ev48FIyvvmizVDkSlNQw2HnpeZv8ovMf8t 3F/MxxEoMNd4k0LH4O9KLZjv+RbSmr5yfdRXOBGb/Cqzz+0mYMGlFC+iqaRG6RtMbUu7Vwq3UpOR gXx2YDb6X0rgn0gVzht+M1kYP6KYcvgHEJsi/V4kiUy5hGPd/qNhR+fukTjAxNuDEvLXGyd1XuKG YOkee5YzF3h9NpmPR++NI2G+tXKLramWrupD24UtcFw+zgO2aQj2i05YH3F/0XhmDpibcXvpEDbO tF0jVppz7wJBxgHiOuY5hdB4hwfmnQO6eU6A3v894eWybQSeD8Htl7zA0OwE1bljMnPlNbHqVQGz EfypRFiILH9oi7Y4tDku2Re2mNxH4V2JLL162KIqxHpLlcI2r3jaeLFxoLVAr9F8dqd1yGu47jlX ZQRXAwvEhlVxGj0jHl6mG3XtrkEfBpfk1yHFDoehnAeOvnTLWjeojcfjQW59ds4hyRlfUlM/IV2d K3SQ2ZU08VQWZBMdXaFwMkyFIQHGkRYcB6h8l5RL0tfL3oJIMbs9l3APfN7AczJZMaPBJD+MEtgU Shoi626ASXw8ou76eRqGubNNHx44ydXxiLoVXsR/3p4JzkFl6MKoWHQe4lbjBpIOvvYBvvN5UIG+ 37WWpic7TQ3i8fyyFOyuEK2wHguSOucUtgzTuQgGtE0NXotmV0bRcdDfwTDsXFc/9pLAqNbTWH7J wOpJZ/Qz643sbaqTCLzvWCJ/neGwhGJdchpU3mBHpm6vlOUZPHVbahltEgnjYakEmI2tQTIcLDcS 9zv8h8pUy27FjSd7BunaHyo9NcudjWf3qNtIoFDPy8SXf53kvYibReqtGGcwIBdIfwASIlJ7x3JR 1Qb8ob5w4y+n0NCB2J//0zmzS3wNW5OnWCPv3jCYEMSXFfWIsUnbJ2NbGl2H/K9eQ0dTZncv2W76 d+DCqTZ8pD+LXeMTPzOnuqyU8kIVeDV4/Ajdww7LBv44/CMlMCykS6QB6wR8nGI6B0Tkg18Etgnu +XTJwzcsAe9ucDGS/ShDqmugm7n3//hXkMa5EiBNzMCNrqXxlc/i9ig5iktCLBQv1nmXR4yaRr4r DFpdVc9OU250gOuIoo8bKbLzAN//U74voemeZddKtIrg4Gojo+v/Uo/K/cAwpAJJolQaLe9TRfuS vDboWM1usZbPctBUxYZSwnqZ1apxazZDt7U1xFjHayMJLDsfkVAbgdd3HuYNd1kgK6DlUPjoONKo sKitQv26r2GedwV279Jt5TYpj+3S12AJQDtemIxJA3Jzg5kU85q8eU8a/71sFhiUMUs7K58BzcU6 bmTOIEo6hu8xeAKJg1RKmGZjlBspW7QgYz4scE9eTf3yKKvBTzs7G+9FcgwRR85yafCLXxGOUcyo HOC3YJyAdzoSpmsKX08eSh55g/HD/fTGzk/H8SAWt0OM5PtOYq+mNDMT1YH62aGtETUTm3jFWy75 q4qnR5NXIN3Q/4MoHDbsG70k2I3RE4EvdfXmS3LD/gE2tJ6s8jZ+Um4g4WFtCPQobFYszeVdOGoI niWBIpz7Yed/z8yjaUngI9e4Fa6QExd1czQdoFec5mts4H2sfahaJEX4fZbdhZ5QXqwC/zJpZlOz P9wZPQNg8jRW8iMcsO4lsvzsHDaJDy+xPnvix7MyS1LUAjWYF4Sg12MPoBEnKRP5nCTiq8WFDH4B 2oZdZre2XFVSdiNhrX5YEaKnS2ld/WGaPt1iVm6Tff2p7AecbflQxJUCdCYrJFHcLF2Ex4mgI0hQ GRniJH89WpAPbMDyu4fv8W8JOD2M1phAEfKdZD9qElqfcg2d0WJBaDlZCZp2BHlbSudtHnvkFXBK Iq6Yv9Zi33ZZhD48bsWz9jlTivedzvfHLpZ2MUDdUwTdJ4UwkeuAgLHulXTavJ0qRBLmZx49evTz Z2ydDlhV4jWa59KBWwOmDtqoCq7CCC1ZB9AM1fgkTWuM5vqjEuXQh5qh/HrU5tpI9o6gllkT7TrE +ltdWCqJrILzAHpALNkavehDCxuIVWqOk5n0pBUKkYQSFJap1SztOVzwcy1BasBuINoIadoCVxDu OFpO3jW3r1ExfG1m1tc1E4jkdEcaq4nEroR05Xy/XslH657jcmkY5YK0tQGsflaQ/4Q/MlgKJIf1 oAbwrHTtbhKgjwyAxSGqs3ZsE9JtsGXviS5nUrJKct9zCHEH3LcvaLw2NtNDVYIqyIFzJH1lLhfo QS9pzwF2L5CX3Irgl9aRp1sOv4QUxF/29nVO0qNvOzlNRBAuFqvJdQcmUPIdzmbtKDAuBjxGerM0 kKitGOIO3Jh53mRkeY3Tgcyhi5275BvwBVRNx4SyM5AQvOxrjLdJvfEgI89N/0rm2DGF/UTzvckq spJh9Vhsk4w83MXTxT2u4jkl0sqBmBZuEZ+UdUNiZjHiDnuhLPmMmOhUBWbi4tYBJNOC4aPi2rx8 nUx0UZ9PMETtXPlEydTy3YOMqcR9LEyBbB0kT0rrgC+eI2Mwi4fX2qdLW25X85dgGtxR0AHdWtTX +JICrdbg0wFHjA6LcN51klJ/pxOOtzXz+jcYvKuYozdu8iPTkUeU89PRqn+c+WWueFvYSsOn1XRo OqNsplLZcEXMqWhC3xU86zi8TcD1DvqbIF2U4udrljzZpXsSTt5ZgWxJLd/qMLh++pVpR6IHLhNM b/JD5zOF4yOYBu0DQv/Jfz4/pwnj2Bo84hYiTGHPhJjZNY3qO2RqJ2GXf2QQTtIOJ7AJTIoJ2O5Q 0Wemhs53AAii3dANiKAGaBKmDQ9SqjIF7LTE1uQ/pA+czlcfyvzAT85VbUNBw1+mhPE09AKKBK9k OeCI3Rfczs3QxHzQDvUqYPKs4u32Zs+2I1UgNKEE4tBbsCD4od501Oti3JBsyrpWgQzQuMhaYZLQ v9JcWY7D01hCdbkI9+/qy+TwvEKZrg59/bykC8ZeTj4c6LO3kqOBewIjq/lErV0M1EtwzGZgCuAh xJdzToHQyVHieRAogBWT/1iVFjVULWyzuZ7KSocLOjnDsZSOIl4ysc5pAkLvLhavKRUF/e2x8TFj +Qq7dwBCpq22KBHK5LlgdP/ZaI/qyd9vo9nHgS8tgVq7n0MxDGb6Oicg+UD/FjVsNuGea7I+UMYY /OMvQngY7IxKi/tJ5XswRcm1YHTFFaFR/G+fC9i/FWr46c5IsOJau+0txk95ZNAm4ERpAafIcCbd PustrO4Eo1VjkKvUzDwDB7T/acr7BsRYHyE2P78kvERVC+L0gVGlhuUFPXWMwEE9OV/ZNis+7Z8r /404eqmmjc/5kmutzCLshn+Zkwzfv+Sc705bgeMFdW1xgBNW895TwaHlzRVwoIZdPhIPeEiZDsY8 A1jEaA1OkesmEuvNbmc5hsbgKLSDTJzL2C7fie8FI91KC8CM+Lgl85OayeOcMV27OfGhtNmdwsUp KJiQ8c2qdiYaf+1sE0ErQM2DFijJIs2xDgL7ezWO0J3zPz1tFv57N1Ui5BabPseGGUKl5YweOMWF nJE4bX4CY0+A/ksuyBXaLa4zJQx1hRbd7t/bg7qYjvyURobpE8uoIsv7V0Mh7Zz/ufARxKvvYlxz tu6nKntxa0OUsHGKL9SkLPEPi7z9wZVsmRdVjlkYbe/ddE8XQKKwD0j/iEaFMbdbFlD6CV5C5cIj k0QWhHAj1OKDM76pYbugxaCB/D10/GuWHOY3qCns0OZxVIQ4Y5vtLmk/cVGVAp57eeHNnZeWaJZZ GmI0X74eRv7H0Q3SeWP5MQK3v3NwY5st2FAJEIO8jlGjkDVbekO/3VE3s/rIAitQek2g98OSfIrR Ro0O+Vyy8W3mEl/MXI1FXQbGb6XQ1uhOlcy6/E32Gz59icf/P50rc88iD1RKioeiiOzSapyn+7Ub bL+3nE688/DibTOg33+pY1id4l+jOGY9aFqn/+sXI5/o7hilAm4cMPL4ns7LigOrwr8GBW1lnVpD ESF85GWkayycYub2Dq5w/qSyBISdqvoSrictu2Rxe+9BDoOjKQ6w//na8mkLDwUmErByC3hYYKgN 6zL8wy61mTpaCQ5DYqFd5Dezrccck5R2xQUUgSiC2B0gMBx2fZNwSCaJAy9OvEQQ21d/XJnUaYWU VP6vWIzZWhYpo3eIhes4v1tVxmBwu4PYmr6c2hHB8uBzVitOprzdJ5mly2bmIZnvzYZXek3ZhkIy SSLJ1GMaN2FylX0+oVDNZ6MQ04ZgxDpLqZMvYVAGoTW0Ryr+PBtlDl6MpB0qTXQ7t3wv8h55P9/D fO+dyThAo5IEePKJfdVAUE100ZOBl6VcbZ5mfHztD8L/UgQNAOQoYn5NoAkBHmWJBKfnQrzWktGa aWfNW43EvzyAJjvUYkkTuSEYJHpYiiNN5t2JxgqbWWr6Swu4wKWSa7DQH5va0cBu1+CCTXMrnJZ6 5flS8f97YGhB57/H3BTKHv5EZq53RZAAfe5Grt9djvZIeCZ/a932Y1JVbqiW8DoUcc7VoyLVBKMC MgNzl+2PeEmF/hCxUngJqB9B0Kb7Qvplxe6slmlKJbJEjYn5FSO19j3baVa/jCeZczu82VSxQS2x v0abomVQ+KcR2hAZSXVFfTP6SwxdRUmXzQtG95ZLnIdqytqWcStEAD74mGyWskBPJWJUU+MiTJuf iyqyGYrb7Z3xXUx+dcvEF7g9zKB5FT/35sFQfB9bPcqZ+Pd53PG03haxwA/dvVHQ6JLjKwbK+BzR fJii5l8oSmzJl1NB6gJ5V7NFD9acTTUXA9sWZjdS1x9jLj6AyngY/RKQCmlwgyDddi3O/ktCBGmJ vnx2IHluCzIB7UglOz1QbIw+ULLUXmlhIxqmIsS1RGLfmjkkt0EqfdxOCScg16/CX0fFuoYNVhRq DpozwNbNO40t25051K9mFh6bRl/bG/Bc18ZuqFm8yC//W8fODQd7Y+R1JvNoOf3PWEpgP4ToB5tI nKuQlc/i9idzV/sCFVhkCX6XCuUIcpjpxxZMb9Rc8Jj1C/zrMWgs8dkF1iQTWr/9ODLIbwfiKp4/ nBpD2xzeJYnP7kadeH3pUDVNNrrZR6wWDTJIQ1Hp+2L3Ad98VDJ2hEPsLMdNaOxoostfXjcqOB6X hJshpxG+uHNDl0or9mhEV51aoDmYeelGaW3zkQx2ZkWCvMmNGxGP+2S72uAxPyJmOyOF/14BM3/C hgl0nRGXlq1CmdSe7BXY2bHi8nJoz5+wpVkJ7pK59rwYprf9BxYxDkaKNbkQgMJwaVgnQs1QxiKX cx2DVc5Yz/WwPV8JhtfzQPi7rysLMdpihni8ryo8FQwtrgqlGVhfSAIn6ItSiGZco31h+d2/z24/ 143dhThPBg/iDtyIEvs4+8/V0AQ43oO5A7QhyiB7NocLNPl0aqmSqfLM82zFAkb1DuKzvoV7/avw QyiWZ/70yBHoSLSiksahSTIBWSd8RfNR0QIDC2VxTFjQjOTkaBUIhLtQYDwVrFSsdiqyqqjr9RNx 1HcWDb/ueYyR2aKNQsbtBnahqpJpG1r/d3U6871+he1HxBdZMpM9L7o0ggfQ82G+hOCcvwWOP3Pz iJrt/oxL1gwOsYUp8wecgmevjeSkG+aD06IoNspMvr/9qUXez71iFTOIpMfxpeqBh6gVvhOvjNrR 3wl/obu6tOTbn35y7uNofR7i7Wf7DLRyrjxi1YmfwPeFed425FCrzhTeZmm7b2ByCP4J0r5HJsrP Hp3Xl6iViOFAF13tpkOPXVKyFi9xyS0Rm+0qPE55O7FPPxicd2nn7bxIx/Gmt3NjTRNGpYtW6JBz s8Ka9Wv1mofPgd0JAvJGj80rHGQ+rEuZtG4XTUPjkScnWr90CW7aRELJ2RLjs/bfH/pbtbDZVLVc xIlrdN/q7FMw085axLobS7IrImgxO325+f82Jy7xD8IDoZSZ6BD3w3EbnLZK1s8pX4aOYAz81t/l tmgSEKltColPdsg8DZMdUDrUN2nuaqocH3Nzt38KhMMNEKbgOEIgkzYPkyWQal6VaDWpTZc7jbxd Fj/VXIweWfKlR/Bhy1b7ltYVfBEP09MgcmNmE1tE3tvuR7pPOKjHCBF9AeqMBzm9DqnUIuIxqW+5 UmsVLhLsP3lAI6CZ3Ajxtj4zV1EiMaDApRI2VOzozu8tf9WebjHPuRz7sPdQWYOWtJRopK1bTtp9 /duEeK0579g0Pyl55XH1bl04BUjbSOXdWAyxnLd4ugxo0dznXYx1WEUSGL1XO2qdjfKNu7aUvO1T Jlzso+7e6gFEnQYlJz73DZP6+M1w1tI1HSk4IyT1LOnRs9zAdeulgVgAwBvpQG2BzqTzod6QvXOD IZ3UqUj58JTWUMkh7pQzTAiVf/hcKdm/QQHpZf90QYHN125BpQp1Bq7d1yEokAOEyxSbDHxC/YJT +nSO7E9a0gAiFJ8QH8Ilck4kE7pGy2nfnbHiicizpzYqDmOchALjmV/qyErzEyVUV/vVRA3FYcys YOzaq5kQgmthblcWkNZ7GNj8WfjjNGP6YhT77eQqqcbPQiZ1PN3bAXIXwgbhUE/Q3bTA1T4EFLda F6KyMHEBfo5tOPQPhG82TS+N/+X+gWm/KBPnaf4KZi/UanB8ZoEf45lCNnzYaGp2CAqQ0HiTYn41 b3H0ATmoc8FE7EvYP4xujt7iWbWKHNHHMEmxiYZ5D2XG9MeJ1uL2UFZQljY6Cfja6/cJSPTSWhGS dhmBQu/Y+KlBBwurbsAjWPJpdP1KZj7/iqz/AZJJRT0+dTYlus2MpGzkXPru7dB0HBvXySPyPQkt Ftj+Qe5Rlgqvxn5mEmqe/QhNeKZPmOShfFFXGBs93yIuEF4Zik7exfZMGZzoS+Tl4Bxn2qDoSYZm 4WxqPD4WV+LuyJVpLEH95W1z8HXihQNCn0R1B/DpFFohZvQT6SJfb4vWmqaTdU4UJYzgf25newU3 2L+omfXxUsgxb3Bh0LlU35MaUqhXErYEYyylLPvhpFn671YK+3V9+wGr/+GCymn0QVJ+z4DN1uiX LA/luLuH+Ch2jq96JKA0IzpDOlZzXpEsD+L1EQ0S0tRYso2EH0Y+mQiAfy+qYpoqDhHxxc8dPuRZ +fi1xqjCeObP1C0Njm712Vt6/wsyJBPDaEdQsIo6NHet8au9YVvPV7AVOlOvey9w3YVQFUwBf9Dp iYbnKRn7Cwb01XMk6IjikxJhxfo2mKFRKlK1EL4yhOdhWLDE7MWKcmVK9m5Njqa0/21zJqQzXxR2 ADY8DPTKGFMKrLJVNunaMaeNu5WxA18LYy70bvZdGX1Ggf8pxxDF+fnvuV03BAKTHFfDS63S4CPM DoLeSkKHptQ8A74F91ZVwfmJg+EPThYHP3xOElTBLcMEPko50guWGVnJBXs+/UK8JRnrJnIAN3ir gfqK3FsolRzcas91XqQdcbBjfBItOc2BDgRwfLHOKKaHea15IrQ56S8/Q4aM37/SL+vXYEZs+2pO NRHi70fIH2SH5civqnkrE0+aotKwd0t278B9WOJjQIRi7UC1ANwZoZJNibLaKPfgQH6SZG7EAYyt qNI1njk5lJDYydp1raBbeAZx2rhKjHDHAHBtcAcWpBzArjq1jWCDqrk2+IsUhNeeFDykE69K4r1t chZRAxViN6BbC5D/zNwHRFccdow5l5soHEFC2tSi6rlnu3tlqV/7Fkcm8+m1zRrjqK5vBqcboNou u/ahGBNsbnnFTGn0NltV+I2hh0ykWk2DFEn0MFR9P5hnBCcHRyJc/1zHTYJkxqPYABrQhsyKWPnl qhtUILOKVqnZvdXXLrxoVa01dmt8rBcMDtsFMRl4CTCPDyaY0P5IBi++kEDTyIbEed4wq3fNXJ/W /vktGZv+d/jjziJBIAggdPc3gU/kwGDF6QPmNz8WrxSygYOFdOYu6HxCpzguGNBXKUqWr7O9vigD 8nLIHdgRu/F+Nuq6zWxsOL7Dy1TcfdIiiGCj9zXoMjdBrU+dYSYHiOq5XrPmKNBUTGduclThk6Vq Me6Uiq43cUeWVTfxEggK39IazZJpTkhTdr2S5ajP23tMh4T/a0Ex/udQYy98mrF7jeSdPP55JdKD Or3l3pZRFZpoyj36c35Tk26qp6VTyg7I7l93r5G1h4wdR4HYHZ4UhRHmDjGham2D/d321WsX2k4R xIj8EgjL/Vd+opaHg0SvU2Ux6vaaoBvKk5hZjUAP/udKlVXIiOiaPEQ7A/UyhZWjGdbNUfmTORqM ftAVGRI+hpH5f4FY7FmTdYJ3gCRI98ms8vbevFsEvnMKedKZYTMoxFhnkNaXehHv+rEO1OyOaBzD OapeVF1f4ipsX4Xr4HwPc4DDWTs9ksE/Sa9qjvnBz27kmAM55lvas1YVZbDYHQB7Xg33dZG2rQMF Ufo2rdEV0kGNSBKsufzwByYdtdZjz58q1Gw85UeSUqYsXj52TG9y9odkqj2vTnCq7ag+X1N65jjH G8B58pM7JiSJklvFGw/9YR2Nzm+HeqlwdmSkaJyP2ooNzht5MmgAPeHzAqwgXT+V4lpLUZ/bKYPF JzrLcPt9+ROrk9JdDSxlw5hngN5r/mEEMBM+4e7FOK2+Se5532BQ4NnpPfP7hdGsTbiM08Kde4tG kwu/Lsjfr1ICAxWdDpGOxDULm2sLG/Um2YNyvDrvme3sCBTMflzranzG06LQGYGZk/7bnIbrcFwk u+6vEgZ1fV2pP+A9Y1Z8MuUIYGMd1N1N94RDIaA8cSlTq31JPQuacLey/htbCg0IUfBJ4T+P8/bz pp8tB64eJGi5VMlC0agm9VhG+q2xrOhh2V7H7kAeiwdWIJTqzdXvk+u3Vlfn/xgpyGumJOys7xLF fnajkoUWrmHQuMmjFTpr2wtFXNRuAm2igAQzQYvmPcFRr7whmDtf9yO50nmYmjt1dpgSNu4oGDvb hlM/sp/T12mblrmQk/bnOF5NIvfIZnZrTM22FJM59GSEpLbv1kbmmFy5v7+HSdRzKuAbhVXotG3P OA8RTwKGrt5FnIlyisOC/nKtgns8WH4NmXK7blLRwzgxPI2fmG8UBK10WCSXZ/8JxAZ7lgkjKm1B L4Ia/a0wVyTrqlFF04mTJlKMziQsyTLJA6RUi1rmRTObzoCZcEmtseI5cDPZVN5t/eUEV7VfzJX+ MBqIV4uFbOOG48m4l6TFayJpLa045Ii+a+Jx6/HrVhodlPB3qomK1mWE5DT0eEB7JWbFZI4UXeHp Q9Fvv2Km48dkzuJjRCYwC3iVP474I60TdjWhZ+GdrulA/diBw/gnMPAmO/sKVNSDeHcdxjazNpBX vovc1z8DQtG7ut2fsEe6l/EG5C1cP1PMOK92bbVgQXiRbXq+T71BE+usS86tw/BFrC7HhAcl+X0O ZCNXjZMW5ojXulFJJhU/aBHWOLQn7rv7U76z7CBvzMUUamFGOCmN6ZD1FbOQhzDlhV+/9GLN5ChB KNeLfoo5+jBrxj5JiOyt8xJmPODxQ7urCVnnMhqXe6G8h3Jkm6lop0mvupcxqB8ziymwEfO43Bi3 kcPht4WcODuJiyQ5DRs+EfEXLdWgEu1EeX+DjIMyStMGbt1BzCCBIF9KEvEwDEkZgAvC/BlwporP vCb9o/95Tj02SjcQ+nP2TtzzeykmbpAyObuWWfdn0BfxkJNQMrLl2tyvfH+66xzCWGCPQoNu+qsl bBt0PB5BalUuucPbhxFjnKxSk1b19kmWb5f1smScDzeilpMXyNs0hImhIZOoTz1EZPy4+t1QKbAg HtH4esiOnszLR+Ne25FfzcOJZUy9v4vFXRJ13kIdiJQMYwWcCeFaHQxmcVZhgpexF7Z7bytQ1mMP odRaPKSweHvkF+pKoS0tSHKNXYAfBgg1pHvFAVaFTRZl8jsSNQn9A+eT89OQcXzGTP7VF7E/GcpY zByo83c3CGRk/eALtYOLw9lj2AtrtWqHL0UUYyqfiRQruMm730i7DJkYu6oidb8lLSV7jCWsVtrV zsr+I3OO9K1y/0X+UnO2rVbucX1yiHHfm3bow9ETr1iyOosekK6s2ry2lP+NzyMMaC7v7f74F75Z x8ICuoLp+B2t/AC0X4yh4xazdAx59GEpJeX20c9GpqNfuEXrYcYuddo67U1zBde7AMVEhZH1wMqh WotOleeP6XGfyqMSMqIYS4GC3w4vBxm0rchlOXW16NfCNF6NCSVQXcncjgax7w8oK9JK56EITTY7 KL8Rc+SlC4ipkL5UOoYNU+I2wwVeMvUg6oFk4MvoISmvpvHY+rKOXO/Gy1kL5B70gEWyU/UYKtNx 2euAtWQ0cjYm07JNYTNhUK1iHDBw8FkY8FgaHkpu9uEx0pubiCzt8I87VMOzhIt0ZK/a/slIbsyt DPPGZiuLqRZcbYq82+wirGnmv9NGM4P0BU8irH+9NuUkLU96F4x9KnIUvG/bLL9PATInDokcpcI9 1VIPOS/mF3RYmTm9x8SMav8bz23wSeCirWt2XV+3oYv6JAI+gq9GYvzOKwtmFCc5Z1ZvyGn2G9vp c3yAS2vuAYYQTVcBoeAWXcXP5KJH0ue/o3EcgHNZ3AHtiF97UjYzr9xR7GBRaLTjtgBLMtUPel+K iM8O6dm6+Vvaqvd4vVbWz9JyfXLQPVileOwj2UnmmDADo8h4PgiUJFv4lNqPbUqNnwVUQLwOj4+3 imfcd4qoDLf1lTCrXOeZKo3mkdYAnPEA39S39BYo7Yj9YMEviG4/5HU1zl0LFaIkzWpakV0hr+S/ o1ejYWa7kXVhUzQPULUTguAFu8P3jOQxbIQ1Gl4EMVFdkSyRjiym88uzPJelB7fCOwG7j5cQIP1V MMqDao39DymFJcWE9Wr5g1rN6BC+VSM7BBwJPOhEqHdP3dHW/+EzKyiqVbOFFLsNvmmrJvWerKTz K0EKk/BC6e5HzgQYrNCDgjeWmOx0GYjCN2OEV+j4KJUHFrjboyHF1RAwWiBwSJ+kneyaOTEIN3N2 LxUK3lLGJdrY+A+dlba1e8b1I/BohO2cbhmwpDf8y/mkI1HjLc8aebPAhzDYbfBXa/CxV4f1Gds4 o6TPEFO+hSQ7a9mp+rk0P9H/mIcJihSFg58Aa/0JTRwn8AP5C86FwlVfms+AAjQioLQH6qBr6M80 mm/YAXiXhUPWVMxT+ehlTjtY+R2J9ht2NeSU3HHq3MXBwprZVJxRf0qHZ1gefU1/ob98wqMa1VEC Ttz3uSpYfHxQB1F6yfYwJ2wEcE9g89hJAGGT4a9tEKXWw+f0tKkJRICW1yjrp0/NGZqTRvMXPS0r Nc1tjS2/i6qm3ve8gRQphh4L8Q/0mDBHvcuQz/LDmkC7u3v8mqs0ylNgX32cAUnutU5acs02vKZA H81vayRJQOOGsWu0RFYNu9Q5tQDotq/iudhW6ZptygJjdX/IlhE79SElE6MJDBjLL01Un1MT/vug ZAkFDoaF6qXigNcVu/+oAmK1/5lziWVqIATxx9ugvOkzFzRX5fkK2+ObvzETgAdEzQyyNQOeSNfz 1nWbydLILZg9QYDLbxTmyCF4c0kNkCoh6SBpeHf3RJvolZbv8AfUUHTzV6V98sqL+hrn/EcUTlwY CP/pfjUO7nhmy2IfTgJR2mpY2rdYyli3VAlnuP8dSuKTanJs3bsAkLRBf8wLnK8uSJAQLHyoI0r5 iin6BV5NT3xliYUJ8mcvQxCHvbA8+6Vhbz8vQOQwyxKy5Swltr7Bm7RxpOMG3jihXcJljyXDNJlg nEE0goDtnjyMUZK6hHq843zlfUYZnTCiAg6DZs9o9NdU4GqZZivUtJvafISO3Sei6PIMjnwWrLFi /uZkp3ZeEG8TDEHipVF+VYrHHOzs3R70AKTCIn6/HUOQtUKj1QAj7mIyKMO9UxJKmFsf+FWytk4P xiX9lhORz6hOTuKO1Ie5iEIXH4QDrM+b2osQhTdslZs/t7RPe/9mvljEUPIyt/eRgLIfNvtW1zi7 KBYpbpFA09X99t6j83rDdkjbAG0A/E+zszOXT8GcVvyGgY2ZR4pt54ac6/RdPHgTadPR1IuxsbWU mIH1XdXJTe0KmgHbR6uy1i2WRfU5etGUQe7cSmW2vCZ6TsMglKhgjVx9AhghjK3GpDUD7zwA6qSJ 5I8qZs9zH94QyB2ORyhQr0mUCNfHaSZIUlmr0gowNUzi1bGyg+EuyydtD5WJPPxr93MbxQtl5lvM enGKeSRpoIrPSsIBRyOEiJS1Psech1n2xc8g6zvfqcSPbyH85fbESvV+s/RiZF0ONgNFmJyhlUUi Mb5VhQItDJxcJSrgB6pLQfWUBLGO+4wpK83uZkf5b5EI+VtrSm+WOoInkL9AKojPNwEpb10OvKje NmUw/1AUpWJo88skPCv2gtE2lQFe/sARodTACono3Ek0V2q6RVwtE8OrwOKh9NtAU+jx7eTBg/PW 1KYHNlpE9UoCoQU5aKBnZr9x8c+ObortvEls5z3Vn7UhXZbIZfqAp8Rp4qC0HIylU6UAzNvqfh8g knW3S+VnLzd6AtehVF7NO9TBqPWYwDT44Ox0zkh5ACJR5AUiRmszf25f8IjnSVA/LXF2Dy0fvepL ZuFsyA1Q0frcX3VkUW+S0fRXMfUlG1aQwNiCLwa3W2MStR5NHo9QCtL4rn7JYAAcUm2BKuVd5/R2 gpkYlN1YJcr2IJ/+0bFMtuu89QWR+uKUVDX3GJ74OOLRS+LM+/gV6QFQDO5ke707g+VyUH6Rn8wQ 5sWmDoMIQ2T8zoSeDJ405i10dQfI1g6U+bgxMtTOcKQJaxtNm2hpZLh5sxiTsR7UqTbJcS9jA8aw 8zwA6s+qN9XM8pIcqCdqbCE0W4U+EuRzOthJwxnyD761FOfhytNEGYVfR+/iCrdiWFneJeNotjTX tDUsEr+Tr8CT1kpwIDt5B9qOzqx1KILgQ7mCTDB/fYjtQC8i12nRCQsM+6R4o21HXtiGu2r7+hkE wTzx+IZfw1yqRxz5Zvl8ZBcG1yWKFp9sSwHQuzq+9PEgNLUfTCoinfxN/t6RsnfuGJCClNl9XGzn Qriygmdj8GjXdoYGilqDfP6uRQMMcZ9+VUcwixtP1OuvCNqtrk6u6syNoyVOba53sWwUO+SoUkrN VrUyNLRkgSes3zRbLY2+MbLZiBClfEcmYWzLtoAAHaaB3COsbY/XtIIUJ80Qo6ss7tAvbL/IDLP1 /9dscHqVdVy+WDUfvgiLgHMXY1fsL/VK2s0UjAs7qSXNaBFhkARSh73M5nO0r0cXq4ysj3D6/7Z5 PBzMkc4TfhOoqAKze9Ack7K2Ih8P4os/bHY31ag/epXxdAy2u0SZGO+9Er/mEGTbI4MbaP8vV1CK VhKzPRglF7pFnExm6ypAScjRuXU0rjWKPxqY6eCXvK/KwyOrq/+H9K9pEyXrJAAzaJ0xzaqnfwDv OH7lccEER2M7ItxTfpeWdGS4K8Sg2rNPk4LWBy3SKgysWA/Oqkb3GG4wRMUigaHh+zUHuabmAX37 ePMGsCdQe4IntNboIJAni8GBYdpjZJ5twvUSYhthEG+tOw37Bhi3is5AdCAFVjOJm+VkWuJMx/t2 29RtBInPYSx1t+GUL2nB9M9zoGiYqq85W7nHfigumtmu/T1xZ0skYtA+Th8DNINNX5ZCsaDFNFJN th660u4NqKvShwQx7C2vWBimaD0sSpBaY9seqK4d25VHXpVqS0WnVSROM1CVrGm+SyRlcPXAEgcU C84LS9bUOZV20tWfj4CwUJX0BGk9EQBXPjjP3WEDQpg2OzTFw5ufTXdvF8jN45K8VzA6goDW9vF7 OjsZnOBbTL58pZNtrnzkOyVeH/AkPtdzZvmwIIZcbXkLzuMyjNlm1dXpd6ntLBIN1RwQCEMsJMwY 40YW7OECf9qQmpQs7XT+ghAVB1O0NyhI5W9jJEPxDIMsK96C+biGr/lRHqWpC2thDmRT3e04hylE cdsxlPUOgeiXZF8M8CUDEguPJHcQI3G5+bthMtAmS+mPTmW66qYjQfoUbNsH6i2VgGbxm/k3m3D3 rjPzrTY+gintHM1HctDSKBVFhD0x3VbUNjwl/g7z8/y67IljEVLodfgx5391h7Vf98w5I/2a9O6H SXDMoZa3mWCgbg5gyyirV/RFYR//D3NG24sVq76t+0YJ/v7+J83wW0p1kS8Zs2Gsfo9kEICftmlO HRtdBaqWwWmvnHJ1UT2ubKf4AncR1zJhP3zzO3MBLZrWM+VkVSPZWpmG5EVCp4hZtPFrercXN4ZN VPdAqkhLT+g8VWc4si9iD9sE8TSNZ/5eL+X8OJ50COIJQ7INxjqsRUBqgn+p8j3lcjuLVC9Xp2uF fsSeXk40QTPSNrNUchutkmyW0U5JW/c7LBj9fsZYYbLt2DVBNuCjCfgop7G36D9fhuDboHRChVc0 T9SnQ9K4sqLhfSZnBGYG9WG21xifvLczKSlFuxhZ9Bki1Uno9+/uQdjKhQ9O3NOQkM7Yj4tKN+n7 1bCnIxriQloGimU5F8+5JBEHYvu71sBu5+sGt92+9y5CszDxCVMC6t0gxGOIVhh8mhO9uzyL3AN+ SyuCvMN17/MrzXosk5q/97fOZIX9dIqxvJ5OkD/QhIsdOU5XDk6jMYVkkkoP/16352TZ/wxt5m9D cyHIcvQt+41WOTefsmWsY9UwriVozTJe58YI6Is8trYA6bW5AmAypkc7LLa1rkJ9uSrMTfELmt0b FonMcgtXcJgviKPiWXNZTsn9LIcxAGg8w0b0XnTqi4v/MqN5mVN/pqZidn+DZR7GT2BSDXOZTNB8 Uz9IPErHVOUBOxsqe4T/MY7gIjwNltZ/w9thNU4+YLgBQGFIoPdlEkkvMIF5SQVgqIksqhYxEcoN 8S6heJVIqV7BQTCED37ox1NK7Xci0T1YJ7z8uEWanw5YsaaOnizY7SKPWPYekQwVBHVpvRqsiSng I19EdtEn6lIqhzEwL4bo7+JdcdyU47HHFHBOoOXjgkrHhHAD4PrIE3r8STM2Kd5dGOzYJ8JXbGHX czMuPvjRu3x4bCmdpXFG7dRwz3g9K4laxQmtC9cpNCUupIip6n6+o5/yihH2B6RVag2wKeH2EVlo Cq5VrV5Chlyobe9y9RLmR+cdyS+29rKJvoaHDN0f6Mgp7u0lGisb6lXUxUFi7qsydy74eNiaWyzb dMScbVsjMNNi8EmLFriwj8Ym5sKX53d2xHBuDjyDYNke6DoF9fgybGS+TfP72t6MKIFIWCif3VYh mqZ4etjIpDDwmElL3r8XhChd9XeqIdEomMVdrcSQ+tg6qIpvsmssJoBkcch7ChrwIhEqR1uEwbUT h3W3pCIMwGsK9jY93p8TbfGEFnZaCSvnCrnLKPLbd4fPTyKE3jvg0BDWmcsjEsDXLQNRcLzzrUpE 4Ulkqqk/+/zyl1nMk0+4K91cuzY+hM4+IvT3r8Y/ZncCPdcUq7Z4jE/xSRsXZm7hWDaZBs2qFwVN 8gt2pZC++1z1OrLDUuvnlmM1t6sBgNjVo9EN9dkoxq4ph+DHyaEDyMq1GsbFlWxZAi710JqnQh9s Z5cHAjlO0gqzF5qmns63DOJ/54AiXOon0+EtX5vtZUUUjkbIcLA6Shu3gY5Fl23GVGa4EuI0Udqo 0wsKH8GjZ4moXADJXL5xGYQL3jK8qOlqh0Z2b8wbSteSGdGumo69jHM7UYhxydqM12/igzzaCiZr rbG0RT/EQaRM+svKL8/8ytkXzFmJp1kylQsNytKWvejvM/RSSjzwhGiYCTS9oWnaQ/cKpwpYM/gQ CaEU7k9/a9HpFuMGpDnW/gRJy2XIFTIDk1WpqtDa2vbGqV3/JWuUdILllf12ao75ZrGE+vOgy/1F /Xm4eT9y6VZb2xlGZvJWrtX2XfIar0TYL0FPY0T7rZUd6Gtxv102QWX6Fuc9ROTosU1SnKpVV85r IT9JhFyTfM4WDuyqMGOvivBc0k8eH9/nekhkAeuw3AV3CVSXxuvSOie7W3i+q4XB1LrH7fFGwOE+ xSp9Kcf4AP7szssiC+fE5p9r24qlEGZiyPswziF/aqV9W7Z6hEG/uIOQz1C10L+9fnQk6IDzrXOe +8AwQn2h0aKOTep8gpG2SYPU4Oc5UyV0Nfo5VjJ8/KWOFnVWKfg4Ue+kHAthEJENjy/pMIyqHFkG zkXfSpVkml85e1H+A8TN5KdaWHDVsJAdrNvndRKRhuJTOFVOWnaLsh0E0+ibRYl+PDxJAXr0tCs8 Vh8+BpUVAJUio10a7oq73s421Zh8l5al7CQABObgQrR+XTb6v+p/OOceh0Cpgysr0kWI6GU0dP4v pdV/+USVI7woW5QY/2Wm9xRWeNJ2uUSFaTkJ6uFL6UeHImK5rAEVA9hvfj3pHGFJLeVU6zALjOQ2 qQGZZZY5lfE/VIy3gaHN8wq7gm6Y5JEOwEzjEqds6LeUNz34QD9h13KxB9ECsbzG8z6LQChCqbP+ IGYvVli0r6V8lkegHsyB3Jk+KoUWjBPidIAlJxWismRxkwDHF1KhIyCqKUu3omf/7/Awa50Dp/er MNwMQYINOFg1kbkZcnP8tQ/vGYTpCPY07l40AgPOO5/7H1+0ZNUGxxKsj3b9Qk+n38ojhaVNIKKA Jk/wPja1wAfb4+KJdnzAit7qRuHTlPIcgh89H0kheCIiRWZ5BK4c5S8zJ5SV6Zu21SB+oOSm7wP2 EN9ke6u6EOphUBQc1rEIuYRX9ri8ZeuG8AAJHXoaWbLGaVT51MvED+nkkv6KrvbfwioNNs6JNV5E lXYYy7Ht+eERdHhcjNMDQY+Djq0fyiBxO/9xQX/68XyTH2fzcrLwYskfxBff2y1YMvJt+4AmjOQG gZ/niANxRe4SxKi5oLU5WbW6h7tXn6L7dM0aeyzMkKm0DzZKvnDt8dJcRkdyGDgIibSqHQYshVvy DtogE40JlKHheRLlS7fAxxAFg7fOo8ZJJU6mH6M2uII6uL2yLam7PPcqaZFzBed/IhKssEdhLS8D wtKRcP+zTWfOJ3h19UWUjGPTizuShJjwXzc0NVa6UZUowUphoOTijASA5RKCu3apD2lhch2/kCK9 2541sfG6dauxLCanhQyXBLdnNKKGfRAa/okXLikOi0yfNUSYNWFBl68zRt1P3FEnlo0VUncPbkUH dVSlxWv+SlNP5+IBOHpzRhRcs6J9iitdSc8eda2VWYutO0RMEBHbkW2bi2uHPsxIQv1wxNtSI8MN oVAoaIUL4uIhBLV5gmCwbleKmwyUwVWg9kj0dytCAvCRu+ys3Y+fHKTnDvVnzN9dwlLf/JYT1KYx JLRs9sG3VQhG80fuxevuGCDXA448On2ZJNCB4hka6jYhnj8VitBvg7HjvVdQQ7Jss8kRyDG5hHkd PI0ZqdEtOW+mR0wue3P+u7kId7z6BIz0M0qiLjuvYw/w/PFbngje5dZznDVQRuqjfxozHt3NYKcP 6r0sgIJS6wzyiNcn+E/ZBg/nF8URyYXHnIwk3bssCaGO+D1ETsG+lKiYiGyh7G0fw63UlAA+vMlt eoKlw8io6bwJI8yzE8WSvZJ+TXCXNqXhUTfOPvThXBBeUHeWzcAzuRfwafUwrtcMhufMumlYGNQN vpZ5yxYNLjvu3RgDDT9FuFeASPvM71ZsToMD7pSgXcsSIXEFA983P6gg1mUGHnWkrODLkkFdQUeL IoXbYY3sy/f/OYtZrqWXBbEFxVfgqVpH7aRGPymgGZJ6tG0HFqF4Mf4kOIGoVae59aAYJBJtl74p 8Hgm7tbyqPHM4XFiY9Vd191FLfjEFj0Pfn7aNnULIjq5i4JhVykDjVZSPbOCu/nLN3gvHjbzJ7Jt sy208QAUpT/xqcEWboV5E4epwaxpf8DYK037gkU5OSyaJKc2vsAFEYslBRoiMNdy7M1y0XVWjwzH aI359fkT/T4UR6M6hQJc5H39eE1zTejOP32MjJLAgKlg5YcFXiazQr9Im9de3gaowb4dQ6+ZoXmA B+//eTNeDKFzT+lEEoogkZsVGN6YuprNo/MK2f0Yo5y5cmlq0/ak9BRoFguWECGESgHq++L6odSk A4rWkhyaZhTz69oHhNoAK3CWOvNuq6GUsU+Bo3PKPvpL39ydRGtlRGrsH9Wlrui+jvPMtCnTwVPh ZaegOfImgdareR4C8miUGgQx5hg80WpH9oIMwxDVaX7DPDG2rBWdO5pSzyqdCHn0E6J+MNNdxT4Q AP332AxBpHZk7b0lei1YvMUtFs2B566avFLGId9rcwPGxgoypha0IhJOCiS7NTj+DsAWOqrIg9jJ G2QuNzaIUmOAJc+sgqdBWDE9xbFAgLUHpPdZs03xn/8hi4+t9lEcWPMviEeBeJhqmVNXR5GD1jwg IFSKBM1iufS8HPM16e3KKXN1ixnOT7/HtRJ30CBVZaeUkUTN4U3cWeMKzdoe4ZqZopziycsiNEqn Ss6yWbFuHyPbK1v+wky9eF//pZD5hRVDVbQje+MqzlnalqHN8Nyz14XqmXk5TJD9/08K9aVU3/Kz 4dER7W4mQk+Netu7ubcsj3kEpjfaqfUyS8RWd9uqZY5smI4JoYXO49Z5dKNJYnK+Uxmo6mKVmCor 8ajii0EvKGRqz4P0f+2h7fJfCyoWTwU3JgKiU1DtCJqzG5mdNU3xZMlJYDxr9c5DQ9v9cCoAW4by mxl/45s5HOYo9jHopnHL4hD6jshBKryrTBowOEqTp2AGoWG1K2vW0daRxcG+CYWsOddtqUHOmHem m7zBlOI3FxJmXf868wgTmRWcOqzEfqh29uENsapV9AqoPhlNseGptoVlOTd+JT5WzKK99GKgOLLZ EqUTQfwg9QR+dH8qesxInLmHtNblv8jXOy+mvUcFD72I+nC//KW4sIl/qrnFo2ioQKo9bbUPIRT0 QWgHcwNESTLWUNdcPHBhaQLyRt2bLtNGJkJgEsgskziJj7sS8le1E6NxfMuD+KvCnaZiwh/3y4pn wZdb0RVXaQQO+KE1pvS/kUDQk0QQ22RodHxmcM5PWsG5p26mcW6DWcQoqWWVilBLazjjLGx7dACZ YJL1whFA27VElVjEgH4s4Pgw/MhM0j1gdCYPFY7f6aBcIQEg8fAZXDeG5mgLSSkgRDFD0INhEEt5 8DOw/CEL3qQWvvbeLVvdxman/vg50+2eaHSEGO7Rb30lF2y59FWc3817DF68my6L1auchMbDraeI FyDqeUAo+QSfBGsljoN+ZNYJHevnADkWujoC8dOB5am4aDcwfP+ig024KxKq5AzqxsoFsMwn4ife SV60VmHGesD7h9tal5uvfh8BT2l6oEHwNY3CDcpCORER3uc+Gud6ZvJfQhniKpf768K0H5UqAieQ FgBiAJ1AEj/czeq82hxkY56KkhpZYDCfXGrNseIf4iJWL2EZApYg23RuH4lwkjZKcSdrDcoeQV0u IYaQ9JJYQ8ViH7wnoqC4kcgM2crV26U4Su9weDv6vOIhkDA3vkeSj6WDtYfbJXjMHWDHQPys068P 6lw9m3y093+eJDa9E02a8LyG0UfhtLpW+qf8QUZR56YV0JgJkSDPizbw0XJcT8OWhqCWar9ddiWt zCB/T9T6gZ2Td4jH3I2ogm6xBpWkvUeiVurSB4q1eYAoNxYnVv2HJrvSMbBL8vXp/g3aNhBcGCId kBwSF4JNaWPPeD4Xy0WQJ6oO6bg1Du3BMz1PsR6NirmdVGV6iuCicKs07i4rP3s4eMpfldHAvpV6 8UXpmflAIRb0qv8Bg57dlUuWIpJb+3Z6sgBE1yGuwKLkLqq6Y21ejMLOBh9V5OEnk3AFTH54dAG/ 2Ms2yZc2KPYAODUURa4jsO7PJdCwmHmN28b25EuOlL0B/Z6htvp++5GEQLuYFqFym6QwE3I8T7xJ k1CdR9WAN5JABDjPs9ldkX98pIu2QhLbN3gw0G2KpxjIbO0uSv/35plmqITAaCMG37wVsZv+iXuM 9ha3Y5vI1FLg+IroMHj8tl/7scm+e56GVsVFh+Eyu+bfaCEUyeSYwivcuSjknquJ2UAmyAYTbvPi +dxGE9/204tr6/S6lrJM1FdHRoZKSohENTAkjHszf/OjdEGzZRG0FAXEKNqSO+siPYtxL1dv6c78 zPOSEHW2mFINyetIUQXMnTM0q1kTe5EsKmBSYOwjJFTV/vaGw8JOjti4X9hlzoHhPtG0Ydu+QPWG BpnkRCNEVE9Ho/6OZ+nBAFlVxP7V6QH9bIpA2hblgx5apAC51j3jaimNtL4cjuBmRZhfh370i+GC Ifxzi53CZgOMj+glZK7n6Yh2IUqqWFc4TuasqhYElIl36lLR63B8OgXWwYUAmVgCufoJ6bMMy8KH uSQcshkNYTdchrVHGXnsIMBTQe1Mmdflp43IChB0kH8RkN34wp+xVuPnHTq+bkRxx4ibhCLuWlKH dzWXCX8UFv+yMlFQTwA2qA0EPlgO/CdteWLPENtUcnf5PC48UNen1UkR3jzCMUmSy/v72hGOl8nn hIRMAZtwGuIRc5RctedW6YBoMtbosBw+KPKwJ+hsSAK20vB4O6AlJiCP3nK5yfIjrhRot8xOwXcf CzMhMTpVvW64o3WCMX7TBX+5roQVFzZ9781+Y/3K3wOPBMhk7gVrodHdgJRJuwBAM3Otv0cmAieQ jQgyvZX/Qif7yRvXAnZIcdaXxLBZ0xGRhhZOofc7tIPCFAklyi3+u+RWSOBMwq7WaUYIf21ivl51 7ibXmrElgthtaZNVO8ZQYA5DlIEcz9lJOLnSQjgzXYbgwZMZWySAeXhNZ6jjb6PZngTAReqgVEl3 RZMS887/FZEjXwAcBPHrwifqEs0KS2lCasrBlAFRIzk5B85izRRzTEPZYJy82xLZk05OAZbN6z1r Iqoxr0gvSyi0fbmAJl9ZJrCmGMrJ2cQz0nTWInnbJl7p2fIV3Fdkf6qeUTGg/7T3mt3k2gEd1qu3 6pZi4iEP3DocjhUFAPmIxX5ir4IaX4yNPVpwWh6S6kN7xvwr3M5K4cygHd7yQyFZRCu6UbzwQ5VD xefZTsMz1kZXUzWb/6Dw2nA7ko3FIESQVmRvlG7xkt2w/4w27w4b4hE15dN9hFM28zbEKE0oV4GH ugNrcpV6jSN9VpptghMGbLthWri+hELDdr8qp4yVTR+Auc4aW/1Su03UmURtUA7y+Grkdap0+GXv /gvzmK/Y2svua9aaHBDwheNb+wQCr6MDYR9/Cjhme9Q/XlAjSgLrgNTsxXjui599/0YL9IkdxBqA FZU6P4iZ8EvJ2KBwOz2J5rqvrd8XNsCyyhwolv3lt+9s7Mjvn7ICoY97BLg6anWpXGdeTO9CZ9+b fm0MewfbSNfRsmH3lCXgQBpy86G7zxbzYTBGmuRX8GNYZX0mKllZ1vFZH3iE8Pb8kH2eheuSbT6Y ydBYjMH6DHfUavnzieTWCO5MzovkmwseLxBJMy9XSJZD9GeN61YJRoXas7bZc0gBcRQx+gg8adrp MUsj6O7f5O2arR9efnh7hqWese/6qwQ2IlxHpjfRf44+3pvkpAz7HfEkMOMV7twYrRUJ+YxUWXjN IqeZkUUyMMfaWiiNLPQzl6nU4PnSGjVbj6ahkMRl+H9kLeJRIvEMBDMNXZjhn9Fzr7hpPoIBZli9 KeoUjVW7lDhMo1uBrY4FPw+8GiptuVJAIELULoqp5Wt8MEQEDzA+NeGdJMcF56z8724FnllWigLI U7TxNaa4Xf3u2FmW51YckXqU7KK/7teS77kuFIgoKe2JA9yHzJYIWVJhoPy/fuQM6fyAPG3Rsgl4 sgW8hBXpj55OqxGoLMcMeFZrHLSxnZQOhVAGVhOOhc66xE20/NFpqsuSjp8CEuYu8udyHCOXI4un tOVHBcvqRFAa51p1pBuqGxAUPZoBg+Vd3xZpyOoHBJqkhW+3VoplYBh3lcVSadMvm1A8SNdY7Mty bJUDOqtARCXbjJMwjYhRlCzre6o4EpZYxA3btpoH46zT1U6OCOcvgNqFtp2mq5XF4T7wPHuse0tU 2ECJun4PT8wk2usCPapRz+ybgCHBdlqU/1BXlYwQ/dBqagcNIHwpgLju8QSnrYkheY11lcdhD0Jl wyoVn8fvC0oJrZOFvA8fnApIuFPnO2Tdise1d+3tRW4noBaD7TSXMogg6qZE5iqCNjNuYYqGGM7c pCXdFjzQFcrqiVR7kPrvJkVU72SL86aACS3wWUPPEm0Hl5W+S4Rce69LaaehF6hysR/xxMmAZ4T/ L4ZynBQ93GqdB5SJ4yp31+EJ/1zyTjcS37Jjwy7YZifzA7ayeo7hHj2phj93Umu4x48HySM+r9R8 X7XigTc5xYq8gnJbwxSeT0drdLsOT6qxF58bJhkxOuhBGjEpuMYTyNycaxUZA9oLfl6KDOnFB/8m wIkNYmI8Qrm/Cz5T7f5Arkf8pEjVSw4Y2Ek5J4DXl0uk4+ycOKYlZ3M6YSqAT9FUsRBYzml9OTfY Pgi9lbyEWqtxwM2hmXkAS0JeXeXnOZrkr56Pslmi2WS8o8fziiz6uwHIczTrtSCPSP2O23jaotmL XocF4WuaRtpqRvunEvWoiepCTOtMCiT6M0lPI60tlEiFHP3Sr4vkZbkMVNH/D1Pa3QmUI+jGUXCR JT5G2+XgjDQ/73dNpYT1x24jRAzma4gO4WDRAbwAoJ6ywmmtxBIOsN8xTjc81Ty1zlwIVuNTO/J8 poesamkR08T8N3MR7Hq3cG9M/040Gzi2jBARcx3uy64OwzPco3+P1rsSQ+F+TirBj/smzMtWhWt8 sOiTOTeCaOS5wcST9P6tsuuZMmbQ2QjYP5RJAiG+VHDYtFzvI7//w9+C6drC1zvGSM7L4yTrd3Yj /5Piu+IHryVz8V/CUH+gphQAVNxJE3wuRG+x4geLHIpwAkpQhIurjbNo9EDx8u+evG8JvIUPFSaR +2Acs1y55lSyrDGLios/74bOtJGJDJV1WXVYi4yH2s3pKUgq+6eTvC7MdWmEM64tMQM8YGudnLM1 C7XBrlWD9pYwPfc1ufX/WpZAyNJ/leDu2SqAkwqrlVivSVOINEMnUjFvtDPNDrwKO/4FFCOEOqrT fxDKKJAmG0wjiVuVI7C668NzDV86GkiyHnL2VmX0STzO+JjthKQ3zPCD8Zvq7lwXJXp5O7xSm9gk +dk24prezLP25cthqKLonsiwtUlhf8IY0bcMsxtg4k7a9v9pwDdleAwTDEevmvc21X/rMixA6u+I yzipyOxtRGCeFEx8ntW5cLufruA1RJ/gsiIqikiRAjqbhhCTvqALYjYCrR7hFPhp4BAyPBIWWA4S lSBSwETR98RriUJVAv4KSkAIBNuAe7UO0m2beOkv9s8JB32dEEow4y0XCqwGdCrhEo2D1xNJBiDn 1DWGg7BrKKI9YH0inlEHPyRowtC6pSvEeRQ9BOpiy2hoRE7KQUEu+K7K4zeQMvbN4Jl7hrkonJWb +aliCybStgkwGXGXMqeAGVG/cRcsj4cbU+tfyDBSGnaBd3um9lk3i+igak12oTapoTcvDjlPPxE4 lDN7udqYfWAWXqufYF5Tl3UQ5Iay7Dxo0IiXYibZb79v9BUD8i0PVFBKLA07IeKGqGP2kCUYV8q2 iycrEDpf+SKqB8ehWanD4B4ZoJVcUmSN2MhRRoXEjyNkd0rnIMJ1eYH2fS+iGjDt74aPl5P+gLuv XaPeCZH9ZK/pnFvD7zNHEGYF1tS/wd/DuYPHqtFkwAwohiZcBn/ZX2WA++6vpuiBJVcK1u+frq2f hCNmAGLZ6N1cf8sNTwNyrlZFI6Yd4Wp++sd8epF0l7s1UdHldS74LOgD6xCtzkQb3QtDpIw1UHn7 CM2PveuRtV7871meEnk7xtWXWd/n09hmt60U6LPeIDYwnBNdSmmIO5Lvxs+dk8fXRjb4HhtZSOjd AoYHTsNmi3Yk03pvTlv29NombYpNwvz/ISR3B/Lpo3+1Gn75sIqooOBuw+YevoZ1LpDQZT1I2ykb F3zFMb7SNFld1uyoGG7hhY72GA0nbha7wayNU+CpJBsOANIQSl6m9Zl+93bhtKoY8bokgrYal4Np 5LDQ38E1u9KtzEGrA5m5QGBzTJ5XPfIsJkzolXrYbgB9SuTEtMkLv+9Rz+G0kZUmBhVBwwOuLdDd eW3iOcR3PFpzVevlOJJZeoHt/xwUGxakNlsFI3Nas4SDHSYTKltPj1hHaq6KUsdqhsrWxurrou7t 8oopFgOINeol14godhmPosTQwjY0NXcUG8OkHaRijDBUbFNeLXmwJkoJ5NefV3pvKmV+k0b9V1RK dWk/6KORShtsiOYp+dyUrmzk5K3vbmkH2VpYLLzywNT1IefCDpU8mqVvt7L+sGJ67h81saybPLie VYOX7Q9UvTm1F5S8QBnM2WQOADsYWMKVEpP2a3dlFzSeaKOu6mMtjeoEQZtVhp89Q+pxF70XmXq2 BgXvrx05r1N07UHEeQ0Yfk2lF5Rbx0xDB7RomsgKIaZVD7Xlkqn12xyDbVF+5U6jAz7cDL3yoPBP lxcd2JEmYF8lJ/U2XbZW7Yte6siG/nh3ziUqynEhPqfOgFvVEUFMhbLeeJZE/tLi5EfHbJl0KC7a +WinUtULx8mu/ehWSjDXwrNOwcO4hkVEOtAlbARawJo3GtNymR5nOT60z/yf8BqZa/gdKixDa4YP kDaJaQOL0kq2xocbGa2HPUeexg+oTCtj1gdh9dX7sSiReTbSkZOmFbRTjoUjg+ty8HHZLMlqEtH1 Fl7cOryvtjmlDQd5khJ1owuiLVz/+YoJWCBC+P+tWiSXKoUNZ+IT4DLpsgsRdZs3P3uhpGz2/W5+ uUtsCJkG0x4owFLrAVB1Y/x1GBO/Bwq173Bwthvj2uyk0+JsiJ9Az5MbXVceuznVopYabst7+JBG mkVDqhv5dfB+4AM8R6Q93RJxx+joTYtIFCPe0PTTyd5bMaKPQ1xlUfNG/tbjkuAFONoyoK3wQlXl K4Pop6Wd4NKVzCX1Zte54MSMXoTHSM2Svo1hQUywJx8OEdCIdPja+0hqM8yImGUugIqlwOc/P8b+ mfVp0TPxAPAWP1m+qXM6nfymH5N6k9rUff3FGlD5eYP/6z9d4Vb1tx+Hm73+di26a8s+lMt2G3GG pizyMMCVTXLzOv7KqfoSqSZ65hrBcyxTY+N0XHh3VLW3n5T5WflUDkRdj1zVb+P9jWdP2NTl2NsU VZIPBKdnHPY8/t47y5bRC5YWRd8fOiEy3daO6eBn8OocRvC5+RxvEQOg9TqP2ZygKsnPnpGJzE0B 5+Z4CTfuGk7RdBkm4nPCgWO1VDxKTdAInRg3JlOXGO/Lio0OyiPpylSC0xnMx5gxpYZ27YfBy0eh BmN0RZl1Q87MxKExjpWh64FT98HBFr6NH0VYdgGI5rqUFwZM0RnC2Z/iijQvjLVE7QKrOU/36lHd 5bHPWNrRoL3/+25FWSd2fBvpuI6qmNhmi5korEjJOfHJCo1y/ER89TRRnLMGpO0c7/AsolnW76bn infXnhkhdSi35wD9EH4px2pvFVOrXgnLcV/LJKi3dvIoeG2D1SmJXAI+UUwhMbk9DCmKqxvFL36H 6TwyDv1y0STiZgygCee8B99jWxj23vPQJrH687BwvE4i8xSHEIbY/Y2Y04OqseTC446KSoXyixAx PQS23KalYQg1SsCz3lIZNKdvaY1kUbul3rbn/pZsrtEe7aAgifK2TCQaogHx07WOJHKTSJBpL6bt N3WdrFgCtoXiNMh7Sv8MVe41gWPh6yMZ8ztlUgjEPKO6hWXoV8Tb21lpqUPcmAWUp7Z3asltfx5J r5hPbsuydluHiJ5MA03JW0eSO8vgar6OKXYswbyTESYPU+vWMu8gMeDRRNgojZ5tfwyPQTBo9Vz9 stbRkRu289Wg7d15DZgDHy4HbzbDuTxUK9cFTsxj2ZTwUAu6UEQ00WtTz4X76n5B22xu7Um6ez9V SyugT7Q+ty5icn4leRhOVWyFcoo44PxKDMHWRXscQ1TFmQZJxVY0XijduYPmtr26jgyjzEF4YMco T5GIpRTlRwi1py5TPOOHpm10+FuskFOBJuq5fPPiWokAHL3/hzde379yNIbHhwCYmU2U5exPapXq prcqaPDv46+CoRHdgYBMVYslhViIWvlxnxgDlT0lcfplmsU/uD2XvwWVUoK2OdLfDMoCpYbogORz hEcAbGmcCUpSPblR7Wj+LyJKvaU5l/gOw5lrVI1OwCk2/Ke166yyAFLocRbJFMphme6X5JcgEVRF vjAsKGU/Ne2vbrIQ0L0NZpEck7IYR+zfPz6kDbfGXdyZrjxmoYVrrKhQhGSLzznSXsy3Wx+6p+wk Cs9Ka8F1NPM0JIFwvSo9z0XPRgnUUkPL+EzMO/cxB7FwrM3696HJTb6dV74RnyYyXAgQfDt+7pzH u8ExNs9Ag5TAwUdQtuz8QgJqSHlNDZ+6ycc28MnYmE5pDLzmheoXGbZ6BNzGeNyD7mqC6olJMCVr iAsSahDsgB7maIjPl+W4VdWaSqFzd4r/0vUF4y5LxHiWU3T9PULWcKUb8tAK+iL4NSIGzIdPGhaq lIkGuTj4h+548GHMsC6GTfVs6/zn7WRlUKxYRqnISVat/+qv9NmhWDVpZVLkEq5mKs4t2SGdfeJK RSwuYLmFNd2eSLzEgNs+oslWR8xfKlXxoPRTzvc9+IIrwdV1EP6LNBbYMLcAHlqsBTg0k6X+znIN vZ973XqtfGE29HXy4ObFK3bRh22lxSyZz8l+bKEWR+wDl8gsqbHKtYB+CqYsUx6hW3hBifEBvwtU lGu78GgT77c7JJcwuuQmShnkrzVUvpAUGMhD5i3X9wQGQezYcfk9wk1HrXcytAGsr2OZopwaZp8g K71/J26BvZastPCF8q1PZnsp/80fCaG8txRq6uhooYVCVIYZxUWu/5SJQQ7o9YMC+7HuSJAFHjMB GhiVW/e7UX5+Rg+KzzJVMosLZ30Q/2yMA1oBFgEHerT2Bz5MjdrQS4kJh1Br8uJSD1zYozqJOA4e V4NDcL4hqKgPTefjzavEnaG7e5ehwmZiifIU1QxCug8w7cox0818WNBCR5oMD47gc6+gZv4T1FbA FZwFXNcC64J3steD3hK1Banh/eD3x92daZzcuWWbcoMw1arWYRCqTQ3cRI7zagsjqoNGcY+IvKpv hvzhvALsEqUGl+BPsQ3jHeZfzvIUEaPyajRfZIVDsl/LZW4jI0K1S6ZGDF9Z1w9YoKvc6z+LdiID A4BuyiQ5TETu7mjRcz2my519a6YAIQDY9qUyCv0vrjPiOZjQt1fDl/DFQkQ0YzuYTQbND9OBvUNR dbj8hxK0NyGrldaKaxRzN5DfQlV5WQTXYh68LP4Vn1WcilIONVJaUP3wHAQxWU9K4U2s4NG+TYG7 4xXaGoUHj+y5UVzLsJuqakaYEH1+/bsc/MgFCkHy6xYDPKJ0xV2gDXGy7udlPy3eq/NkO2hTePEg eIWBTtC9rwWtz4dg7gBoZwiUFmhi4o1RpPUhmGVxj0Y1DjAe1s007/pvU072QdXrugTelZzprjLO loonFrrPaQwIPfpAc0bWfNRMeadKzFpFuCsawmAAd+x5Rz5O5PYa6/XrfgGS4kd/+vDOPhFQ0so9 euH8lITULyDC3/Htj2ta8ZVXjAK1LhdEZ1l237cscrnhJ/9IDbyk5nJiRFaV0yONldmU2YCf0zOf UVV/mqB38LnDD0TKyJbvwcQDvVuOhIEzsxIR/4/jm+qeAYb4NFUp0vW90TlaWpn2/DO7qHlj7Arz hw3bQNkKobyU3D6HzOcHQTMC1hT+wnGWB8faD3aIZXUe899HVmpAyqPeQ4vn8EpvDqT7O6n1fqXr 7UNwuEkjT6nLobcvTpTf2tqbiXLqva1C1oZhA2x/9BLAxmqyWTujJeqObCE9S3E/PCezLfPCypmi FAb+slsLqZ6aZYLn6VwpSEJ02FzBDweToMZExAMAkD0YDpHp9xcnCSE5GFDc68pvknVKSK4G4Ur0 pY3OTGcCXmwHmatJuySEa7L3Uzi+h3Gk9j+qnbZ/Fjm32uat2CaUmUEoVkDN9bRm/qykHAkmM4pp GcW2F1W5jqxuhYUfdPC7e9IbTEH5adEh0hyyG2Q3R/bV3PlZz9PpYOniJB4DXGkyJNKlzzpCTL4h 32M8in/LreO9ILzZs7jli/GAjWfcghCGldkGcA/KZgUTG2bN4kFr3lKiem6+34j9KUVhhoed6Mye R77SJA+qlefeu+0ovN9sOmbhsSc951kPO/3HtO4vCshCn8jpx9xhPGp5wcIVtfTlLBk0/VcAWpr0 NLoN4tjq4wHAVvp+TanM+lOiLhFOKXV+WfQsToEt7igEvV4aRJdNZv0XgdUrFqSyDkTeKmQv8f4J V5stgs5oKpmZRmK6Ydk02yzUWrW4mb7GyqZrwMl3Sy2Q9Pvi9rHgPq0jgRPEfRHrcjzeuWEXKo07 wz61SHN/u9ga0Y/cmaoGG77bDCf1JaHxDrJ/z51b8m2W5TWJsZ11Xi9VusUDNAolwHmuuzXc2Rv7 DOiS36r8MKAIeI++X4epHP1gzr6/DMcjzgVEVq/lmYqH7FdglINBjxRejQaSbFcwhSFuNsRYWa2q OEbQl5OSdlrm2Z342SPZjA4TmP9QQWQZHXsVo7rzQRzOCYq9s2TwBP8mSGRAa3ynq74muWWVRCNz nEw/k8ZldCblRtL3T19z04VsNh5B/3+bMEkeQiIGanrSLgf47045BI0e5iYmdyONYJYfEzStOxlk NwfFyWvMXSXBDFOkl5T/JAk+ptJKEdZMJLlm+SjJbVXREPhJV9YGDlSr6F26cHNRJJAdZApXf3vO JEkTJdqy7dLeXGusfdxPpB8hZVKrbfUL0/lEjWxMAuF8pDf7SlGv4n+FWOUtMm07nY17aUI3m2Kw zCXpa9qgI8O5TreMsWZzsOEBHz92/e4LkDP+ZzmSeNxVahuSZTy6KcWRvUpIAIy9PWTOApem/ueb SXr/FHNHFO5Cs0RRk/xCPUPckXZsmaoisW7YeOsqiujnIY+8SFczkQFfBvNlethERYcOzSMdd40i AFzEz1Zb73+tHeLx5COFKYLKMRwOPIwRNyDUYdbINBKeqaKGsoP2nItgGZ5zgO4pFR3ymxOpITUU JrvHO8h4JMEhDM/fnGMSFYMd3yNlv1Xd1TB46xioj6uN1shoFdti7owmejimn3+kBrqQZfC5v85/ gUfAg366j3Jx4a4E+75TyUA36Cn7M8Tf4ZDq0xKd4OAP0vAbTEEbU3SA9oAy5iN8JKeoA5CAKwrf EZZCqGNkO+9aj1KIfcrW5w5qYk0bwMaCFyWvMn5V3O3yIghx/jm6lLn56mn7MCXhMRx08NEtjpNo F4OXQBTZozupLnqAbbPyMysym55oCH0t87ZzX64J0292FBqgvhSYoh38lxB+bOqqvjTI7Mx3GZtp iQlg3JvqIR5cQTLz1m03WcFTCBcDmlFQjlig9ebDxN2Fv0EbMjE/UiGStqN4eaNnJdb3QcJrPK73 QdIy/xvn9sctiFK6u8RdMCyh2cWl1NDd/1+nQ+mX60jfUEAmDI3rEoQaMcpb3rBCjTsslcd9OMuE OwxkqNkBJ6ZgmKfj6XigwzNarvbCTbr7wn17YyHSxfZb0noaecpC4WfW2DTlsPHRXCI/qFruBYXc ors3prwOCg/kSerRDoQgRSPVkHzZWo9WqluMxrwlSV8U540l/sF5WtWDF0vdjS97ijZMy6SjYzqI sRhFzYkWP2s35Xp9q0KLAIuuFPmwc/VqGb4RBh+WXV9cy+uEDiGzTNddFfwAkGqPMG1earV4xC+k BjX61DLoTcRqdHvGTcTGWeNDX+GEBobKrSOelq82JovJOaMWNO6RnW86/zg5S9Qd84pIqGM+9EX9 218ZLTTII73Gx1OlP88E5J+L/0QWMqThJTZufEoIwHlfy8FqxREYwbEhwUmLkWQvo6NC1zz3GBbk +P4KFTJWq3rFOC5mi2mmWfj6yH1LxBO8TYQeceCKHT7JhgGtZLSjgHMDUrYr7FUsi21/8hFCxuFS OaXxtSaNTtyscNOaBQNXE3jF7M0S8X8WKOSJkXoncxhYy76qm4OnruwDSJasjQiHG5z+CxGANMyO nw0tY11jwc76tDgJaYVjioeaFEVlGEdgRwoDI5WYZc6rsaE5WZwNM4iwQhbdhgpmowc/vvGLDwxo FYTYfUjclG+i0iH32MWjlafTYH2K9Uwr5rOC3bkVp6qcxOYrBhTXFdoGZMzVl77u1pY/Ns1oRJO3 yOrYgKg2tILeDwaPyrZ2O8NRUeEcpF7RvfXatnlJu7stW5M0Lxjo7UEFbVyKRvddmInaCylOQv3b 2tsppM1dKy2SpLgZdKQ1/qt9E7UGwqR6BylIDUF+XpfCiUIAA3SWO2mEQnLKhcOJByFwQHSGEGJ3 zICERmBLf7z1QHST9gi1raERD3YRVk6fIm/aFDhAocMW2OGheFZarIanQl4lsMJP2uJQiUxsX0OY 9fVrh3ovSfSzcCzdrzwgF1JAY2EyeOKN1Ud0dEEeF+IRYp5lXwk5r3kDTopC91rfSYNJCRRZrUeV mapNpYVFUFqhnMgQ74C67LXWxApJVitNtrfOpm2vH9/GnwpBhU3IRr+jAX10Q13qS5PAOXaLA8Sm NCFr5omW444NaxA+LfZxHg5VfpOZcnWvp5XsZCEEMv2tOKVEFHOUVJ0Umh51JN5H+0i6nmGXT83Z 3tG77Pf8ZBsyLUSknaI99tHnoczsaEcze1VFRoDhaKbVRfDHq6dZMZKfp0PeTW8epVuYMHkOny07 0y57vCmBm/fLcOaC/gYuPoXhFBDoCnWFttu/Wvqq6GI8/Sr24obplB8c4qHK7pGlN5v/67yYz3ox Fs+MakckCpSxsetRHD9CB/Ee0/cldVS4TNT2DECpKyufETbzooQh9xTWU9jkmu05p4vOMtH6L3k2 79E9KGC6iTT3I6ReeDgLc7HHeTDNESN9cEXG0dEgq8Vn1dphcqCyv+fgK2Vfo6GA9oDT3SGRz0yA AMyrlpPKxnjZbu4LkGQ2cSBHaXbZvEar2gVOVHMe5V48dkd5//WhlPGdhCKn92cJJujjVGBp+lt2 beU0r98QIo1cE15QJp1bnmrdo2MFrJfmvndzXJWlvSAlKN86R2C5s7lP3Zeg50zkyCNVQKLrhGep mLpQoj3YTIUWrVYy1gR2IpYkfR/athPNH60fFVxh4Lbjdi41lBEdL4Z9MR+eMkcAG9jM91auV11U WuR6f6b/RBM53MwUA7zmh0JitdZNtYsLXzxvdqWwAyRgFzI8GFUKwvn5A9rtTl6QDUWOVALBWCUg xedFBCqM6cPPvYaQfTvE2wqAetc8a+VAKv4W//MOzvZy77JmpECTobB3RNUPHjGglDfECz9w+7nn J+gkApovFe1ZNBbz+cGD2RuDrZfnvUdo57hHhMZdSjsyNlIjYqy79GKnMf+Brq/xDYrLIz33dUhC 9r0rESGbkPWw50WCejtBvpiK8m/nCRCmijDNP7V9oXHslXbeLEOHCp3P+0+IUYNVnBlp52ZlRchz IXB/yNqQFcxXaL58prlVbPO6QKXpcdPWF2gOWfgMp9Dm6x241nVaQQwCq4rf5dTkT+ZAy5VcX5vW S/HwfZsHJt7/EI6ZlBqF311AoHbbxtX+EjN8jDzl4h0iwLFL4SrbW0gEBYFDePZ/n28LifMLQ6Zu X2UYksFtE3/RtNzCntg/JN/Jcm7+0JkX+H114xIF/kGbhrQvp9ygJAAK+rlu22P6gnTwwACStk1Z WyjD2gIeKC76yd8zFy16/GJ3PoEr9mfjeXUfwWz8sZzhZHSF3pSss/0+b/l7V7j0WcM6vCC80xoO arIkQkHAuW5FAmbQ/rHJJgxCsej+kYrhMdOo0ITFdh5TDno9Nwb4qDQesdWKuHLld9FGcxmEiFpg fV8OM+S808ifNQ81TR7aVR0K88JHpOlC1rPrrjBxfzZ+IeRik3z+YB6h3cdKCX1jDZCSNhnASi+Q RX7QvLOtXss8Y/xPAP8oAk/z4OEo5Gf///hUVgydsGXGNMzooIC6o468cbxYbS/fyohlS/1szrdq dXYfdn1Jphrh8gDqr6R/RItfoE4Cm0La+f9t4UAPJmIP/a1MG6aMn/mvNoQUceA7ljOmxxiTKH21 tM00so/AgWugF7rLNvwwGECAqCIib/GiD2Wx/JgoHkzGEuO625UOJLD/wXC71puCAe/W8afTg2I5 Jpx+xkgLCywrwKm9aMFtaO+X5hsJR8bySAhqLY52rLi1+dvTCW0NTYIycra4WuLm1iTr+ZNAWFma A6NeSPedueKR0OZx4aRloiT8k+IJkQjEcPmOq+VDbqQ4DAgY1mpTlYIDvjskCI3WuyB/T1YrwglX mJiDdjktGbq4SiASMVZLkt7j4+y4p0Xah87WLEf0FcQxxYnzH9oaqhty30o5QxdwfvTn3u6vXp3m bHEmQBuNKhNSQ88f67QDPWKCRSUQHMn1Sjj2UpWAhGs1G4B4NbK5XfL6IS1t9i0TGsc7RslGyq5y SUvzR+qjqX2dzkWqZpZGEhPv6LPz+slI8h1m2z0XE5Wg6GfGI1EV7uWGhG5IkjdwuS3HAv22UL3A HWOh8O1l5p2ON+rJPcBKo0lr2nLRarIsi5w5edjZOot3f6I/vTDFy3YFQ+6eSEvWz6QQlXnb2UAI 0h7wUP3ez4fQ4Hxv1kcuR47AWTdq0dxWx7TDEE8DW051/vhdGhlnOT2KMVx1WFmnLOFZhHYAvzVP NPd58D+hBApgtZSX+Z5Q0cjDU6JViB3S/dH05bgYcyLcUL7kfiv2Lwgnk62rOuCl1iqyaJm0RsPQ EvkUBsTcLoNBeTlixyxlPNUOaIiq+uUnF5nytYcq8s4RzFaNIIPCLcKdRvkpOBARr/yCgb0buqWh UJJdeSkKAJzqkms26q4btnjScES096ifO22AayYK8HDwakxWYPcaU3oTQYUXh+a13DxzMEnNxkFg eJbkL52rIXR5kRHsm6vX3bV7KSOsf6M5pcGAtC0FyXVrHNGPlFfixv0nLRu2qZdz2pcFGaTK+zz3 YfO18ZgDOmCCMAFT10NOUeGrzC6lLRylxozkIgRZr2Tr76+j2+v2u93hidRoTCpaHHX29tEMjEKd XteObiq63P0xFyKSu0A65e3Pp0LOAJc1gJ2hh1cIiU97qMTfnLe2t/LHcz8itzVTT7b3pUJgfFcQ bxzLVhDW2TmmNAY8UkXBSmve5R9isE55x2Qk5liZzKl3IgEQ6LITkRwP0s2Ci/h8FClNel49KPEw WjFpKP8oNflbicMzvphtIozX9qOy+muKlT8AfVBGl905A1KGBE5OvDMlO+jv7nb1+FjyGS09UH4F QI4AFbcXju4gVPf+FvzCb95ZR2jLmU3nHO+7rWgD7BrVfvH1orQwlfofwlCpCZWaRYmZPG3ij6Bz zS4AxH+cnq7/TmzKe1m+hX8O3gNJPMLtCbTRoqlHggbk8pE5KNt2p82ZCbDyUT+0Vc0g0iY9X8Gf 03RhsTvZkAex3aXqvXGjCD02aDXyD9iwHdXeEpqdIzWfN0EIHvzN11KvOW0Y80yQTdibrxuxAuw0 CBhnN1s4xOb5hLurWswvpdYJrSu9pcNAL0tL6IdHwnLmtetcKq9eAlBeFwS3fZYDsxdkaQ6A9WqT yFGBSKjkBekFJD1RV+AWiRJIogyzPDnJ+BiOQKQ7Y6Sax1qlPgvJ8Afkvwj8Lv5fP5Kj+ZanAKjO H0jQBmbccK1TcdFY1XfKMRpjTCw9y34UF5rJIHfsy6/eUS0OBBSNM5byfKtpzGjFsawj5gXdqejq h/rvGA/xhg7cdpk5C+f9tfddMaFhdIjRQccny6HlCk5VWP809V4IyUIe/Hh1jIoVqZCj7mOUHtak PBVOSmjTynp061MXPnPgQIoLzV7gzuTcoF7IrI2ocqV89XY8/rEh3Ab8uIVP66UBs8uhMTfBXuDK HXrgJU/cYLfXdK2ipzzKmTGTL/GJ17kXnqYnAClArauAYVoBcqGHO5G9x1pH1N7d/p51p6bw3CR1 rCjbhibLaB9rg1zj6glRqiZWJLOUzOtAWBMgwRKHRB1u92uLYglMrjpOmsa+gAHY3fPkb9sKkG61 sVJjXo0eXbvBoMvRrEeIcpNwstE+3RzYu9gXwJ43AMtZUVwvZHA2D7LYpppR7azX8oMM3svKfuK7 J7n45gQ2+zFqsicv1S2oB48ld8RJ3f7fMbHVeCEBPNo0XiPoIbc+B7vGokx5QKg3vvIgt/FDuqDC f7v75lXtoCCiRk6dcWjmFViUAj5dNvHssxuduBvZUyuga9PEOdpcY8l+kJ7a7q/xmRHDEpPClmG5 QzhjNDy3+0yAT+vvWCgBbng18Q5m2VfSWKGaX3n/+VVV9CZ8uzC0CjqrT7dN5ugKTTUTfm9BVMZp M/flgyLwutKHp8Sv1SVcS5DRwhpGowdJ1oSLV5c6NXojyHStWTwRd3rPlASsNaxPfRzvp92WBXf+ Jal86XP6L8/H6EdIDkuZhgmazesTZyzUni5Sig/FW9iVwSVA/rFDfIfFLWdbqlBIegge6+Jdhzht kZC0D6e/MD4aJ3pmptcoxn8JsamNs2bh7FXSrR6SZdsZ80be2Yztexjgzs3hxiLTu9yDIOpdmiHB wreu2Af0E6jNB+RYsKW+vQ7kPwG/IhAiLw8DMWQXuu/mt8NYrNd4CY+gmrGcm96N/7C1IKG74eVv vDrCNWxUSfH06mXRxj8gnL8T0TgT9krETtJoyzq+Ok3MCggcxnlOreHwkTsgQjblUlEZgvP6L87y 9mHMuq1ugIwiUc85mROza8kmyrg5R3xd2rdKKdVJ3aW3meQTCZHxtbhumJSfDCVRQ69PMgmT6t8m Rl98ByXy6W17jswGze98KwHF80EjV3tsyQxdC7pvsvd8V63OONf0FQ3NX+DcD7MQGZoejwGU71Ru n1Csjps/eOE7fwc+aZako6HSuVHd5BuNn5xVsyvuHlvwWomMu3WOzgrXsduztmy0AUEBqfZTh3hI X3NToiH48tqavJ4p4S3JkBy7vBWndKrNTAcQMc0puHaIoLbc1XQRjGaF01mpkqHUb+AZnk1oaHlh +rOnqlYJP53LkhZQ5RVKbe4gkmrv5knbPAEeyI1jtQUXhOIfeqzTIHKOneFfkHvCkTPyj5nVWGiC 3rpgWLAhxk3ZH/852rR6rT1LBjVfVTsRl4AwFJc/uZf0uV6fRr9d9p3i6R2rgo71DM0qKu9vc/PP rc52fKYD1A1vBNRhNcK+IUSJupYkKOuDA/VvBadehd6Uv+TKzS56rQingN6DI3tz7LSqsXHAZDEv RHLMcI/CR0lxnvVWvwErfC201BNdUDc1k7DRYBn83BrQHcxZzaWenOPYEeQ/sezlRqfkMiF1nV+1 jfaedf70TS/FDTsg8mTUEdjT2reiYU04YHN/MumK7TAqd00Pv4Qz8KPb+5GAQgVi4cXQgooVV4ck 6YsDrYbM4qWe/ZS4p6PUpt3TihSeJg+a+p7MtUPWzV20QKxaxeED6BxT/MPYCwE6yq+E3kUjTZLk CxxaEemD+Ij0khiGr6BwVrlD+SJrrenQcYuzgGy6gzn5G1t+6lrViYySVWTzq8gayJjpzxPAVviy DguZOBpRpVDgdwlA/9v8CHlDSRWCYoc6sJSZ+ZWCXslBsqejzEDSGMYCnLPozIccsMzdcyaGuLDm GD1tYYEyPh7UAvtxxVPVeKQ3gWSdDQEtFVA0YW8vWY+cquTTf2pj16JX/7VdICvL6beCNdIzNS9+ 3M9ZkgRD5fwEt0khQh+sBndSCZVlloqIAfYMP3LsWljqj2My9Rp2BP4+NfR0R5PMmF/keMKutpX4 4sCZjy2ByCWJM0rggs0whRa4Ni/I4rvokdjDQBgPviWlQNhHDfxC+BGevjkHNvDOXISmMDqlm5TA q5Z6E/WmtYQix9foGQczx4fV0zT2TEoaZsJ98fjVjryxNsemFJKhCkWrlw7vxa0F3nps3UGK89vd wDWtftnPQ9C1EWqqNY7lH+UKUJqkvJD+TvDN8G4mFiUv7KQrHYpMUIlDYfjOo/uA/SD2A37V/Rni CozAxJu9kBUm9Wlfi1md+z0/XFBXG0chnDHT12s6deQpwcG0tw3ibydk97/4l1Ubx6MSb8k0F55c T+49N8TeqY/gz+7J7NHC2Pe6IRZxQ/tQmxL3ML0Copy8FwdH1r44HF3sb0aKLT7qRYoRiq3nnWUI s+PlJ9ap3f9iR1jqrSOGXijWmqF18AytcNydSYPGHy+ZDnVNdCsUukiIsYUI5TTwLtqmMEnMfeUy 0DcgIgzCN3j7nkkAHIC/mhIQQq78QkTAfDK3N/gaorK0OFAxYYN2IPSGYVeLJA3wGX+t3kAZh4pu pJFk+Is5qzgo/VEmmvVQbdVVRQy5IhEMYKjlRd2DFN6We+JhzrZIyvI8u3FfEn7FThZSgUaxeIGQ mwbXrJHQCIAcSTJqd56iWaJ7gJI22WTkYzV/WOFSz2xB173ugqyu3havl4aXxgS5uUI/Eqp+oLsa LmvG/lyDAjOkYinNucn0cAzvzCmuXG6ghsv8tG1cWlEls1mnaKmsNP2L/lliMXi/ovFwsZx23FKk yiHnBSRscdJ/LeD0+y4zo7yM2XjB7YJJOZvH7LKQ9WOo5TOcfFSrdexQYUX6SZ3Pvf4JtwaD0hDV fniEjM12XO6iGaCaV0GUGRayM/uz+T+fXPMcr27tFwlV2OXrEtoQV4+eXwPaY813MSQ9LbvQPP/L OcZsjXj+yJGAAWHIzJsf+64NZXmYlqyU2Pt+2mh+lPWs+aHYsfAOO12+GBtmfD2gQ2knSWUwgNEI o3BFMOGk7oQg1cPjvgVkw9HIFFAljJu0KwBNnrxMV8Z8CzUXf8SDex3kJxlT3LwUQEv1fEwvJC0M BJFWgukZFIB/Ne1MrBTBROMSa4tS42khTpcRZ/IjB7mCPFIem9DT6Wb2oEu6llLsLt1OqYi5qh0x uvTNYN052jikeC3n81ie20Mcs+MU1dvIFd01SpHiPEjKnGcvJBdkKP73Qa2CnkrrLo32CKc/mNf+ hMoyW33hsROpwuwbO+5m0gfIMCGAPrAAPCDYfPABp8fppfBP0tzt7f7UGT4N+M1Wmjf7uHaliV0x FAhFiqUAk+0JBbcLDtueBEsfH1/ISPScNy7n/UWdGVPh6zew3cTi342cj00HRiOjVKHiJmnJICtW xtS0dL7brV1xNQWT8u4/NR5dqhGIcn/pQFFkcupyAhKH7Uf/PYX0TrTceYpsKzDlZepjEl1PtC7t Aywnc2yJJkeDusGJfl7lHe+cBEOavuQ24epUnpxt8siy/qWEMDpSVU+RvfRDlrkhHZVY9sqVuXjg kwRqysoWn+Q/ToRPZ9P9IFsPoYP0idwuBD9RnH3YSV9gFTK1pYZbfTXBMGh1bYE+VVohjzA8Q5PZ 5T2Zc3M6G8tSzSjSLs31xNYWujRKqx45N0LPP2r+pGWnSENTcGexXPv6s+FiBRGKX2SkI+2lZhBi TXG3fislP7Wr3e5ix+erkrQJ2E3fV5alrm9QFwnPMV5U3SJ9euwEugY8+DRDntqk0PjlQGRwbdVO S3EBRt5V8qZZkbdv75nNt3mhHgN2uEIlsrOSHBqOf4rpmuzty0C4tS8yViCYbeG4jxNu8leJZnsM ob3YrhxXAhU688XozEXwtNTh2LpsBcy5he5eVKKAGFkYX2R9SiGHtIsza0WcyOINJ7xi4KDqY/Ft 6bUs8JJhrFC5JpTz6ktEoFX7+x7qF7gHVWmCwyTqzRvbCSMR2nhCBUcB+SsOC60cEzhK3huraqtR 4nUzmT8fGcvmH2MvJ/HKXo10UztgyPp0KU27CLdvvhqn17wnlqkTSAtAV4nMpmwz95CutdCQfybU 8wt0LF0dwHVS1XkGXgG9tcB2tf1t/9YvRXapGmDmB2EgM+kB7QJ1zQ9OGqRzYmH+3Y3uoXAf/M91 Ow4xPs/hmvRW8esCSIJucp+hETPfsXvfc8OQFPpi+yGi7l5+y1/6Rx6YeV5SEMJShgiMsTKTj+Rw kZG4xlqrRw6yvQ7P1jdtM141EwJcblCdfLw9Qp/+Bn7rFVUe5vhJ43xxY/focmjPBnow4rDt3Y7S gKdYaWZ+tUCyzpJHbZlIROuvR+EJBzwBYJ3lGbWNxegEXLm3iK6c/zfY1a4hXdpt4MH13axkEZLW 1cH3k0rvw4g3wZw8AwUyrHFByRlj6eM3/lmbu3+Hm+oy+KJGwx1Ge2bb/yVfCQv7p6kmweRU06nj IeupmaZPuB88+XlpeR9uiwMaqV/ekQqX9Oc0GjCQA1qRTdwViPrmjQ0pbVdFQ6/2LHHdPqHYyknz EGMHqF0vCft1Ne7Log8sZNpWhPnz8XfHPeUm5507l1UtfIcOq8eWFalN4GlPPf2EB+T0+NhBkzlo zO0XIw1O/q3R1/psMAyDX1muO39yLJn8Hvf0F4Z+DVB+RJWOokIKlvvrRqmbzMcvqQUc+kKU1wnA vUI1dOfUgSGK8UysBPMItcKKfTVpmTes07UldV/ZZnGG8+PloYSr7Z32eCEe6asAlEzYPv4vSpSB k4TSfwj3AcUhGHNbberZ4V5JUXtxOCtLSWXgTti/A0GKkCdANe6v8ZmhcmfDqMxRr1EJP4hAsSnM 77IqPlrkIjE56j4GpDNcr/ATFFB5VHo5rtHL8hWSo8jYgVAOj7EGHxHdyzE4ts3MTA4S1f9gYH0c Wq5uDUWIQW2NAxK90tpzx9ezGq17vfm6tIXjgF7PNV9Alf3jZu4BzTSRpRzzIaEtXEbR03FRvQWH 8ioUY+B0eauM+Civ1461+DUm/CzTe0G1T29xs2SjmZmAHSyPmuVuMV4dz1j+Iv8f1JVo+ASPda3/ 6G+wM6TPZZXyb+FmdXaHJRpXADxuKwnzvbtY4dgk2X2QEAN2oaHDgrYyxbP9NX5SNAdVAZbT0CKJ 6zOQzm/0pqbwPPO33mT/utDnSlDj9WvBA79VyItyqeA9sIdt05sRCAb4ESld4U+uVAvpCyT4uugF ZqS+812UKBGIRslHs7DIomLhh6HuPR9K86d4uATHLKPF4rSSnmCmKTnOQpxaVzWalZaC8XrEHHYi 4WnIW0bUuKJ5wMY6FwvnTQQ5jwr0GzN6qkG8I3C7fmpsevqYLnmTjOESnztoeGcH3156DAt1A2WE Q5Dkp7SOCE0pcCLhY+xe2+tiAWyHOJsmlbaA4aZHqxaM8SmWH1TfRVQLn5TlHeAvH2uT6J1z9Hb3 g1XjR0ic86LozHtRG1xFQDSr6EsixIBXlvRrpqD0sp3CL+UX4swhKQIRYvZxdSe2+30ejIbrlvG+ euT/6v64XztR5geiqRZVP4hqmzsHvi729YGA2W3OKeV2Rf3JhFXhahq9SAccgwdwxYydAdRNLNe4 6NSCv/jUSMDOPzJsIW1BB2yVaRppENGE/H7bisFTVblzCsAc859bsStfPXX0vHKxyoZ584eMKMOx Dqc4EMuipfTFiMApUc7WN9albXI05yZFXsYTzCmFtN4k1agNqXJiDvnONwakRrwdvzP5I+qJ3tnH Om/VYjWBXpyNua6Zikw2htOcwqOvN9rF6jVWj9+vBRHiaPgdFcsqMEOxiXleopJID1wV3Sz+SRmH 9csPVMx7SjPu9G1f8J2vY/4kjRgfaWYJD47cVEIMzDU2Kv/zteO9GkWGm7AbaLaH8XQ0IGd2J/3d 9crnSGSbTqNRt68yrbpir03iXEPOqPSE3FdLZenJC5PW18fm6Cc/wQPkHfPwkErJJ22+br7EuGbf 9wZPq85SqsU1uYKBw5yy5x+SPjx3pTnct6BcxUYpla0MPHG6f0+23EvpFfdXp5N/PQQaEdFRJ5KL 4Exxijb9HE4sJGxbdJZoFd0xK1tcUwqEEjIGan02Jrs/4JVfnhP6IfAADLcD9k6bmruIUIfsAHGx NozbO46r6/UUNbAMLH8h4EL6tFwx1pg6o5Vdoypwpl6rzbFGBP5X75Am19LiLT76DgR2OSX79MWE WFGIK8iIXxIjM0FS5pvJp4eRx7PUycCsXwDOBdGavja7pkfJ7hCgG6WdfClHPh4a6JQW6O8shhgv blM3dX/LmKNfUXyhxcQLVn/bMADKbJ1xpOV5N3hByHcAop57BL99f/hJ2g1g73GnOKvWDwI+VZdc zt0tvlXKRlKMcS3lUF/hhpcTu7BR9ockGHpafdBo1ec6qH8VMZ3Uahofs/Bqi0yxgobNgOJpcEJz 04BKsxJEj0FV1Lo9pelBBetrc8DuUat/WBTHX/fnbMCeRSpsIsVB2HG+SxIYN3Jt9yrKNwC3kwOV Qc10/kvkejn6u+e/fZbI8KlpcApC10DKw61tO6FGvrzJc3GvEl51Zk/q40CzGTJG4Gg27Ka7JXCH NTPJrCXVFCUdXiaAXwNbJf+VfxMWwk2uw7Tiln0n8gUO+M2EBPJAjZMHaW07oHxFvL85AjI48VOj Fv1GfCXRkqcZZICieeDySIUtplecwp1/htyDRDOqEXPSLppfEWCrrlTUvs3J6c9W0zcJGPuJvR7m zhBoMGMrxKfhVrOAMIT4LfHtB5Hmo2VAr3878Rp9dTLDyRbpYDWCd+lf2DGXLdcCcL6MQyOmZrMA ovEO+j//kQitwQ0iVLswJEoQ9d+Ay1LT528j+8lcvhlqyxP9xF+qHRgxiATbNN3z6TD+dtFguAIR 0hM2mme2l6C/dlCwiexduusfIQ/iYaX/wzQBH1ZcnZJStF35zi2A3gZSuSxCzoArjgWShDer4AlB f+QhmmvAzBP5EwWjpSba/+S5EgkcULcXq5231RuNzYrWU/noUG3XvI3mV44fCkydQ3hJ04FpJvac b5DjLBDV+Ef4L3YFcAlg3yT6wxkAHS38OJg1BxwwcB+JO+2c0AKtYUbfaO6djOKSY8N1PXYKqKo/ AQIW9OaYgymriCoXuGye0J5Yyb54suzba2OdWRYccwEc7ncDxTq8X8/IMQo6yIkN0xnHHAITixLT Ss0NsrOv2s1O/8jXqY/QMI1vnsv/iIX0lxW1iZgL8hPgIFBgUBYJvdg+owQSGyEM0QxlT3ZI52et YmZqRZGAAY7A1HtqTdz+O1j9YmYrkfsgaVtaIWjGV/Fd7lkmd58ExcGRS0fBydwKSyIG7QIFnRl+ EHA4KPCvtme5qMzqA8zxKBk8/bZtbzbFEq4b1L/5ukHQIo6bRkaz0csTkgm3xR2MB5JTYH9stQ8I pQS59H3KZC3cxks4t794yvi83aCG2y7VXiaF0aB9AvH2OWZGlQ1x9g6KOzSCzenAFGo/Q6EhAPaI 9C1gPpUqZ7HbGr7P3PUqk3PEEXVDViMr6WUS1EGJoCmQAfSuirnCJuwcNm1sNfjzq+26J7zptilc 6pFr2xTVPcINLGUw59L/JSieUl8iSqLRB+0MrAON2GjVu0spAUtBMhxLT5+NYFn3Pep04hbxwC17 C0m5wGvF1Jc3hZqskiqEirj1mZdu8MKlmZ9v7PPHghhXi32g834ybcAj3N6Jz3u63zSobEJmLyRs 1TDmKw1AgIWumCLL1OSpsTCMocHiUxzPeadgNu8OI+QNTRDqFbpufwhHwCi0WcDKHR32r2PCVT6b OxJJtF3TIMdd44nCfxWbw4L62mB+tPpNS0PopAwF5WkjeYlPkxgGCAfLjbejTRT4ZWFm5OrRtp4E 0yJxbKS9ScsoMyP4eKXFGZJIUo4DVLGl00ADxyAing9e1kuCYs98PPruQ/XVDIa4iITActXRliXL gSkEyBqzQwov3uHPNnW/zgu9usza8O7U7ZYHJDmXrmXpeHY2UoVYXbxLJYR69oDdQPdUXaEO4H3P nsG1/a17ox7ztb+AvZFVl2OD5avJWuAu0SoKLnLx/WhiW/tDGpBGRyTfA8vZrtOCpprvmZAziP9b spVd80DdaqDzqzsK9AiQQ9wvmAC6JI+Qtk6I8FVYNUbHROqbao7YzRt9pK5jhk3w4oBY5yfBdcR6 +tiKzAYpaKD2jHi7CpeSUu/i0jLcRGazwFQks5+5QczsIU+Yv00/G1rxTOM9h0r9D86ZB0RGBPpH 9PiiLur9UUHHXWWyz4j7fUsgANzVkdX2HdqXIBcQzmAHMdwgNzSzBpgKfZLO/Di0989QRiXUeMyF b7QNNDl0ATuIiVdAzdmO7+DOAcqIr+zIR4ZqJY83IRUz9RbYZuVSv5nOjUkgyV3hRGWLm0h9huTf ZklvkizEqBL/iGm8VD2cVhein7Z6YrkR5Q3sbRQMGTn+FwWzJymxUwH77OHTDuGGTtdDLx/MvAOW YCVwUG0QuBLB6iLKD29LcF/x1Eel6nwwOvMdULaLux3jR//s4C8yUYnIyJonCTjBaDtRAQwVTHwe tPhSsupDTlVZCj6WV0tehT8rtyVcypXKocKw++hwsgoTXyuObCGDtz+bmsOQgIHx8RiiFmj6x3ny 0lBbIM/OS0mK2iTcG5+fM6WzNoxMSTKeUc23s4aFViBnL/SsrSjE9OG0OvHoBAYOORqAa8Y2QgSr APrOGUjoEDdyE8gjciEyrl6CjQGAGRaEun7gzt3wLSq1XZjWAV3eEfJ24OBwTQS/tv75/LYcEpVv dyWA2fSb+Oj9WoAUt0etF1Y28arAqg69Y68Zu0je6gpB6Kkm13FcI/P97LaKNpccp4V/AgPqd443 2y6qOTMwmQOp9ZNacxkqQgXhysSiT047jbR1opPZ5xqPjXLUNe2Jw2y7i3Ihj5eOas4ZJgkb9sqO eWqNrBr6IIE3UAVd/2l2Qq6/f4Y0kHYHCXJ2OzHsH5OKsRZhoKfqKrxAwRzlzkP7gGxjXXU2vS+X kJUsUYmkTenvthEQgISHhH61mXVGlx6/BfNBOzGDWa0Md2pG7txlRqVvpJ1xig2xGUBe8XMSAV4a rvcJWyYsWJ5PwA1o1uUVOUfHr0zEbh07LjBrysW/x+vuLsX0sfBapTb/v+aGfHp6HDyLYAZQB898 0eSP/XRilNr1kR+U+4meWp2QApJ91uSQCABxpqxSxGet1Uq5c9Y1TzgxH5FgB4BfkyRfA4cq7gpm qD2HXV4tNXIoYj7PyeLsm/nfzcFVeHXB/55FAEDuXsm1UY9ZGHY9DXiSNAsxqeBq1mCLAfswujzh ZKQ35xCKvdtFd3K5JZSkKLFbn+mRGK1Rc2JBDOGIePBhIapwho0P+UjVixeKRaZYTFcEtnGmQpih p8tEI+BKAN4HWGbtXbdOgRs5obywbwP3VzUhAMRRgSCF1zEqwN25xbfzSn3XZK9RZqrP1ftRSIzl P7O7NF6YPEAAu6NAQXDb3GoJhiuqa4tfnINQRtA3UKsZ8USf2Q/P0Su3H9dVarO3+Oyiz7QEc/Zl 5nNPWds2ExiSqY7hjNE4uAosPvaVCFcpbMOeLcxNOhOWri3eSn6hSvKtPIIjuJUA/QvWWf2IgzLq xIQBqcyV5fEt/vqCgvPEDgvHxPXDz0A1vfO4g3A3y/X+yc7rE8OUWtzI7j+vQcsrEpwHNkZwkTO6 YjISiZfMpGjK7quOZUiIArREfTBGoLF+1s9DWtFbZWoAfe+pEjEZxCgCBtVViti29vodQ4isaeft VtvWJLPb3+KufXkPT/YtBxpHTBs1HDdbE5cmVK/+yeg+VCD6HJODrDpGjGA0vJJwuntQ7HmtybNT uKwdb+VJf43ZjZS0JB7qTfTPTlu4dxK7gscFKb+kqZ9NsTkybAbm9ftbFtookpdeSvRsiGmnEm3X HaHYeMCtWKlh0buS+fSbGFV/f56z4BQfwh3aYbxUlg6TregVTCu9fG2u02SMuMsWpAt0JMggf3km hWzjzUoV1thrzh8O9hkeFvZknALZgKMUhrTbQZ8bpE5rg6wblAQ2+6re1OjYRoXul0CQeRmq6ENU 1CtPmy4khOycOB7UoTq/wT6CIDOLAVBJXwc6w7g8UZ+XvGCptV1tYFwO6mt/ODVymsMFRmiFh7Be HkDtxtIWgZHgaKuSiUuRIgiNA/XcdJpTMvkbIyXhHkCMfR+dQN7TLMT5Ak0lKZXwPwqiwgD83zgt zIoqqHCCCtP/BXP8LjxyYf1Ar2jwdxTS2eK1xh7miL+hKL9N3bUkxpHGmlXbe7QGH9NOplWkhGhj 3xbCJdoCa0FCyDIXxlacKUZM8CyBEaBoMxC0zlyrMsBr/LoNd+Vh1EVFvrRJxXmLlM5huwUFli0P NmgE++206XcTpwwTq8CJuyup27g5F24RRFPapTbH7Eqf6V5pUEnP/RfPpIajgkA+hR39uyZMNpPI L+1lbQZYHcGtIswgrThKCfAMr8dBgZJygKGtNnBRfLnfErXRIGAZkeA14DrxkGY+7Bd8Cn5dt9UL xQ11KNCKe1x2THnFYtE9s2KyTRTis7C/7xoNtj2fPeENz00yWsZibkDBUSPWUsZpJcuiWgGjdlP3 JUCpHP8SiWQ8PlbLvgkGGlt0lln30zqFkPSO7OHgrs7jm00brUm59AIyuiLC1D32+qsZyAeJXwC5 3LL16BiZpuIqz0UgLdy311YibDR2M6Uew/J+krAHWdTo7uTUrbRxfpZ/qBgciBZji97A6BqqxTY/ HKhQEAzV7W1Ol4SuNWIoKzdoIy5lJT/hsjKV/YVrYEa6Tc8oMTNloIxdByS0yocYXfCnWzPE8dvm 8VK2VAo/4JSIt3DPF2Gb3htWInTvBEA/KKNF+X2jCGu2VCQb7G9d+t6UgA/HLfen24vgmDQbgj++ BL+PRuXIKayWG+AVr9sC+87b4ZC5JMgCYoRBllsJlHls+nmpwAkJP4xU8yq3LxSrgHRCz/NF/CEq GfmIgHzn9RAiCPE0dPB8/KvqCKa6KPm5zc0ymwTyBxrhFDIATAndE24IJ7tpHsQskFNAclnkVlbT IEB39zVWVXZIVX1pl6gICGYcSKUqaJkslq+FCS2DY9qHnOJaFvWrhTpYKIYUhZ4coelfmUMX/rZ6 4nzMYINTjA+3oZIoP3u9aO2Qvth1sWxJxDNLwk9LcBmuliJ+Mv5NOeRliT8996SEW/oSiBYD2yQm JCvSaEFydn+K0PSXGUdl7KwHbi7Kg2i+wUS1DNyGRqni51611uNThpGcr4yrSItNM7fjvV1cJHw2 q4mGNgJClALHT4br1bwbPGzv3NyY5Sw5D/F/q1ybsRWwa0/79Uk2G00NX+Q0sCpuYynuePIu+3FL 6kNshkuuhKopmOfBUx62wW80zSx/0egZN93l9fIl33fBYBIayDhrRtihXK2a/bzEqgwuFV1s0AqZ joJFWmCkfD8JbbQftWS/kI0bcYjKsgolJsE11PCnmu6v8FCxUjHNI8XxpYHLCkSsrtWLTNbs+zlt VZm3qYgtkCIvSyRtUSQyPGcKasPqGJZvl3I3FgKyLYAwyuRxkgmYukNjpPH57+pxY7qi7q7PYycz H9eZJ+7MuBFngVCGLwbRuVyS40ACCpo043PzGiGtv4dJTQ4CT7Saa66AB2QM695dUOZYZ9GlcFpt rxpxe3VBiCLWLiYAs+SkJCL9LSFLpS264536uFvUa8F4O8m4LhZAv9HHVscPJ4E+bG3ZN5PtFonA wZoBCM3VZ185NMr1z/RlQ5GhQ1GogMV4VvMALJms4MRMaJGOAIN3/Oza16lN5x9eH8JACLcsKIEf mJiM67BuoidbBkDhzui46QEZgh2twkHeq/eYWqSNGanicuBrf58B3/KdjFpW0ucFgQjlPlYsR4od ap67k2oIbH6and/qqxZ54WRsYoDBmcKvBKcO5XzymGjmMJkXQ7KomE/BIPOfC/lpJdsW6YJBGOLq sDQNZqAhg+ggrBuwSRRh56GJhdNlya2KufHZiuNaELqhEMiQxH9U+scwK9ZU8uV0NrfOnGFO1Atj R74s/D9uO37sk85qzGg+7rSh9EBNTUHL60WmHfULyc8jAEWBiRvCnD6mk9kww9CA6nE2lE5Onz0g wRlKVXwwYslMGyys7C2qPDa82NGwVoWwEfQQsTL85bSie6SY3jh8QzyVEPdI9Ok68OwWVYH3jFBF AxplMaR4NX59HjNuQIuX7xGhSzJ252R2YNJOqEN1mVLzNt3PA+KTlcR8u/OF1RXk+blnEuQX1v8C HlTk2LoRpE3Dh5Ao5RjFHuYWQCqvy0gqLJR3beN3gRmD+HDwXRh05NHfis1b8ydQek+r2Zju4aWm sABePIb/TdXRAVtNE8AGZ5V1viysyDfEtu8FeHM2Ed9EziAvxT8GhF1Tno7X2ZJFhmiHmji4FQjy C085of+LBGiXbdu+3NV42bwSp/WYrCV9GRFFKPc/J9xQxAeodQpVE9ZTpeUAx7tBemhrAa4h2eyP Dc6Oy8cPsp0DkvuJwkSs+hDKaumoyukGzX1lL2b7DYjgUo97lMymBJXFttkbMcvQ/LRjI/nWTkzQ qWUz7sSFkg4G6WZSLmoNtQrAooDzTzfkaFlP487HNQOhSBFv4KLcfR0rICmaK6UC1sluh5CRawrK 9TzciwOnEIsesWNUAb7yeEIjU9aO6HClioRiqubqcYAZGBR6BCT1RCy3Ry/UWKxfOY0GFJ1AQJD1 NeH0u+OqIQCViJr5TBdrw7zsr+V/ldPt+keHn2KMcO2TJGrwVoIJfEskiLnrrIUNSCV21ErzVOS9 3lWshSe+RHT+23HWcua1cPG1TJm9rI8HDauL0WPHaLqeik6hbT0hIf12kFepKxw8nYn4SJ2nEOWg 8+F5saHb1S+5EmGAMbX85KNzKmidupbLD1MuGJXiNU0WrPMHbWD2JcPoa8ZIuOWQ+x5jCLLGDfwa Yp4s41D9vLMC8tzoxYhTLLcLnEe6JKJ4d43u4l93jEfpHJNr879+QniGuH9sp2JsbX1aEWlPRYtl Fovk1DMs3bUno+D3NAk1QMCmVCYGvLCOu8EzVv3JmbtFqgtjZTFGF2hJjk6tPmU5SyGHjXZtsQ5e oa03aZwT+WbXD4b9r4a0qnaX/gG881LukPhcmmnSIfiOYIY31TIHEjW/+mdfxJ51m53yrZ/5y26d Ev8p4PKaNTY8lAHgnjJ48HdrZ6vhhHzF4Ml6s9haAMP+e0U/cSJDbED74vdFKtnMMozwmmUM9tu7 qmtxnx29juxeKjzAkJaQDwldBKdDL2R/mpHWn0oxitQwVM9VvEdzJQW39A34KqlFqvic2RwEmNLP C2Lghgn25OorBqtTlmgIecmtBAnlCB/5SlH2O2ShrJR/UaFnUzjSVjUU1xcqTIcWmwX6+q7n1+2V eyml9I1xq1t/AiqYUl9Q2RHz44Z/nPQgCB0tk3s4fFOKfvQ07/24Rma2nN2jv48XTREX/wCmgI7n 9OSxOQoAId2RJ2XGt9C4xdZl2CM/mtWH30IYOjzst1oZt2yIbMmu+cOUIppwwKeJPk2wN+z4DHqh 5FrEOMtesoWgTXPGuLIrKqvwRdFrDQ1GrIMf9XcTzTYejnciyLEWMhQPMN1pq0TIftrkA4f18lcw XxiHUn5kKnvZyfPUtzsCTuEkqDKx2BkfpmY8q8K5C5hYn07hkr/sfU73T7Zu/WxdJAKM4PbC6fAU 1TIgkHkXyNc8DlGtPIsG2mHwrBKEJiV/y2dThYnN2U5WIOSZk8e5vVi3iNhlnS/5zF6nOQ8pBdvr +7AxJtpouH5Vx0ZplxpSuPZQdNArpxXW/wvasZXcDt7nZQ33x+aT+hTip0VVvxSsL7ZEd9riQe97 jfsQoVdrkCU4yiFpSzsmxf0iGCk+0BuHEFk6aF/3qc+SgugkvGkvJyBPfZcHcLOo5fsdGej2PA7+ 4IwZGLNJlctD7HYIVuskiU2erj2w7meTjpSiF9IlK+a5aN9sDsfmjWsUBVWso1g3hXHkNHbVWKWI e/hvhc03X5AaIxBGef7mXfc36b8NB2EtmwPirbC3KxLv0qJ9A77R7l3MdZH4dnoj/zEd65QfiyVG d92OoNf2eS5rUe7t66qIlMlTKCgkg4Pmf+EfLW6NL8xZhMkp/gEmgOT4x/yhSuzRDVxVnpWQFPz2 oAfAjDc/Erl97qKBfHjoAOIc7e8M1hLIgsoJSsqn7oxGbKaOmQtpwUybepwN0LrYr4ROcW1m0g4h aPXisnBkzyqVc0Qz3TiJWz1asu/rAbiB6KmnNRSqqe1PIMsTYqps7s/AmM++2DO04YHUdeBxL+8U GAaUzT26QMkgJoZNu3w2VlXeUOmPlpyCy9DQvvfzMllT/AcwtU71UOOWD7ZELsghGVY3neoMArZd sIoL8vj+xh3afdlXIWM01987CvlEeqrFECdHC2x3ecykVG1Y6zFkwyKy5/+zT4e04GS6flskBBK/ yHVkCr42X48OqnpRHsj4kdhCMnZ64EIQUwdDIcl5fyve5jAJnAdjnl5wlFKgWqznNZAzWAyxwIFc KjZ6vLwsoOWiWt2anrV7rP4TUo6FePRA86+bMLO7MCAC+toaBLkI86vpg2AWRg7mU70bTzScHpSO bC36MsT+RGsJEkgVor4+Iln2wCtzX4viuFB8nQ5TPF1ExZrn5gUDAvp8FfqG2YgLpVTGNBT/dijL sx6EDstadnUKZZdh7S09mIl00JDdVjZ69XbPQQm9d9yCS91iPXvi/AaDHqHRHp7p3tzEuDM+n1nA WkUfNpEzvc79sFIKIaSXd/+TSC6LAy2BW+tk/ErM28NcjgPrry7yzoDJBe+Z2sqtR/dMV3iDEDLB GKTKhmIu2VgiqboXaR8MwqSzzIrSI5rcAuRJ7Dp5cj3XVr3HAUyTL9miuQjCm+lfuI/1WuTzgKlL OEIWXvDXe53accCNWOj2QKCGJhzZNoyuxhxN1RVyCDG6JzYezpeZjMe5r5dV4RUkgA7bhzTSJ4zs 7fDdv8F9osZh+qOXiKuuPNcpyWB/NyKj/ba0RG7hkcQm4B1TP7QbcLnBUgqlkkmYZ1xZPuSotkEQ I8WUgG3GUc5U+jTz6I52bzcLm9l1Ufq4bH9dAdWq+VeuIhs2+BSad+2C0b3VGOLIlrq6iEdnHqG5 cBKNJs8K8O4PVLSpXuKgoWN87p04DEZUl7OOYn9+6aiRYY5nh9Nb1+5pP9l1kNZMKw4w07dd3pPT rgOkfg+Iq/7sBR2zcxmTsBB04yWyws4MctXCZf/yAd68mQIaOo4BOZegcPUhV08gUGAbAm9V5r8s K5PCOa2ex38Tnm8V1lT/s2Bx9Q4yOROEAU3GLRu6CxEXM1fqvyFt/NWw9ep2nJbMX2APFpBbVuYc Yw8jfOpXKZLP1UFhUc2CooCZSLhKm5hyS854xoPfZm3t8HM0t9p/3KJlgdWMO+jXkn+gKGzvW9HX q4SHZxl1akuIwsj+M/sk70TQP+kbhrA/rSaHjqYCNTCdN9iOG38K4+uUNiKjG9/6YYTTUTI7n96G 6QwJnmivT3HIgyFrKiFXAxPCcvZHwBd0rkrgZ/+PKgj5RfiPjaebmWLernAlTEHimhXUMXgdBr1C G5yUiyOy+SC+f/RBxfTLqvqXPXOJxcQTUth4KoQTsUmgSjKbzMG1qKgVLf8PUF8w9IqszdM77zS1 HtPrV59nMg/WRd+bgUxQbk6ZEmq3aos4DVgnP0k9g4YOvCTreeDa7EoUDXpEuA2QSrNxCii3Bkxb gWiV1zjboMGh87pFfNSuSZR1ti8ZMSKVKFAZldPuwRYpRZgzzoEKzrJmqDD9MqqlpqRIkXwUYXss rRfBI1osWHPb6tbD+66QidWyX5l8UqNtRoQStIctAYwpHoThdm0rCGgRAF7glP0mkKZWuoehYhtt IkF7HvXVsXIJn112X9ahdT5gmWQbO+S0W8H1VAUEizoOZFbWIIrbh/QuaO+0yQ3Xwv9BzLSFY6EK xFywKOOHsgTd3IeiWARvg882cEcvitwcKHbHBXwet5o2ywZ+5T9/GIxOdolOmN56WfjwqmZ3IYtj fuhCUQvWghlWvc9psd6Y+YdkrNtFrWjpvj5jGTjy8UviahzyQMRzdC18BG9mq1rGI3LxgD/CajTD t4tMCD4QUr1GpGpZZ6Zd8s1Oq+ZcUm3B4x4YgOdbDn3CVoW2I7PvXQHF+cKfydnLZRJyu5kqcUDt LWiDB5mDXuupKlz7pJwJPjQA7wh/kpFhk0isuW/EI5bhDOFIyVULMnmkoXgJFK+UJePWxt8A9m5g aL0AqbU1EVjjg2Itx8bg/0UCpIhjtVLHuHJN56E+WaawtvvFaIZoSumA6Q6AinD+SjaI1ai3dUaW QG3IAiK+WSxANNTV7GlyOLIzMLYOAduF1B+SEUgWiQd/C6kNLVYMHgjmPlkWink+wTLi+Wf/XQ2v 8c57ASeAufk1cECafQA7fW0IuMUv8C5ayd4XosXTRxpCiHXIsApIe2MGcz35K3RruOc15JThkNar V7NokUSbsbb6Pm06gsE0pfvYUuwU87teB/qUfbJiCUfwLk/2i194LSL1kLcWVvbs+zKfLIXWVJcL IiJMhJm7z+sAhHLsuEmb7KzihR2ammXr2yj67GsW5Yx0OrY9fNmh22MO4uTp12ewqlgzAa0Vocrv PR4HI+UxBKE4KJ2LX7qccMq/XBsFO6bHHn8l41zOFQ8jopSUdrIdA1KMcJIuwIP/db//JNi24Lb5 cX0iis7bOZkyocgf7laHTelQyHpc9fzf/P5V9MSWCN4TaE75xgzRsEjfDL5jx8f+QGobgWt48JjB octBY5d9I114625Skwo6uRnxmVqTnU8D+1XEa6U6D0aTKCDEM9inFVS3vPRLl4vcYqJz4i+jUE6W /9zOKzTbcJpB5gg6VCpmqIF0r/Mz5L0SNuVxs+zZTGE+z64gxXtJhyv83qrRa2dLS6ShmxrNXDWc NcSMgul3p4ceHKw0gj/RzpcW1kOafBFbJ9yYl2WUAxrokiiwqXcIPnZq6br9IGJ38uD45j6/3Itn gxImgpPfo9EAkhY4mtaZs2GKsuK1vbiiM7UtsV5hLWwHgzoVf+NYIeksrEBIRQR0o2VmrujNR895 s/yQL+J6Qnmj89fD0gAsrtKWevF4q2FOaDGQDsx1vPG87PAq1x3KZyuTDGcdBeiiXcgaDAAVUP1b YB5hV1/NUVZqU9gM5q346B2q0wJiK5mq6AZeIayrIUDLrGoUltMF8xv8MQvoDyFBYjjwLS7e0tEk N0LarhOPVsp/+3M70k4yMhIyqrScJcSdAmyRoH//yMqs+N+/4Pvd5BgIfC/WlNpgDcIDgnHKXs0j 9SzAZ1UiZsKE65WMG74KxOMqZ9HquFm+mFMS4EPkLed/HZTIzZNWxk3XHgVFoPk+Gp7+jmu+0pQI vaBsuj3UpuhM6MZFUHAEi9sDPN/wHGcVZgt2u77cV4+F2WmOK00owkVr/TZU3Ew7AMtc2Hs0Trs4 SFIR4bjyB3FoMEOG8IXLawrvMO84htLvCFmoWnRiZ6Gh00KVnGeo2Q22P2wGkMnCpyz9Iyt7GZj7 9MjoDmpy5XU73u8tovQ3kB3+kmzF8VUpfb26rWPi460uixHc4k4dbE8ZIFRqWmKhGgC1f0E+K4Ua lO4zd4rVYrMLh3Za943DtuQ3aSthmYgN3Ki+FsKnOhB30zir7tIjzDfPYcR1UdO4cJxmFZhEJ8NW TT/guy0zNj4Uw4iBRBzDi/RPFWMrL3JjzQiOShisOBdPWdILqytHPwsNJb8Mri1KhCX2Q/5ddx17 6iHA4WrG6vsYEvf4FUT4Irp/ylUT4fQMKCxfgp/t/g+OTlk83lxpGfGgLmATpUXNJRl9qhrd07Cp Rxr/iBwFtLdoTWdOJMCKu13P2Pyrs2oPfCzqNppoOIT3VJZYAPve8pjU/pM/zgsa3r3ZuVKoCBzp oDfHjZdPYntX3qla9NB9RadCqd0aO9USw90mVq5pQtPPVQrs2JsANFNGpHcWphmDrDTRDJPDi5h5 N7EXC5H3qBzJYIu/aqz8EMXAoMYV7xt6BIaK1wctioHfhOeEmZLqv/6sCvRn4kwGRyjOR5IQTJoU lXh+RsqSHhIa+tc9VJSKrS8p6vmysmh2lnEwircudkXaZoUE+gXWEPtO4xbpokov5CJBkZrxC1EF AoPRf4tWCog+Pl9Le7h7ZUOdTxnkHHmMGUmEPvfF1ApPZB/wN7esNiFF1CbY5kJRYhCa+8flW9hz oO0O6c2sBVOkcR7YfuGAtNCr7F24QK22o/X+CtiPSqFAGZVwpuw31VirzSrzYKfrz50MjSlH1wCu NooDgdOp2PxQMs3ueJHsQPXfssVfQWu2MduWaliGVHkrSV4ieCT6E35Y8/pf6gIBZsbDBRl6/4Uv gAfLEjaKTc1oBJa3HSb3HVTlMR15pPMit0myws1oauMli6VYKqz+Ffw9R5XoxkKMDXyJ76KffnX/ gWe7PZ5uoos0hRLEPaD27HLtx8lS/J16yPFacHH8UdOsbZKyHaqfV5xhaQ0qBMRTQKB3btbmKw2X VaO5YWhomArScXf/Nw2+MPmxGsmrwD/ytDvPWajzhsAWYWbJdLTWI6K14G+i+4Cc3BsXUGeA0cMQ bUmJXb+BcbXChGh5hnNd0NZgfydTxxLedc+OGrCmRxJuHIzkexJBS9zChb8dLdtlVFzBxuxYWm3w mT3VH6O+j5ytH6kPpYwR48Ak8mCdvSLC7556AgNMOqDBRsbi+4ZmmnHxijPXLEZyjBpn4hnJ/4B6 qik2/79yQTvcbICx6onJVJxoIe08Tv7kC8oxWqwP9a1GxFmtQWePtz7DiOnz+ZSH0cPRI5sWVjPf dRpvhySBWMNXjpNpkKXoKy5txYVBJhAQ28CKZKo05Sy9UXWBauongG3nCAaZmR0TMEoW76UTITpY dACUcRJBjM5mHuh9/FibJhlcOBFr7mbsrFP5ZlOz500u657i+0D6vOe7Max2q5dsiTQZCfYtlTTc ZflcBdhbFZo9d+LcdSMMjdHU4sCqCrsFqrtWOeGuVcJCfq1H1aLa4N7U2wGpKiruZFNNfehPelcI B8+t78ztUuGIfPZiojuGRFb37ZD+1uSpP2s5uLttCffOpX0xHTy9/1/nQTFEOon3daYTf6KcLQjJ mwj5TR3yWaiKvSzqb1UCmvNEiLEz2DhJGFK/x+FxpWgDQ7ZwtZixApT1pi5kxzHPml/8B9RG/B8t 4mpB+RMKcHgmaAIBrPG+U7HKuqazArRodXc3lqmWQHIEkc/5VonYZ8lS3d6hoJFpk2FsfjY2oSv+ By72M3HClUo188QZuE3s4yT32zjQqxnSMRqKBw/Medry2T1Fh/EqTPyzQgQY7CoiMGNtCShweh9w wxnzAGR2nbfrdIuW1KKn4D/IBUSUT3fiKF+S+fDBiaFCz+a8HFQYZN0vZrus+7kKnKYFuaAS4/ox Xkh1yk5RHS782O6AhkmFZMbeWwRymlfKLsleNgxXylfjUBMP2k+JEQ0VVfKKueHH2g7Y/aiBHwNK g9CCiaMls9rSBlwjBqTeB+6jw7ZQAEZ7O3o/XL1LFp/IVXOMLc/mK0LnHRDWDBkn+ZIWbQycoJrM 9OUy/CXyoneUoG4EKLgeBIL52Susxu0ynKwuS3uhcr6X55DEkSNU71XQ1P044WPahy1peq7NmmEu pJb9hkpEDql6EUSdckEsK7oaO3uIt/BFtlerVuVb1E+SnD5pFYYK56YDSh+mPWgwR8qZxTnOXXm2 tKTSlad4Swfh8MmycPRguKzLnbx+peDlrXzA+hGUASEmKYt9Pfds9/UNb3bocCsf/FnpWUuFlJaF sqoidp6KeJxUvFAPoUMNoobvz+GdmplJko2HpmyRgBy3GVPFkCMEPSnbeniYiwCVYdpH79957Ag7 xloyudg/k0SIHlsNqLW/ezDYra9WkEoJLyUvfNNqdJpa8vesiOSGqmUxwqNitRehxOOW2NyG6+hD GWwKvHV6Vm2p31JWBgkHeTW0wiBWSDfyTUYNUU2LQNRCpgrWYRU3jolSOoptls2oYZ3GbPS+gCB8 TzU6LNR+JkZmI+/Q6SGHSE5HZfiX9gch+lDMlJrKaRsAQ6mW2DZSPD14hQ3wnKF+ErnYAG5u7mSc s6hrhX09miv/A+EPK//NxuytLcNH2QQIoXhaTkxhzGDqQ6ojTw+4XNrkFuxopvxuK3UQsYbf1uSZ 2nA6ybOA06SKXi6JdD/ABlpRy0mn8YaLvA5G99Dah33CliRzT0UVEhtoyDeavylDV0BDOrvm/PDm yCC4zYKtskZQlt0WNY+9K9BCrNIKlVU9/DkDCH6fUTGooiECEsxI4+r58wc6WcboAhWy1jooLrR4 EsM4Mip8eiUdkFdZ69wm8VHa8NlkZE/mIncn7PDdJeRWQw5aYx9o8Ba7TSmvhaBZAN0WzKqdJXMv gWRKQ+HNn08SVrJ2CXjQGA+fu5bfh8CrT7Wo6zexkL4tliuL0fHRcHIPUuemr3RfeL373SSlSMR7 HyP93GH+YOIyspREMd3bwURcGa7ypGon6AQXp6m2ioCFxoyrYY4Tus63/FSrozpvfwtei3kLUCiU JUpIgUzh1AE7ZINZKe8YSFQxgV1YkYaDVVvvSD3XHGXGN5x5Du5GF7xK00w34EY0SkVPelc4AvZp yfggxlG5EcfwLPmXn/TpI7tw5MIxI9ahITO5l3t7ubZXDBzxbSxSotxk1v1znAfNAfs+65L84eak 4NgzhIJjzmeqQGBycPD8kyKPlpgzR1R0lZE0BxLy/OLx0O3QSRtkWNmyFuuBiWHvX+wKWWMgeRCL NMAZYbvkMQYgQPm+37HOmKQorLpjLqoQxR7zZhF6781SbSlqHhNInI1JysNAZv/FMZGxGD9usCwx jt8oBoJk8yp7Sw7cpKKAZaM02vFqxJBNGV0/qyiUdDrKCQc4QJJTnKh4xhQxIXLHmagRR1bj/5mT gepJ4aX9eYpqbiD+EPW9PHwlE+XAv+7jDkT4cXEY4oZCnv49Vk51KovZeRofM2YjwSmH2cTONLoi ldSGvgiKdZbzFnwfchaTRJ41hTR4AvN5YD7J1ka6zcFJ0xeTHGRIGhq4TLngUhIt7OOsPeQSYQat YIOcj/wlIK75/IKwDc7+Cacjrht4IdqsZGpH4RxW79co+MPPJ7iIGUsul6B62mw4TVfLKjq000L9 TV3BsvzvC8kpOcEhAhmQEUYD2nETPZb9x4mzIIljpnryHkMBMn0ASUu/fUkNVWZYLgeA0y1IMM5N Ez1+gjEQiP8px2m/Ecsro0z34vW44mTCbSKH+pROSD9UITztGl3QhSFklUKV9ILywBRhugeUwJ9y NCLBcF1IHhiah1sTs+GbRoHWVzyMHw78ocMKJ3gA5dxNdFP+Sjt9vOlm/Rd/CPABOU7xqZMC0OMK 82/C7HsvOS8ceb2+nttzzqbq8zo2td07gI6Ui+JMEsLqFlHjmD4Ism7JPAeW10x99Y8RIKqz4Ti9 cJUxXcefgvqXDQZo03ZulbFGH3lFZ8BjaNaPFvBa6bNSwSLwrgRtTUQHv/Wrg/tbn9eoA/tjKZxE vHSDQ3WkUi6Jsaz3IhX1+SiqB7ZWkY/soSiu34MHHECMVyk6yBRMRPWuZI7gxO1IHFV6ksxRQwh0 DvVFP24o2VG6wG8KdEuVkYy4YU0Mr5M0Ac9ylYou5t0n8QMSnBkUc48Ypzcu/Dqn2gXbfIBYWXka SOpNmltMxstlz9xtSiLdOyIDSUOj9xyBXxjkFOMQWiht28P8kLf7t5aSlXH731Lgn5pvP8X/I6QQ KX61WBUlZqDYRvdMkY5ab63o4eKPwEV9Q9eKhX/mQcId+KXyos3AsteTfncCRCXjFa7bFUKVP1aX Ido6dBnATDwaZ05tLajjJithwEklsH1wkqxot3FvmBBkHX6F0pfBUZBgqvZCHnjvwsunpuMm9Q0X Vf3ZvDYioiS69QXdxz4HaFgKu3a1MV6k0WgMtKk5gxcujJ4oWMhl6EDkOAKFHigQNM63KTYiE/Vn Sv6qsSf86r1GadqdoMDXCsIU9IRKgVdWdNUTSXdlmGehZ39LP1ky5ePUJe3whfvEh+VInGtmVf7t NCMyTP91S73D+D/cH3r+uNW7JJZdkGHP9oB6mXBx1CRaxIQ+gsivTqa4M1D3td6XlAz4viqV5XD0 uH//CF9oQ3M6sWb9Z+VjksAg4wg68H6kILLdE4K+gqo9ml/ymZoGt7CxG2Wlfehn2hB7QaeTxP5M S04dHUf6Ss28CXPvcvKsj2u7thy/OVABLgZdrJsIzbbAkfhgkodRPFVY+yS+82GkDr4IgsWH647j Q6+DAAso+zl2X3rjtA8QZ6fEQhxr7/sKsnlivOEAhxboxNsTix35IFvu45U1l+BjjGZY10kc5oM0 fSgxuDDVdSEg6uDYB8pkoQoTNYLP3sGQ94Z1xzFfNszAgkHykEXSBNhqAan+8v/f3hPAKMHc8bQu 7VKsbJZRomvQk81v6KBdMNtTiwIxIWW0SqNf0y1fHXxtZYJosEsC8q79bR8HRg5YkXzKxQ+Wt0fI NvMu5iNndkyoU4JAkZzLrQuOlhfxgHGYuVoGHsG5+WwbXArwRDN06cE+Aboly+L77jEdKS2tF5XK 1XdX5blFYRFQsSvF2yFTWi/mOR81YqqovWNGPAOTyqXkKxTSeMUheBERGc8duC1gcmA94/hR+slg G/2+3ZJJOb38Mgc+5YlKBnrDBLGdCJqfU7huG7vcPMrOnIrXVQYKIegTUb1P4qSc1Tzhi7rPwA0V s/sJeBkW41QtlRWBOlJp/18MwrEERELiFG/uHpT4r04m1FCPEy1G3vvoHt2KptI1qng9OwIIP/Hm Z/S1GbXOQKxibBiTK+iGkwic2jwA/JOTocRdZRr/HEeb0xqy8d71g8vCG8hACNPrxMIOIZ9Y8Qwz 4cRkiUUvs2LqGF1Ebmv3dDbqqtAFAjgKWyC/+aRRvAj5ayZCn8dopw9ihmdEh4Sk86UdVB5BO32w +hYY8WMH6vladeVcwK9hCHpcZ31kYWgDGO8waPLTdE37R6o/3+8078VF9lxiUurB7YvnJQtnzuR0 65xxFpQIKzeJEVMSF92qY4pf/H3PUkivC0nkaeFk21UeTRpAqrkc5DhuJ8zUC1hyrxukYUfLPrIL 0S5xNQBcdsyjspAU9jLeuPyyGVKCaEePBqvtgeMuJMlUW0Ohm/T6TpanEE3jQbMfo54cDSVuvHC0 9hDBNCV9qz+43jAueWYzeYcf2e6STfjFJ/7788im4sv4VuAICEMGG/DSnQ3NyHU1jfggPuYffFpW yydbbgwFt8u0BPzzBVe05Be5I0/QBBUDCHEhXk6TsRpOhMvep1ZFAnQJWsmJAA9lYp0CQyVTi/Db jOXVBiqApy/8D+Cs6kTfOU0V+FEFpaLFHSlNk1p/rE0Xu3vftigHVyoXnieYmSXQyMtDvi0y2L5r eNW84mzBEV49vdihoNer2uFmd2q6155KTxBF+m3wYDjb3OO8R7L1U2SjN5s9YrdVsbinRn4s5b1I HUb4rcYv0cxB9gS2QrEH+Recv+Eh3KLCzY8rRrjyefH7BpxGFRQKng/PGiJNgZKMJUu5yOA6erXQ VHtsmnrwOK22/NpW1pq59/xiCEreAb/SnL/vux3w7w2raJdfkE4bLdycn9S0DMxUiN0jLJgVfwwi 9g== `protect end_protected
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: clkpad -- File: clkpad_ds.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: DS clock pad with technology wrapper ------------------------------------------------------------------------------ library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allpads.all; entity clkpad_ds is generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; term : integer := 0); port (padp, padn : in std_ulogic; o : out std_ulogic); end; architecture rtl of clkpad_ds is signal gnd : std_ulogic; begin gnd <= '0'; gen0 : if has_ds_pads(tech) = 0 generate o <= to_X01(padp) -- pragma translate_off after 1 ns -- pragma translate_on ; end generate; xcv : if (tech = virtex2) or (tech = spartan3) or (tech = virtex7) or (tech = kintex7) or (tech =artix7) or (tech =zynq7000) generate u0 : unisim_clkpad_ds generic map (level, voltage) port map (padp, padn, o); end generate; xc4v : if (tech = virtex4) or (tech = spartan3e) or (tech = virtex5) or (tech = spartan6) or (tech = virtex6) generate u0 : virtex4_clkpad_ds generic map (level, voltage) port map (padp, padn, o); end generate; axc : if (tech = axcel) or (tech = axdsp) generate u0 : axcel_inpad_ds generic map (level, voltage) port map (padp, padn, o); end generate; pa3 : if (tech = apa3) generate u0 : apa3_clkpad_ds generic map (level) port map (padp, padn, o); end generate; igl2 : if (tech = igloo2) generate u0 : igloo2_clkpad_ds port map (padp, padn, o); end generate; pa3e : if (tech = apa3e) generate u0 : apa3e_clkpad_ds generic map (level) port map (padp, padn, o); end generate; pa3l : if (tech = apa3l) generate u0 : apa3l_clkpad_ds generic map (level) port map (padp, padn, o); end generate; fus : if (tech = actfus) generate u0 : fusion_clkpad_ds generic map (level) port map (padp, padn, o); end generate; rht : if (tech = rhlib18t) generate u0 : rh_lib18t_inpad_ds port map (padp, padn, o, gnd); end generate; end;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:06:07 04/13/2016 -- Design Name: -- Module Name: Shadow_IMM_Add - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --Using Unsigned for output -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Shadow_IMM_Add is Port ( SHADOW : in STD_LOGIC_VECTOR (15 downto 0); IMM : in STD_LOGIC_VECTOR (3 downto 0); EX_ADDR : out STD_LOGIC_VECTOR (15 downto 0)); end Shadow_IMM_Add; architecture Behavioral of Shadow_IMM_Add is signal RESULT : STD_LOGIC_VECTOR(15 downto 0) := (OTHERS => '0'); begin RESULT <= SHADOW + IMM; EX_ADDR <= RESULT; end Behavioral;
library verilog; use verilog.vl_types.all; entity Etapa2_vlg_vec_tst is end Etapa2_vlg_vec_tst;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc305.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b04x00p04n01i00305ent IS END c03s01b04x00p04n01i00305ent; ARCHITECTURE c03s01b04x00p04n01i00305arch OF c03s01b04x00p04n01i00305ent IS type REAL4 is range 0.00 to "999"; -- Failure_here -- ERROR - SEMANTIC ERROR: RANGE CONSTRAINT IN FLOATING POINT TYPE -- DEFINITION MUST BE OF FLOATING POINT TYPE BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s01b04x00p04n01i00305 - Range constraint must be floating point." severity ERROR; wait; END PROCESS TESTING; END c03s01b04x00p04n01i00305arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc305.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b04x00p04n01i00305ent IS END c03s01b04x00p04n01i00305ent; ARCHITECTURE c03s01b04x00p04n01i00305arch OF c03s01b04x00p04n01i00305ent IS type REAL4 is range 0.00 to "999"; -- Failure_here -- ERROR - SEMANTIC ERROR: RANGE CONSTRAINT IN FLOATING POINT TYPE -- DEFINITION MUST BE OF FLOATING POINT TYPE BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s01b04x00p04n01i00305 - Range constraint must be floating point." severity ERROR; wait; END PROCESS TESTING; END c03s01b04x00p04n01i00305arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc305.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b04x00p04n01i00305ent IS END c03s01b04x00p04n01i00305ent; ARCHITECTURE c03s01b04x00p04n01i00305arch OF c03s01b04x00p04n01i00305ent IS type REAL4 is range 0.00 to "999"; -- Failure_here -- ERROR - SEMANTIC ERROR: RANGE CONSTRAINT IN FLOATING POINT TYPE -- DEFINITION MUST BE OF FLOATING POINT TYPE BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s01b04x00p04n01i00305 - Range constraint must be floating point." severity ERROR; wait; END PROCESS TESTING; END c03s01b04x00p04n01i00305arch;
-- -- Clock on de0nano -- -- There is one clock source on de0nano board: -- * On-board 50MHz clock oscillator. -- -- Author(s): -- * Rodrigo A. Melo -- -- Copyright (c) 2017 Authors and INTI -- Distributed under the BSD 3-Clause License -- library IEEE; use IEEE.std_logic_1164.all; library FPGALIB; use FPGALIB.verif.all; entity Top is port ( clk_i : in std_logic; rst_n_i : in std_logic; leds_o : out std_logic_vector(7 downto 0) ); end entity Top; architecture RTL of Top is signal rst, led : std_logic; begin rst <= not(rst_n_i); blink_inst: Blink generic map (FREQUENCY => 50e6) port map(clk_i => clk_i, rst_i => rst, blink_o => led); leds_o <= led & not(led) & led & not(led) & led & not(led) & led & not(led); end architecture RTL;
------------------------------------------------------------------------------- -- -- Testbench for the T411 system toplevel. -- -- $Id: tb_t411.vhd,v 1.6 2006-06-05 18:50:45 arniml Exp $ -- -- Copyright (c) 2006 Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t400/ -- ------------------------------------------------------------------------------- entity tb_t411 is end tb_t411; library ieee; use ieee.std_logic_1164.all; use work.t400_system_comp_pack.t411; use work.tb_pack.tb_elems; use work.t400_opt_pack.all; architecture behav of tb_t411 is -- 210.4 kHz clock constant period_c : time := 4.75 us; signal ck_s : std_logic; signal reset_n_s : std_logic; signal io_l_s : std_logic_vector(7 downto 0); signal io_d_s : std_logic_vector(1 downto 0); signal io_g_s : std_logic_vector(2 downto 0); signal si_s, so_s, sk_s : std_logic; signal vdd_s : std_logic; begin vdd_s <= '1'; reset_n_s <= '1'; ----------------------------------------------------------------------------- -- DUT ----------------------------------------------------------------------------- t411_b : t411 generic map ( opt_ck_div_g => t400_opt_ck_div_8_c ) port map ( ck_i => ck_s, ck_en_i => vdd_s, reset_n_i => reset_n_s, si_i => si_s, so_o => so_s, sk_o => sk_s, io_l_b => io_l_s, io_d_o => io_d_s, io_g_b => io_g_s ); io_l_s <= (others => 'H'); io_d_s <= (others => 'H'); io_g_s <= (others => 'H'); ----------------------------------------------------------------------------- -- Testbench elements ----------------------------------------------------------------------------- tb_elems_b : tb_elems generic map ( period_g => period_c, d_width_g => 2, g_width_g => 3 ) port map ( io_l_i => io_l_s, io_d_i => io_d_s, io_g_i => io_g_s, io_in_o => open, so_i => so_s, si_o => si_s, sk_i => sk_s, ck_o => ck_s ); end behav; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.5 2006/05/27 19:10:12 arniml -- explicitly select clock divider 8 -- -- Revision 1.4 2006/05/23 01:18:26 arniml -- consider IN port -- -- Revision 1.3 2006/05/15 21:56:02 arniml -- moved elements to separate design unit tb_elems -- -- Revision 1.2 2006/05/06 13:34:25 arniml -- remove delta cycle filter on sk_s -- -- Revision 1.1.1.1 2006/05/06 01:56:44 arniml -- import from local CVS repository, LOC_CVS_0_1 -- -------------------------------------------------------------------------------
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: libleon3 -- File: libleon3.vhd -- Author: Jiri Gaisler Gaisler Research -- Description: LEON3 internal components ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.leon3.all; use gaisler.libiu.all; use gaisler.libcache.all; use gaisler.libfpu.all; use gaisler.mmuiface.all; package libleon3 is component proc3 generic ( hindex : integer := 0; fabtech : integer range 0 to NTECH := 0; memtech : integer range 0 to NTECH := 0; nwindows : integer range 2 to 32 := 8; dsu : integer range 0 to 1 := 0; fpu : integer range 0 to 15 := 0; v8 : integer range 0 to 63 := 0; cp : integer range 0 to 1 := 0; mac : integer range 0 to 1 := 0; pclow : integer range 0 to 2 := 2; notag : integer range 0 to 1 := 0; nwp : integer range 0 to 4 := 0; icen : integer range 0 to 1 := 0; irepl : integer range 0 to 3 := 2; isets : integer range 1 to 4 := 1; ilinesize : integer range 4 to 8 := 4; isetsize : integer range 1 to 256 := 1; isetlock : integer range 0 to 1 := 0; dcen : integer range 0 to 1 := 0; drepl : integer range 0 to 3 := 2; dsets : integer range 1 to 4 := 1; dlinesize : integer range 4 to 8 := 4; dsetsize : integer range 1 to 256 := 1; dsetlock : integer range 0 to 1 := 0; dsnoop : integer range 0 to 6 := 0; ilram : integer range 0 to 1 := 0; ilramsize : integer range 1 to 512 := 1; ilramstart : integer range 0 to 255 := 16#8e#; dlram : integer range 0 to 1 := 0; dlramsize : integer range 1 to 512 := 1; dlramstart : integer range 0 to 255 := 16#8f#; mmuen : integer range 0 to 1 := 0; itlbnum : integer range 2 to 64 := 8; dtlbnum : integer range 2 to 64 := 8; tlb_type : integer range 0 to 3 := 1; tlb_rep : integer range 0 to 1 := 0; lddel : integer range 1 to 2 := 2; disas : integer range 0 to 2 := 0; tbuf : integer range 0 to 64 := 0; pwd : integer range 0 to 2 := 0; -- power-down svt : integer range 0 to 1 := 0; -- single-vector trapping rstaddr : integer := 0; smp : integer range 0 to 15 := 0; -- support SMP systems cached : integer := 0; clk2x : integer := 0; scantest : integer := 0; mmupgsz : integer range 0 to 5 := 0; bp : integer := 1 ); port ( clk : in std_ulogic; rstn : in std_ulogic; holdn : out std_ulogic; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : in ahb_slv_out_vector; rfi : out iregfile_in_type; rfo : in iregfile_out_type; crami : out cram_in_type; cramo : in cram_out_type; tbi : out tracebuf_in_type; tbo : in tracebuf_out_type; fpi : out fpc_in_type; fpo : in fpc_out_type; cpi : out fpc_in_type; cpo : in fpc_out_type; irqi : in l3_irq_in_type; irqo : out l3_irq_out_type; dbgi : in l3_debug_in_type; dbgo : out l3_debug_out_type; hclk, sclk : in std_ulogic; hclken : in std_ulogic ); end component; component grfpwx generic ( fabtech : integer := 0; memtech : integer := 0; mul : integer range 0 to 3 := 0; pclow : integer range 0 to 2 := 2; dsu : integer range 0 to 1 := 0; disas : integer range 0 to 2 := 0; netlist : integer := 0; index : integer := 0); port ( rst : in std_ulogic; -- Reset clk : in std_ulogic; holdn : in std_ulogic; -- pipeline hold cpi : in fpc_in_type; cpo : out fpc_out_type ); end component; component mfpwx generic ( tech : integer := 0; pclow : integer range 0 to 2 := 2; dsu : integer range 0 to 1 := 0; disas : integer range 0 to 2 := 0; rfft : integer range 0 to 2 := 0); -- 0 - no protection, 1 - parity port ( rst : in std_ulogic; -- Reset clk : in std_ulogic; holdn : in std_ulogic; -- pipeline hold cpi : in fpc_in_type; cpo : out fpc_out_type ); end component; component grlfpwx generic ( tech : integer := 0; pclow : integer range 0 to 2 := 2; dsu : integer range 0 to 1 := 0; disas : integer range 0 to 2 := 0; pipe : integer := 0; netlist : integer := 0; index : integer := 0 ); port ( rst : in std_ulogic; -- Reset clk : in std_ulogic; holdn : in std_ulogic; -- pipeline hold cpi : in fpc_in_type; cpo : out fpc_out_type ); end component; component regfile_3p_l3 generic ( tech : integer := 0; abits : integer := 6; dbits : integer := 8; wrfst : integer := 0; numregs : integer := 64; testen : integer := 0); port ( wclk : in std_ulogic; waddr : in std_logic_vector((abits -1) downto 0); wdata : in std_logic_vector((dbits -1) downto 0); we : in std_ulogic; rclk : in std_ulogic; raddr1 : in std_logic_vector((abits -1) downto 0); re1 : in std_ulogic; rdata1 : out std_logic_vector((dbits -1) downto 0); raddr2 : in std_logic_vector((abits -1) downto 0); re2 : in std_ulogic; rdata2 : out std_logic_vector((dbits -1) downto 0); testin : in std_logic_vector(3 downto 0) := "0000"); end component; end;
--------------------------------------------------------------------- -- TITLE: Arithmetic Logic Unit -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/8/01 -- FILENAME: alu.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the ALU. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.mlite_pack.all; use work.constants.all; entity function_1 is port( INPUT_1 : in std_logic_vector(31 downto 0); INPUT_2 : in std_logic_vector(31 downto 0); OUTPUT_1 : out std_logic_vector(31 downto 0) ); end; --comb_alu_1 architecture logic of function_1 is begin ------------------------------------------------------------------------- computation : process (INPUT_1, INPUT_2) variable rTemp1 : SIGNED(63 downto 0); variable rTemp2 : SIGNED(31 downto 0); variable rTemp3 : SIGNED(31 downto 0); begin rTemp1 := (signed(INPUT_1) * signed(INPUT_2)); OUTPUT_1 <= std_logic_vector(rTemp1(32+(FIXED-1)-1 downto FIXED))&'0'; --x1*y1*2 end process; ------------------------------------------------------------------------- end; --architecture logic
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: spictrlx -- File: spictrlx.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- Auto mode: J. Andersson, J. Ekergarn - Aeroflex Gaisler AB -- Contact: [email protected] -- -- Description: SPI controller with an interface compatible with MPC83xx SPI. -- Relies on APB's wait state between back-to-back transfers. -- ------------------------------------------------------------------------------- library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.stdlib.all; library gaisler; use gaisler.spi.all; entity spictrlx is generic ( rev : integer := 0; -- Core revision fdepth : integer range 1 to 7 := 1; -- FIFO depth is 2^fdepth slvselen : integer range 0 to 1 := 0; -- Slave select register enable slvselsz : integer range 1 to 32 := 1; -- Number of slave select signals oepol : integer range 0 to 1 := 0; -- Output enable polarity odmode : integer range 0 to 1 := 0; -- Support open drain mode, only -- set if pads are i/o or od pads. automode : integer range 0 to 1 := 0; -- Enable automated transfer mode acntbits : integer range 1 to 32 := 32; -- # Bits in am period counter aslvsel : integer range 0 to 1 := 0; -- Automatic slave select twen : integer range 0 to 1 := 1; -- Enable three wire mode maxwlen : integer range 0 to 15 := 0; -- Maximum word length; syncram : integer range 0 to 1 := 1; -- Use SYNCRAM for buffers memtech : integer range 0 to NTECH := 0; -- Memory technology ft : integer range 0 to 2 := 0; -- Fault-Tolerance scantest : integer range 0 to 1 := 0; -- Scan test support syncrst : integer range 0 to 1 := 0; -- Use only sync reset automask0 : integer := 0; -- Mask 0 for automated transfers automask1 : integer := 0; -- Mask 1 for automated transfers automask2 : integer := 0; -- Mask 2 for automated transfers automask3 : integer := 0; -- Mask 3 for automated transfers ignore : integer range 0 to 1 := 0 -- Ignore samples ); port ( rstn : in std_ulogic; clk : in std_ulogic; -- APB signals apbi_psel : in std_ulogic; apbi_penable : in std_ulogic; apbi_paddr : in std_logic_vector(31 downto 0); apbi_pwrite : in std_ulogic; apbi_pwdata : in std_logic_vector(31 downto 0); apbi_testen : in std_ulogic; apbi_testrst : in std_ulogic; apbi_scanen : in std_ulogic; apbi_testoen : in std_ulogic; apbo_prdata : out std_logic_vector(31 downto 0); apbo_pirq : out std_ulogic; -- SPI signals spii_miso : in std_ulogic; spii_mosi : in std_ulogic; spii_sck : in std_ulogic; spii_spisel : in std_ulogic; spii_astart : in std_ulogic; spii_cstart : in std_ulogic; spii_ignore : in std_ulogic; spio_miso : out std_ulogic; spio_misooen : out std_ulogic; spio_mosi : out std_ulogic; spio_mosioen : out std_ulogic; spio_sck : out std_ulogic; spio_sckoen : out std_ulogic; spio_enable : out std_ulogic; spio_astart : out std_ulogic; spio_aready : out std_ulogic; slvsel : out std_logic_vector((slvselsz-1) downto 0) ); attribute sync_set_reset of rstn : signal is "true"; end entity spictrlx; architecture rtl of spictrlx is ----------------------------------------------------------------------------- -- Constants ----------------------------------------------------------------------------- constant OEPOL_LEVEL : std_ulogic := conv_std_logic(oepol = 1); constant OUTPUT : std_ulogic := OEPOL_LEVEL; -- Enable outputs constant INPUT : std_ulogic := not OEPOL_LEVEL; -- Tri-state outputs constant FIFO_DEPTH : integer := 2**fdepth; constant SLVSEL_EN : integer := slvselen; constant SLVSEL_SZ : integer := slvselsz; constant ASEL_EN : integer := aslvsel * slvselen; constant AM_EN : integer := automode; constant AM_CNT_BITS : integer := acntbits; constant OD_EN : integer := odmode; constant TW_EN : integer := twen; constant MAX_WLEN : integer := maxwlen; constant AM_MSK1_EN : boolean := AM_EN = 1 and FIFO_DEPTH > 32; constant AM_MSK2_EN : boolean := AM_EN = 1 and FIFO_DEPTH > 64; constant AM_MSK3_EN : boolean := AM_EN = 1 and FIFO_DEPTH > 96; constant FIFO_BITS : integer := fdepth; constant APBBITS : integer := 6+3*AM_EN; constant APBH : integer := 2+APBBITS-1; constant CAP_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(0, APBBITS); constant MODE_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(8, APBBITS); constant EVENT_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(9, APBBITS); constant MASK_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(10, APBBITS); constant COM_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(11, APBBITS); constant TD_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(12, APBBITS); constant RD_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(13, APBBITS); constant SLVSEL_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(14, APBBITS); constant ASEL_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(15, APBBITS); constant AMCFG_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(16, APBBITS); constant AMPER_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(17, APBBITS); constant AMMSK0_ADDR : std_logic_vector(10 downto 2) := "000010100"; -- 0x050 constant AMMSK1_ADDR : std_logic_vector(10 downto 2) := "000010101"; -- 0x054 constant AMMSK2_ADDR : std_logic_vector(10 downto 2) := "000010110"; -- 0x058 constant AMMSK3_ADDR : std_logic_vector(10 downto 2) := "000010111"; -- 0x05C constant AMTX_ADDR : std_logic_vector(10 downto 2) := "010000000"; -- 0x200 constant AMRX_ADDR : std_logic_vector(10 downto 2) := "100000000"; -- 0x40 constant SPICTRLCAPREG : std_logic_vector(31 downto 0) := conv_std_logic_vector(SLVSEL_SZ, 8) & conv_std_logic_vector(MAX_WLEN, 4) & conv_std_logic_vector(TW_EN, 1) & conv_std_logic_vector(AM_EN, 1) & conv_std_logic_vector(ASEL_EN, 1) & conv_std_logic_vector(SLVSEL_EN, 1) & conv_std_logic_vector(FIFO_DEPTH, 8) & conv_std_logic(syncram = 1) & conv_std_logic_vector(ft, 2) & conv_std_logic_vector(rev, 5); -- Returns an integer containing the maximum characted length - 1 as -- restricted by the maxwlen VHDL generic. function wlen return integer is begin -- maxwlen if MAX_WLEN = 0 then return 31; end if; return MAX_WLEN; end wlen; constant PROG_AM_MASK : boolean := AM_EN = 1 and automask0 = 0 and (automask1 = 0 or FIFO_DEPTH <= 32) and (automask2 = 0 or FIFO_DEPTH <= 64) and (automask3 = 0 or FIFO_DEPTH <= 96); constant AM_MASK : std_logic_vector(127 downto 0) := conv_std_logic_vector_signed(automask3,32) & conv_std_logic_vector_signed(automask2,32) & conv_std_logic_vector_signed(automask1,32) & conv_std_logic_vector_signed(automask0,32); function check_discont_am_mask return boolean is variable foundzero : boolean; begin if AM_EN = 0 then return false; elsif PROG_AM_MASK then return true; else foundzero := false; for i in 0 to FIFO_DEPTH-1 loop if AM_MASK(i) = '0' then foundzero := true; else if foundzero then return true; end if; end if; end loop; return false; end if; end function; constant DISCONT_AM_MASK : boolean := check_discont_am_mask; function check_am_mask_end return integer is variable ret : integer; begin ret := 0; for i in 0 to FIFO_DEPTH-1 loop if AM_MASK(i) = '1' then ret := i; end if; end loop; return ret; end function; constant AM_MASK_END : integer := check_am_mask_end; ----------------------------------------------------------------------------- -- Types ----------------------------------------------------------------------------- type spi_mode_rec is record -- SPI Mode register amen : std_ulogic; loopb : std_ulogic; -- loopback mode cpol : std_ulogic; -- clock polarity cpha : std_ulogic; -- clock phase div16 : std_ulogic; -- Divide by 16 rev : std_ulogic; -- Reverse data mode ms : std_ulogic; -- Master/slave en : std_ulogic; -- Enable SPI len : std_logic_vector(3 downto 0); -- Bits per character pm : std_logic_vector(3 downto 0); -- Prescale modulus tw : std_ulogic; -- 3-wire mode asel : std_ulogic; -- Automatic slave select fact : std_ulogic; -- PM multiplication factor od : std_ulogic; -- Open drain mode cg : std_logic_vector(4 downto 0); -- Clock gap aseldel : std_logic_vector(1 downto 0); -- Asel delay tac : std_ulogic; tto : std_ulogic; -- Three-wire mode word order igsel : std_ulogic; -- Ignore spisel input cite : std_ulogic; -- Require SCK = CPOL for TIP end end record; type spi_em_rec is record -- SPI Event and Mask registers tip : std_ulogic; -- Transfer in progress/Clock generated lt : std_ulogic; -- last character transmitted ov : std_ulogic; -- slave/master overrun un : std_ulogic; -- slave/master underrun mme : std_ulogic; -- Multiple-master error ne : std_ulogic; -- Not empty nf : std_ulogic; -- Not full at : std_ulogic; -- Automated transfer end record; type spi_fifo is array (0 to (1-syncram)*(FIFO_DEPTH-1)) of std_logic_vector(wlen downto 0); type spi_amcfg_rec is record -- AM config register seq : std_ulogic; -- Data must always be read out of receive queue strict : std_ulogic; -- Strict period ovtb : std_ulogic; -- Perform transfer on OV ovdb : std_ulogic; -- Skip data on OV act : std_ulogic; -- Start immediately eact : std_ulogic; -- Activate on external event erpt : std_ulogic; -- Repeat on external event, not on period done lock : std_ulogic; -- Lock receive registers when reading data ecgc : std_ulogic; -- External clock gap control end record; type spi_am_rec is record -- Automode state -- Register interface cfg : spi_amcfg_rec; -- AM config register per : std_logic_vector((AM_CNT_BITS-1)*AM_EN downto 0); -- AM period -- active : std_ulogic; -- Auto mode active lock : std_ulogic; cnt : unsigned((AM_CNT_BITS-1)*AM_EN downto 0); -- skipdata : std_ulogic; rxfull : std_ulogic; -- AM RX FIFO is filled rxfifo : spi_fifo; -- Receive data FIFO txfifo : spi_fifo; -- Transmit data FIFO rfreecnt : integer range 0 to FIFO_DEPTH; -- free rx fifo slots mask : std_logic_vector(FIFO_DEPTH-1 downto 0); mask_shdw : std_logic_vector(FIFO_DEPTH-1 downto 0); unread : std_logic_vector(FIFO_DEPTH-1 downto 0); at : std_ulogic; -- rxread : std_ulogic; txwrite : std_ulogic; txread : std_ulogic; apbaddr : std_logic_vector(FIFO_BITS-1 downto 0); rxsel : std_ulogic; end record; -- Two stage synchronizers on each input coming from off-chip type spi_in_local_type is record miso : std_ulogic; mosi : std_ulogic; sck : std_ulogic; spisel : std_ulogic; end record; type spi_in_array is array (1 downto 0) of spi_in_local_type; -- Local spi out type without ssn type spi_out_local_type is record miso : std_ulogic; misooen : std_ulogic; mosi : std_ulogic; mosioen : std_ulogic; sck : std_ulogic; sckoen : std_ulogic; enable : std_ulogic; astart : std_ulogic; aready : std_ulogic; end record; -- Yet another subset of out type to make it easier for certain tools to -- place registers near pads. type spi_out_local_lb_type is record mosi : std_ulogic; sck : std_ulogic; end record; type spi_reg_type is record -- SPI registers mode : spi_mode_rec; -- Mode register event : spi_em_rec; -- Event register mask : spi_em_rec; -- Mask register lst : std_ulogic; -- Only field on command register td : std_logic_vector(31 downto 0); -- Transmit register rd : std_logic_vector(31 downto 0); -- Receive register slvsel : std_logic_vector((SLVSEL_SZ-1) downto 0); -- Slave select register aslvsel : std_logic_vector((SLVSEL_SZ-1) downto 0); -- Automatic slave select -- uf : std_ulogic; -- Slave in underflow condition ov : std_ulogic; -- Receive overflow condition td_occ : std_ulogic; -- Transmit register occupied rd_free : std_ulogic; -- Receive register free (empty) txfifo : spi_fifo; -- Transmit data FIFO rxfifo : spi_fifo; -- Receive data FIFO rxd : std_logic_vector(wlen downto 0); -- Receive shift register txd : std_logic_vector(wlen downto 0); -- Transmit shift register txdupd : std_ulogic; -- Update txd txdbyp : std_ulogic; -- txd update bypass toggle : std_ulogic; -- SCK has toggled samp : std_ulogic; -- Sample chng : std_ulogic; -- Change psck : std_ulogic; -- Previous value of SC twdir : std_ulogic; -- Direction in 3-wire mode syncsamp : std_logic_vector(1 downto 0); -- Sample synchronized input incrdli : std_ulogic; rxdone : std_ulogic; rxdone2 : std_ulogic; running : std_ulogic; ov2 : std_ulogic; -- counters tfreecnt : integer range 0 to FIFO_DEPTH; -- free td fifo slots rfreecnt : integer range 0 to FIFO_DEPTH; -- free td fifo slots tdfi : std_logic_vector(fdepth-1 downto 0); -- First tx queue element rdfi : std_logic_vector(fdepth-1 downto 0); -- First rx queue element tdli : std_logic_vector(fdepth-1 downto 0); -- Last tx queue element rdli : std_logic_vector(fdepth-1 downto 0); -- Last rx queue element rbitcnt : std_logic_vector(log2(wlen+1)-1 downto 0); -- Current receive bit tbitcnt : std_logic_vector(log2(wlen+1)-1 downto 0); -- Current transmit bit divcnt : unsigned(9 downto 0); -- Clock scaler cgcnt : unsigned(5 downto 0); -- Clock gap counter cgcntblock: std_ulogic; aselcnt : unsigned(1 downto 0); -- ASEL delay cgasel : std_ulogic; -- ASEL when entering CG -- irq : std_ulogic; -- -- Automode am : spi_am_rec; -- Sync registers for inputs spii : spi_in_array; -- Output spio : spi_out_local_type; spiolb : spi_out_local_lb_type; -- astart : std_ulogic; cstart : std_ulogic; txdupd2 : std_ulogic; twdir2 : std_ulogic; end record; ----------------------------------------------------------------------------- -- Sub programs ----------------------------------------------------------------------------- -- Returns a vector containing the character length - 1 in bits as selected -- by the Mode field LEN. function spilen ( len : std_logic_vector(3 downto 0)) return std_logic_vector is begin -- spilen if len = zero32(3 downto 0) then return "11111"; else return "0" & len; end if; end spilen; -- Write clear procedure wc ( reg_o : out std_ulogic; reg_i : in std_ulogic; b : in std_ulogic) is begin reg_o := reg_i and not b; end procedure wc; -- Reverses string. After this function has been called the first bit -- to send is always at position 0. function reverse( data : std_logic_vector) return std_logic_vector is variable rdata: std_logic_vector(data'reverse_range); begin for i in data'range loop rdata(i) := data(i); end loop; return rdata; end function reverse; -- Performs a HWORD swap if len /= 0 function condhwordswap ( data : std_logic_vector(31 downto 0); len : std_logic_vector(4 downto 0)) return std_logic_vector is variable rdata : std_logic_vector(31 downto 0); begin -- condhwordswap if len = one32(4 downto 0) then rdata := data; else rdata := data(15 downto 0) & data(31 downto 16); end if; return rdata; end condhwordswap; -- Zeroes out unused part of receive vector. function select_data ( data : std_logic_vector(wlen downto 0); len : std_logic_vector(4 downto 0)) return std_logic_vector is variable rdata : std_logic_vector(31 downto 0) := (others => '0'); variable length : integer range 0 to 31 := conv_integer(len); variable sdata : std_logic_vector(31 downto 0) := (others => '0'); begin -- select_data -- Quartus can not handle variable ranges -- rdata(conv_integer(len) downto 0) := data(conv_integer(len) downto 0); sdata := (others => '0'); sdata(wlen downto 0) := data; case length is when 15 => rdata(15 downto 0) := sdata(15 downto 0); when 14 => rdata(14 downto 0) := sdata(14 downto 0); when 13 => rdata(13 downto 0) := sdata(13 downto 0); when 12 => rdata(12 downto 0) := sdata(12 downto 0); when 11 => rdata(11 downto 0) := sdata(11 downto 0); when 10 => rdata(10 downto 0) := sdata(10 downto 0); when 9 => rdata(9 downto 0) := sdata(9 downto 0); when 8 => rdata(8 downto 0) := sdata(8 downto 0); when 7 => rdata(7 downto 0) := sdata(7 downto 0); when 6 => rdata(6 downto 0) := sdata(6 downto 0); when 5 => rdata(5 downto 0) := sdata(5 downto 0); when 4 => rdata(4 downto 0) := sdata(4 downto 0); when 3 => rdata(3 downto 0) := sdata(3 downto 0); when others => rdata := sdata; end case; return rdata; end select_data; -- purpose: Returns true when a slave is selected and the clock starts function slv_start ( spisel : std_ulogic; cpol : std_ulogic; sck : std_ulogic; fsck_chg : std_ulogic) return boolean is begin -- slv_start if spisel = '0' then -- Slave is selected if fsck_chg = '1' then -- The clock has changed return (cpol xor sck) = '1'; -- The clock is not idle end if; end if; return false; end slv_start; constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; function spictrl_resval return spi_reg_type is variable v : spi_reg_type; begin v.mode := ('0','0','0','0','0','0','0','0',"0000","0000", '0','0','0','0',"00000","00", '0', '0', '0', '0'); v.event := ('0', '0', '0', '0', '0', '0', '0', '0'); v.mask := ('0', '0', '0', '0', '0', '0', '0', '0'); v.lst := '0'; v.td := (others => '0'); v.rd := (others => '0'); v.slvsel := (others => '1'); v.aslvsel := (others => '0'); v.uf := '0'; v.ov := '0'; v.td_occ := '0'; v.rd_free := '1'; for i in 0 to (1-syncram)*(FIFO_DEPTH-1) loop v.txfifo(i) := (others => '0'); v.rxfifo(i) := (others => '0'); end loop; v.rxd := (others => '0'); v.txd := (others => '0'); v.txd(0) := '1'; v.txdupd := '0'; v.txdbyp := '0'; v.toggle := '0'; v.samp := '1'; v.chng := '0'; v.psck := '0'; v.twdir := INPUT; v.syncsamp := (others => '0'); v.incrdli := '0'; v.rxdone := '0'; v.rxdone2 := '0'; v.running := '0'; v.ov2 := '0'; v.tfreecnt := FIFO_DEPTH; v.rfreecnt := FIFO_DEPTH; v.tdfi := (others => '0'); v.rdfi := (others => '0'); v.tdli := (others => '0'); v.rdli := (others => '0'); v.rbitcnt := (others => '0'); v.tbitcnt := (others => '0'); v.divcnt := (others => '0'); v.cgcnt := (others => '0'); v.cgcntblock := '0'; v.aselcnt := (others => '0'); v.cgasel := '0'; v.irq := '0'; v.am.cfg := ('0', '0', '0', '0', '0', '0', '0', '0', '0'); v.am.per := (others => '0'); v.am.active := '0'; v.am.lock := '0'; v.am.cnt := (others => '0'); v.am.skipdata := '0'; v.am.rxfull := '0'; for i in 0 to (1-syncram)*(FIFO_DEPTH-1) loop v.am.rxfifo := (others => (others => '0')); v.am.txfifo := (others => (others => '0')); end loop; v.am.rfreecnt := 0; v.am.mask := (others => '0'); v.am.mask_shdw := (others => '1'); v.am.unread := (others => '0'); v.am.at := '0'; v.am.rxread := '0'; v.am.txwrite := '0'; v.am.txread := '0'; v.am.apbaddr := (others => '0'); v.am.rxsel := '0'; for i in 1 downto 0 loop v.spii(i).miso := '1'; v.spii(i).mosi := '1'; v.spii(i).sck := '0'; v.spii(i).spisel := '1'; end loop; v.spio.miso := '1'; v.spio.misooen := INPUT; v.spio.mosi := '1'; v.spio.mosioen := INPUT; v.spio.sck := '0'; v.spio.sckoen := INPUT; v.spio.enable := '0'; v.spio.astart := '0'; v.spio.aready := '0'; v.spiolb.mosi := '1'; v.spiolb.sck := '1'; v.astart := '0'; v.cstart := '0'; v.txdupd2 := '0'; v.twdir2 := '0'; return v; end spictrl_resval; constant RES : spi_reg_type := spictrl_resval; ----------------------------------------------------------------------------- -- Signals ----------------------------------------------------------------------------- signal r, rin : spi_reg_type; type fifo_data_vector_array is array (automode downto 0) of std_logic_vector(wlen downto 0); type fifo_addr_vector_array is array (automode downto 0) of std_logic_vector(fdepth-1 downto 0); signal rx_di, rx_do, tx_di, tx_do : fifo_data_vector_array; signal rx_ra, rx_wa, tx_ra, tx_wa : fifo_addr_vector_array; signal rx_read, tx_read, rx_write, tx_write : std_logic_vector(automode downto 0); signal arstn : std_ulogic; begin arstn <= apbi_testrst when (scantest = 1) and (apbi_testen = '1') else rstn; -- SPI controller, register interface and related logic comb: process (r, rstn, apbi_psel, apbi_penable, apbi_paddr, apbi_pwrite, apbi_pwdata, apbi_testen, apbi_testrst, apbi_scanen, apbi_testoen, spii_miso, spii_mosi, spii_sck, spii_spisel, spii_astart, rx_do, tx_do, spii_cstart, spii_ignore) variable v : spi_reg_type; variable apbaddr : std_logic_vector(APBH downto 2); variable apbout : std_logic_vector(31 downto 0); variable len : std_logic_vector(4 downto 0); variable indata : std_ulogic; variable change : std_ulogic; variable update : std_ulogic; variable sample : std_ulogic; variable reload : std_ulogic; variable cgasel : std_ulogic; variable txshift : std_ulogic; -- automode variable rstop1 : std_ulogic; variable rstop2 : std_ulogic; variable rstop3 : std_ulogic; variable tstop1 : std_ulogic; variable tstop2 : std_ulogic; variable tstop3 : std_ulogic; variable astart : std_ulogic; -- fifos variable rx_rd : std_ulogic; variable tx_rd : std_ulogic; variable rx_wr : std_ulogic; variable tx_wr : std_ulogic; -- variable fsck : std_ulogic; variable fsck_chg : std_ulogic; -- variable spisel : std_ulogic; -- variable rntxd : std_logic_vector(0 to 31); variable ntxd : std_logic_vector(wlen downto 0); variable amask : std_logic_vector(FIFO_DEPTH-1 downto 0); variable aloop : integer; begin -- process comb v := r; v.irq := '0'; apbaddr := apbi_paddr(APBH downto 2); apbout := (others => '0'); len := spilen(r.mode.len); v.toggle := '0'; v.txdupd := '0'; v.syncsamp := r.syncsamp(0) & '0'; update := '0'; v.rxdone := '0'; indata := '0'; sample := '0'; change := '0'; reload := '0'; v.spio.astart := '0'; cgasel := '0'; v.ov2 := r.ov; txshift := '0'; fsck := '0'; fsck_chg := '0'; v.txdbyp := '0'; spisel := r.spii(1).spisel or r.mode.igsel; ntxd := r.td(wlen downto 0); rntxd := reverse(r.td); if r.mode.rev = '1' then ntxd := rntxd(31-wlen to 31); end if; v.spio.aready := '0'; if AM_EN = 1 then v.txdupd2 := '0'; v.cstart := '0'; if TW_EN = 1 then v.twdir2 := r.twdir; end if; end if; if PROG_AM_MASK then amask := r.am.mask; aloop := FIFO_DEPTH-1; else amask := AM_MASK(FIFO_DEPTH-1 downto 0); aloop := AM_MASK_END; end if; rx_rd := '0'; tx_rd := '0'; rx_wr := '0'; tx_wr := '0'; rstop1 := '0'; rstop2 := '0'; rstop3 := '0'; tstop1 := '0'; tstop2 := '0'; tstop3 := '0'; astart := '0'; v.am.txwrite := '0'; v.am.txwrite := '0'; v.am.rxread := '0'; if AM_EN = 1 then v.am.at := r.event.at; v.astart := spii_astart; if r.event.at = '0' then astart := spii_astart and (not r.astart); if PROG_AM_MASK then v.am.mask := r.am.mask_shdw; end if; end if; if spii_cstart = '1' then v.cstart := '1'; end if; end if; if (apbi_psel and apbi_penable and (not apbi_pwrite)) = '1' then if apbaddr = CAP_ADDR then apbout := SPICTRLCAPREG; elsif apbaddr = MODE_ADDR then apbout := r.mode.amen & r.mode.loopb & r.mode.cpol & r.mode.cpha & r.mode.div16 & r.mode.rev & r.mode.ms & r.mode.en & r.mode.len & r.mode.pm & r.mode.tw & r.mode.asel & r.mode.fact & r.mode.od & r.mode.cg & r.mode.aseldel & r.mode.tac & r.mode.tto & r.mode.igsel & r.mode.cite & zero32(0); elsif apbaddr = EVENT_ADDR then apbout := r.event.tip & zero32(30 downto 16) & r.event.at & r.event.lt & zero32(13) & r.event.ov & r.event.un & r.event.mme & r.event.ne & r.event.nf & zero32(7 downto 0); elsif apbaddr = MASK_ADDR then apbout := r.mask.tip & zero32(30 downto 16) & r.mask.at & r.mask.lt & zero32(13) & r.mask.ov & r.mask.un & r.mask.mme & r.mask.ne & r.mask.nf & zero32(7 downto 0); elsif apbaddr = RD_ADDR then apbout := condhwordswap(r.rd, len); if AM_EN = 0 or r.mode.amen = '0' then v.rd_free := '1'; end if; elsif apbaddr = SLVSEL_ADDR then if SLVSEL_EN /= 0 then apbout((SLVSEL_SZ-1) downto 0) := r.slvsel; else null; end if; elsif apbaddr = ASEL_ADDR then if ASEL_EN /= 0 then apbout((SLVSEL_SZ-1) downto 0) := r.aslvsel; else null; end if; end if; end if; -- write registers if (apbi_psel and apbi_penable and apbi_pwrite) = '1' then if apbaddr = MODE_ADDR then if AM_EN = 1 then v.mode.amen := apbi_pwdata(31); end if; v.mode.loopb := apbi_pwdata(30); v.mode.cpol := apbi_pwdata(29); v.mode.cpha := apbi_pwdata(28); v.mode.div16 := apbi_pwdata(27); v.mode.rev := apbi_pwdata(26); v.mode.ms := apbi_pwdata(25); v.mode.en := apbi_pwdata(24); v.mode.len := apbi_pwdata(23 downto 20); v.mode.pm := apbi_pwdata(19 downto 16); if TW_EN = 1 then v.mode.tw := apbi_pwdata(15); end if; if ASEL_EN = 1 then v.mode.asel := apbi_pwdata(14); end if; v.mode.fact := apbi_pwdata(13); if OD_EN = 1 then v.mode.od := apbi_pwdata(12); end if; v.mode.cg := apbi_pwdata(11 downto 7); if ASEL_EN = 1 then v.mode.aseldel := apbi_pwdata(6 downto 5); v.mode.tac := apbi_pwdata(4); end if; if TW_EN = 1 then v.mode.tto := apbi_pwdata(3); end if; v.mode.igsel := apbi_pwdata(2); v.mode.cite := apbi_pwdata(1); elsif apbaddr = EVENT_ADDR then wc(v.event.lt, r.event.lt, apbi_pwdata(14)); wc(v.event.ov, r.event.ov, apbi_pwdata(12)); wc(v.event.un, r.event.un, apbi_pwdata(11)); wc(v.event.mme, r.event.mme, apbi_pwdata(10)); elsif apbaddr = MASK_ADDR then v.mask.tip := apbi_pwdata(31); if AM_EN = 1 then v.mask.at := apbi_pwdata(15); end if; v.mask.lt := apbi_pwdata(14); v.mask.ov := apbi_pwdata(12); v.mask.un := apbi_pwdata(11); v.mask.mme := apbi_pwdata(10); v.mask.ne := apbi_pwdata(9); v.mask.nf := apbi_pwdata(8); elsif apbaddr = COM_ADDR then v.lst := apbi_pwdata(22); elsif apbaddr = TD_ADDR then -- The write is lost if the transmit register is written when -- the not full bit is zero. if r.event.nf = '1' then v.td := apbi_pwdata; if AM_EN = 0 or r.mode.amen = '0' then v.td_occ := '1'; end if; end if; elsif apbaddr = SLVSEL_ADDR then if SLVSEL_EN /= 0 then v.slvsel := apbi_pwdata((SLVSEL_SZ-1) downto 0); else null; end if; elsif apbaddr = ASEL_ADDR then if ASEL_EN /= 0 then v.aslvsel := apbi_pwdata((SLVSEL_SZ-1) downto 0); else null; end if; end if; end if; -- Automode register interface if AM_EN /= 0 then if apbi_psel = '1' then v.am.apbaddr := apbaddr(FIFO_BITS+1 downto 2); if syncram /= 0 then -- Check if tx queue will be read if apbaddr(10 downto 9) = AMTX_ADDR(10 downto 9) then v.am.txread := apbi_pwrite and not r.am.txread; end if; if apbaddr(10 downto 9) = AMRX_ADDR(10 downto 9) then v.am.rxread := not r.am.rxread; end if; end if; end if; if (apbi_psel and apbi_penable) = '1' then if apbaddr = AMCFG_ADDR then apbout := zero32(31 downto 9) & r.am.cfg.ecgc & r.am.cfg.lock & r.am.cfg.erpt & r.am.cfg.seq & r.am.cfg.strict & r.am.cfg.ovtb & r.am.cfg.ovdb & r.am.active & r.am.cfg.eact; if apbi_pwrite = '1' then v.am.cfg.ecgc := apbi_pwdata(8); v.am.cfg.lock := apbi_pwdata(7); v.am.cfg.erpt := apbi_pwdata(6); v.am.cfg.seq := apbi_pwdata(5); v.am.cfg.strict := apbi_pwdata(4); v.am.cfg.ovtb := apbi_pwdata(3); v.am.cfg.ovdb := apbi_pwdata(2); v.am.cfg.act := apbi_pwdata(1); v.spio.astart := apbi_pwdata(1); v.am.cfg.eact := apbi_pwdata(0); end if; elsif apbaddr = AMPER_ADDR then apbout((AM_CNT_BITS-1)*AM_EN downto 0) := r.am.per; if apbi_pwrite = '1' then v.am.per := apbi_pwdata((AM_CNT_BITS-1)*AM_EN downto 0); end if; elsif apbaddr = AMMSK0_ADDR then if FIFO_DEPTH > 32 then apbout := amask(31 downto 0); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(31 downto 0) := apbi_pwdata; end if; end if; else apbout(FIFO_DEPTH-1 downto 0) := amask(FIFO_DEPTH-1 downto 0); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(FIFO_DEPTH-1 downto 0) := apbi_pwdata(FIFO_DEPTH-1 downto 0); end if; end if; end if; elsif apbaddr = AMMSK1_ADDR then if AM_MSK1_EN then if FIFO_DEPTH > 64 then apbout := amask(63 downto 32); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(63 downto 32) := apbi_pwdata; end if; end if; else apbout(FIFO_DEPTH-33 downto 0) := amask(FIFO_DEPTH-1 downto 32); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(FIFO_DEPTH-1 downto 32) := apbi_pwdata(FIFO_DEPTH-33 downto 0); end if; end if; end if; else null; end if; elsif apbaddr = AMMSK2_ADDR then if AM_MSK2_EN then if FIFO_DEPTH > 96 then apbout := amask(95 downto 64); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(95 downto 64) := apbi_pwdata; end if; end if; else apbout(FIFO_DEPTH-65 downto 0) := amask(FIFO_DEPTH-1 downto 64); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(FIFO_DEPTH-1 downto 64) := apbi_pwdata(FIFO_DEPTH-65 downto 0); end if; end if; end if; else null; end if; elsif apbaddr = AMMSK3_ADDR then if AM_MSK3_EN then apbout(FIFO_DEPTH-97 downto 0) := amask(FIFO_DEPTH-1 downto 96); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(FIFO_DEPTH-1 downto 96) := apbi_pwdata(FIFO_DEPTH-97 downto 0); end if; end if; else null; end if; elsif apbaddr(10 downto 9) = AMTX_ADDR(10 downto 9) then if conv_integer(apbaddr(8 downto 2)) < FIFO_DEPTH then if syncram = 0 then apbout(wlen downto 0) := r.am.txfifo(conv_integer(apbaddr(FIFO_BITS+1 downto 2))); else apbout(wlen downto 0) := tx_do(automode); end if; if apbi_pwrite = '1' then v.am.txwrite := '1'; v.td := apbi_pwdata; end if; end if; elsif apbaddr(10 downto 9) = AMRX_ADDR(10 downto 9) then if conv_integer(apbaddr(8 downto 2)) < FIFO_DEPTH then if syncram = 0 then if r.mode.rev = '0' then apbout := condhwordswap(reverse(select_data(r.rxfifo(conv_integer(r.am.apbaddr)), len)), len); else apbout := condhwordswap(select_data(r.rxfifo(conv_integer(r.am.apbaddr)), len), len); end if; else if r.mode.rev = '0' then apbout := condhwordswap(reverse(select_data(rx_do(conv_integer(not r.am.rxsel)), len)), len); else apbout := condhwordswap(select_data(rx_do(conv_integer(not r.am.rxsel)), len), len); end if; end if; if r.am.unread(conv_integer(r.am.apbaddr)) = '1' then v.rd_free := '1'; v.am.unread(conv_integer(r.am.apbaddr)) := '0'; v.am.lock := r.am.cfg.lock; end if; end if; end if; end if; end if; -- Handle transmit FIFO if r.td_occ = '1' and r.tfreecnt /= 0 then if syncram = 0 then v.txfifo(conv_integer(r.tdli)) := ntxd; else tx_wr := '1'; end if; v.tdli := r.tdli + 1; v.tfreecnt := r.tfreecnt - 1; v.td_occ := '0'; if r.tfreecnt = FIFO_DEPTH then v.txdbyp := r.running and r.mode.ms and r.txdupd; v.txdupd := not r.uf; tx_rd := '1'; end if; end if; -- AM transmit FIFO handling when core is not implemented with SYNCRAM if syncram = 0 and AM_EN /= 0 and r.am.txwrite = '1' then if r.mode.rev = '0' then v.am.txfifo(conv_integer(r.am.apbaddr)) := r.td(wlen downto 0); else v.am.txfifo(conv_integer(r.am.apbaddr)) := reverse(r.td)(31-wlen to 31); end if; end if; -- Update receive register and FIFO if r.rd_free = '1' and r.rfreecnt /= FIFO_DEPTH then if syncram = 0 then if r.mode.rev = '0' then v.rd := reverse(select_data(r.rxfifo(conv_integer(r.rdfi)), len)); else v.rd := select_data(r.rxfifo(conv_integer(r.rdfi)), len); end if; else if r.mode.rev = '0' then v.rd := reverse(select_data(rx_do(0), len)); else v.rd := select_data(rx_do(0), len); end if; end if; if not ((ignore > 0) and (spii_ignore = '1')) then v.rdfi := r.rdfi + 1; v.rfreecnt := r.rfreecnt + 1; v.rd_free := '0'; end if; end if; if v.rd_free = '1' and r.rfreecnt /= FIFO_DEPTH then rx_rd := '1'; end if; if r.mode.en = '1' then -- Core is enabled -- Not full detection if r.tfreecnt /= 0 or r.td_occ /= '1' then v.event.nf := '1'; if (r.mask.nf and not r.event.nf) = '1' then v.irq := '1'; end if; else v.event.nf := '0'; end if; -- Not empty detection if ((AM_EN = 0 or r.mode.amen = '0') and (r.rfreecnt /= FIFO_DEPTH or r.rd_free /= '1')) or (AM_EN = 1 and r.mode.amen = '1' and r.am.unread /= zero128(FIFO_DEPTH-1 downto 0)) then v.event.ne := '1'; if (r.mask.ne and not r.event.ne) = '1' then v.irq := '1'; end if; else v.event.ne := '0'; if AM_EN = 1 then v.am.lock := '0'; end if; end if; end if; --------------------------------------------------------------------------- -- Automated periodic transfer control --------------------------------------------------------------------------- if AM_EN = 1 and r.mode.amen = '1' then if r.am.active = '0' then -- Activation either from register write or external event. v.am.active := r.spio.astart or (astart and r.am.cfg.eact); v.am.cfg.act := v.am.active; v.am.rfreecnt := 0; for i in 0 to aloop loop if amask(i) = '1' then v.am.rfreecnt := v.am.rfreecnt+1; end if; end loop; v.am.skipdata := '0'; v.am.rxfull := '0'; v.am.cnt := unsigned(r.am.per); v.event.at := v.am.active; v.tdfi := (others => '0'); -- Check mask to see which word in the FIFO to start with. for i in 0 to aloop loop if amask(i) = '1' then if tstop1 = '0' then v.tdfi := conv_std_logic_vector(i, r.tdfi'length); end if; tstop1 := '1'; end if; end loop; if v.am.active = '1' then v.txdupd2 := '1'; tx_rd := '1'; v.tfreecnt := FIFO_DEPTH; for i in 0 to aloop loop if amask(i) = '1' then v.tfreecnt := v.tfreecnt-1; end if; end loop; end if; v.rdli := (others => '0'); for i in 0 to aloop loop if rstop1 = '0' then if amask(i) = '0' then v.rdli := v.rdli + 1; else rstop1 := '1'; end if; end if; end loop; v.cstart := v.am.active; else -- Receive fifo handling if r.am.rxfull = '1' then -- AM RX fifo is filled -- Move to receive queue if the queue is empty or if there is no -- requirement on sequential transfers and the queue is not locked. if (r.event.ne and (v.am.lock or r.am.cfg.seq)) = '0' then -- Queue is empty if syncram = 0 then v.rxfifo := r.am.rxfifo; else v.am.rxsel := not r.am.rxsel; end if; v.rdfi := (others => '0'); v.rfreecnt := r.am.rfreecnt; v.rd_free := '0'; v.am.rxfull := '0'; for i in 0 to aloop loop if amask(i) = '1' then v.am.unread(i) := '1'; end if; end loop; end if; if r.event.tip = '0' and r.am.at = '1' then v.event.at := '0'; end if; if (r.mask.at and r.event.at) = '1' then v.irq := '1'; end if; end if; if r.am.cfg.act = '0' then v.am.active := r.running; end if; v.am.cfg.eact := '0'; if (r.am.cnt = 0 and r.am.cfg.erpt = '0') or (astart = '1' and r.am.cfg.erpt = '1') then -- Only allowed to start new transfer if previous transfer(s) is finished if r.event.tip = '0' then if (not v.am.rxfull or r.am.cfg.strict) = '1' then v.am.cnt := unsigned(r.am.per); end if; if (not v.am.rxfull or (r.am.cfg.strict and not r.am.cfg.ovtb)) = '1' then -- Start transfer. Initialize indexes and fifo counter v.txdupd2 := '1'; tx_rd := '1'; v.am.cnt := unsigned(r.am.per); v.rdli := (others => '0'); for i in 0 to aloop loop if rstop2 = '0' then if amask(i) = '0' then v.rdli := v.rdli + 1; else rstop2 := '1'; end if; end if; end loop; v.tfreecnt := FIFO_DEPTH; v.am.rfreecnt := 0; for i in 0 to aloop loop if amask(i) = '1' then v.am.rfreecnt := v.am.rfreecnt+1; v.tfreecnt := v.tfreecnt-1; end if; end loop; v.tdfi := (others => '0'); -- Check mask to see which word in the FIFO to start with. for i in 0 to aloop loop if amask(i) = '1' then if tstop2 = '0' then v.tdfi := conv_std_logic_vector(i, r.tdfi'length); end if; tstop2 := '1'; end if; end loop; -- Skip incoming data if receive FIFO is full and OVDB is '1'. v.am.skipdata := v.am.rxfull and r.am.cfg.ovdb; if v.am.skipdata = '0' then -- Clear AM receive fifo if we will overwrite it. v.am.rfreecnt := FIFO_DEPTH; for i in 0 to aloop loop if amask(i) = '0' then v.am.rfreecnt := v.am.rfreecnt-1; end if; end loop; v.am.rxfull := '0'; end if; v.event.at := '1'; v.cstart := astart and r.am.cfg.erpt; end if; end if; else v.am.cnt := r.am.cnt - 1; end if; end if; end if; --------------------------------------------------------------------------- -- SCK filtering, only used in slave mode --------------------------------------------------------------------------- fsck := r.psck; if (r.mode.en and not r.mode.ms) = '1' then if (r.spii(1).sck xor r.psck) = '0' then reload := '1'; else -- Detected SCK change if r.divcnt = 0 then v.psck := r.spii(1).sck; fsck := r.spii(1).sck; fsck_chg := '1'; reload := '1'; else v.divcnt := r.divcnt - 1; end if; end if; elsif r.mode.en = '1' then v.psck := r.spii(1).sck; end if; --------------------------------------------------------------------------- -- SPI bus control --------------------------------------------------------------------------- if (r.mode.en and not r.running) = '1' and (r.mode.ms = '0' or r.divcnt = 0) then if r.mode.ms = '1' then if r.divcnt = 0 then v.spio.sck := r.mode.cpol; end if; v.spio.misooen := INPUT; if TW_EN = 0 or r.mode.tw = '0' then if OD_EN = 0 or r.mode.od = '0' then v.spio.mosioen := OUTPUT; end if; else v.spio.mosioen := INPUT; end if; v.spio.sckoen := OUTPUT; if TW_EN = 1 then v.twdir := OUTPUT xor r.mode.tto; end if; else if (spisel or r.mode.tw) = '0' then v.spio.misooen := OUTPUT; else v.spio.misooen := INPUT; end if; if (not spisel and r.mode.tw and r.mode.tto) = '0' then v.spio.mosioen := INPUT; else v.spio.mosioen := OUTPUT; end if; v.spio.sckoen := INPUT; if TW_EN = 1 then v.twdir := INPUT xor r.mode.tto; end if; end if; if ((((AM_EN = 0 or r.mode.amen = '0') or (AM_EN = 1 and r.mode.amen = '1' and r.am.active = '1')) and r.mode.ms = '1' and r.tfreecnt /= FIFO_DEPTH and r.txdupd = '0' and (AM_EN = 0 or r.txdupd2 = '0')) or slv_start(spisel, r.mode.cpol, fsck, fsck_chg)) then -- Slave underrun detection if r.tfreecnt = FIFO_DEPTH then v.uf := '1'; if (r.mask.un and not v.event.un) = '1' then v.irq := '1'; end if; v.event.un := '1'; end if; v.running := '1'; if r.mode.ms = '1' then if TW_EN = 0 or r.mode.tw = '0' then v.spio.mosioen := OUTPUT; else v.spio.mosioen := OUTPUT xor r.mode.tto; end if; change := not r.mode.cpha; -- Insert cycles when cpha = '0' to ensure proper setup -- time for first MOSI value in master mode. reload := not r.mode.cpha; end if; end if; v.cgcnt := (others => '0'); v.rbitcnt := (others => '0'); v.tbitcnt := (others => '0'); if r.mode.ms = '0' then update := not (r.mode.cpha or (fsck xor r.mode.cpol)); if r.mode.cpha = '0' then -- Prepare first bit v.tbitcnt := (others => '0'); v.tbitcnt(0) := '1'; if v.running = '1' and (TW_EN = 0 or r.mode.tw = '0' or r.twdir = OUTPUT) then txshift := '1'; end if; end if; end if; -- samp and chng should not be changed on b2b if spisel /= '0' then v.samp := not r.mode.cpha; v.chng := r.mode.cpha; v.psck := r.mode.cpol; end if; end if; if AM_EN = 0 or r.mode.amen = '0' or r.am.cfg.ecgc = '0' then v.cgcntblock := '0'; else if r.cstart = '1' then v.cgcntblock := '0'; end if; end if; --------------------------------------------------------------------------- -- Clock generation, only in master mode --------------------------------------------------------------------------- if r.mode.ms = '1' and (r.running = '1' or r.divcnt /= 0) then -- The frequency of the SPI clock relative to the system clock is -- determined by the fact, div16 and pm register fields. -- -- With fact = 0 the fields have the same meaning as in the MPC83xx -- register interface. The clock is divided by 4*([PM]+1) and if div16 -- is set the clock is divided by 16*(4*([PM]+1)). -- -- With fact = 1 the core's register i/f is no longer compatible with -- the MPC83xx register interface. The clock is divided by 2*([PM]+1) and -- if div16 is set the clock is divided by 16*(2*([PM]+1)). -- -- The generated clock's duty cycle is always 50%. if r.divcnt = 0 then if ASEL_EN = 0 or r.aselcnt = 0 then -- Toggle SCK unless we are in a clock gap if (r.cgcnt = 0 and (AM_EN = 0 or r.cgcntblock = '0')) or r.spiolb.sck /= r.mode.cpol then v.spio.sck := not r.spiolb.sck; v.toggle := r.running; end if; if r.cgcnt /= 0 and (AM_EN = 0 or r.cgcntblock = '0') then v.cgcnt := r.cgcnt - 1; if ASEL_EN /= 0 and r.cgcnt = 1 then cgasel := r.mode.tac; end if; end if; elsif ASEL_EN = 1 then v.aselcnt := r.aselcnt - 1; end if; reload := '1'; else v.divcnt := r.divcnt - 1; end if; elsif r.mode.ms = '1' then v.divcnt := (others => '0'); end if; if reload = '1' then -- Reload clock scale counter v.divcnt(4 downto 0) := unsigned('0' & r.mode.pm) + 1; if (not r.mode.fact and r.mode.ms) = '1' then if r.mode.div16 = '1' then v.divcnt := shift_left(v.divcnt, 5) - 1; else v.divcnt := shift_left(v.divcnt, 1) - 1; end if; else if (r.mode.div16 and r.mode.ms) = '1' then v.divcnt := shift_left(v.divcnt, 4) - 1; else v.divcnt(9 downto 4) := (others => '0'); v.divcnt(3 downto 0) := unsigned(r.mode.pm); end if; end if; end if; --------------------------------------------------------------------------- -- Handle master operation. --------------------------------------------------------------------------- if r.mode.ms = '1' then -- Sample data if r.toggle = '1' then v.samp := not r.samp; sample := r.samp; end if; -- Change data on the clock flank... if v.toggle = '1' then v.chng := not r.chng; change := r.chng; end if; -- Detect multiple-master errors (mode-fault) if spisel = '0' then v.mode.en := '0'; v.mode.ms := '0'; v.event.mme := '1'; if (r.mask.mme and not r.event.mme) = '1' then v.irq := '1'; end if; v.running := '0'; v.event.tip := '0'; if AM_EN = 1 then v.event.at := '0'; end if; end if; -- Select input data if r.mode.loopb = '1' then indata := r.spiolb.mosi; elsif TW_EN = 1 and r.mode.tw = '1' then indata := r.spii(1).mosi; else indata := r.spii(1).miso; end if; end if; --------------------------------------------------------------------------- -- Handle slave operation --------------------------------------------------------------------------- if (r.mode.en and not r.mode.ms) = '1' then if spisel = '0' then if fsck_chg = '1' then sample := r.samp; v.samp := not r.samp; change := r.chng; v.chng := not r.chng; end if; indata := r.spii(1).mosi; end if; end if; --------------------------------------------------------------------------- -- Used in both master and slave operation --------------------------------------------------------------------------- if sample = '1' then -- Detect receive overflow if ((AM_EN = 0 or r.mode.amen = '0' ) and (r.rfreecnt = 0 and r.rd_free = '0')) or (AM_EN = 1 and r.mode.amen = '1' and r.am.rfreecnt = 0) or r.ov = '1' then if TW_EN = 0 or r.mode.tw = '0' or r.twdir = INPUT then -- Overflow event and IRQ v.ov := '1'; if r.ov = '0' then if (r.mask.ov and not r.event.ov) = '1' then v.irq := '1'; end if; v.event.ov := '1'; end if; end if; sample := '0'; -- Prevent sample below else sample := not r.mode.ms or r.mode.loopb; v.syncsamp(0) := not sample; end if; if r.rbitcnt = len(log2(wlen+1)-1 downto 0) then v.rbitcnt := (others => '0'); if TW_EN = 1 then v.twdir := r.twdir xor not r.mode.loopb; end if; if (TW_EN = 0 or r.mode.tw = '0' or r.mode.loopb = '1' or (r.mode.tw = '1' and r.twdir = INPUT)) then v.incrdli := not r.ov; end if; if (TW_EN = 0 or r.mode.tw = '0' or r.mode.loopb = '1' or (TW_EN = 1 and r.mode.tw = '1' and (((r.mode.ms xor r.mode.tto) = '1' and r.twdir = INPUT) or ((r.mode.ms xor r.mode.tto) = '0' and r.twdir = OUTPUT)))) then if r.mode.cpha = '0' then v.cgcnt := unsigned(r.mode.cg & '0'); if ASEL_EN /= 0 then v.cgasel := r.mode.tac; end if; if AM_EN = 1 and r.mode.amen = '1' and r.am.cfg.ecgc = '1' then v.cgcntblock := '1'; end if; end if; v.ov := '0'; if r.tfreecnt = FIFO_DEPTH then v.running := '0'; -- When running with with SCK freq. at half the system freq. we are -- past the last edge here and SCK has transitioned from CPOL. -- Force controller into idle state, only applies to master mode. if (r.toggle and v.toggle) = '1' then v.toggle := '0'; v.spio.sck := r.mode.cpol; v.chng := r.chng; end if; end if; v.uf := '0'; end if; else v.rbitcnt := r.rbitcnt + 1; end if; end if; -- Sample data line and put into shift register. if (r.syncsamp(1) or sample) = '1' then v.rxd := r.rxd(wlen-1 downto 0) & indata; if ((r.syncsamp(1) and r.incrdli) or (sample and v.incrdli)) = '1' then v.rxdone := '1'; v.rxdone2 := '1'; v.incrdli := '0'; end if; end if; -- Put data into receive queue if ((AM_EN = 0 or (r.mode.amen and r.am.skipdata) = '0') and r.rxdone = '1') then if AM_EN = 1 and r.am.active = '1'then if not ((ignore > 0) and (spii_ignore = '1')) then -- Check mask, maybe we need to skip next word in fifo v.rdli := r.rdli + 1; v.am.rfreecnt := v.am.rfreecnt - 1; if DISCONT_AM_MASK then for i in 0 to aloop loop if i > conv_integer(r.rdli) and rstop3 = '0' then if amask(i) = '0' then v.rdli := v.rdli + 1; else rstop3 := '1'; end if; end if; end loop; end if; end if; else v.rdli := r.rdli + 1; v.rfreecnt := v.rfreecnt - 1; rx_rd := v.rd_free; end if; if syncram = 0 then if AM_EN = 1 and r.am.active = '1' then v.am.rxfifo(conv_integer(r.rdli)) := r.rxd; else v.rxfifo(conv_integer(r.rdli)) := r.rxd; end if; else rx_wr := '1'; end if; if r.running = '0' then if AM_EN = 1 then v.am.rxfull := r.am.active; end if; end if; end if; if AM_EN = 1 and r.mode.amen = '1' then if TW_EN = 0 or r.mode.tw = '0' or r.mode.tto = '0' then if r.rxdone = '1' then v.spio.aready := '1'; end if; else if r.twdir = '1' and r.twdir2 = '0' then v.spio.aready := '1'; end if; end if; end if; -- Special case to put data in receive queue for automatic -- transfer while in three wire mode with tto = 1 if AM_EN = 1 and TW_EN = 1 and r.mode.amen = '1' and r.mode.tw = '1' and r.running = '0' and r.rxdone2 = '1' and r.mode.tto = '1' and r.twdir = INPUT and r.mode.ms = '1' then v.am.rxfull := r.am.active; end if; -- Advance transmit queue if change = '1' then if TW_EN = 1 and r.mode.tw = '1' then v.spio.mosioen := r.twdir; end if; if r.tbitcnt = len(log2(wlen+1)-1 downto 0) then if (TW_EN = 0 or r.mode.tw = '0' or r.mode.loopb = '1' or (TW_EN = 1 and r.mode.tw = '1' and (((r.mode.ms xor r.mode.tto) = '1' and r.twdir = INPUT) or ((r.mode.ms xor r.mode.tto) = '0' and r.twdir = OUTPUT)))) then if r.mode.cpha = '1' then v.cgcnt := unsigned(r.mode.cg & '0'); if ASEL_EN /= 0 then v.cgasel := r.mode.tac; end if; if AM_EN = 1 and r.mode.amen = '1' and r.am.cfg.ecgc = '1' then v.cgcntblock := '1'; end if; end if; end if; if (TW_EN = 0 or r.mode.tw = '0' or r.mode.loopb = '1' or r.twdir = OUTPUT) then if r.uf = '0' then if not ((ignore > 0) and (spii_ignore = '1')) then v.tfreecnt := v.tfreecnt + 1; end if; end if; v.txdupd := '1'; tx_rd := '1'; end if; v.tbitcnt := (others => '0'); else v.tbitcnt := r.tbitcnt + 1; end if; if v.uf = '0' and (TW_EN = 0 or r.mode.tw = '0' or r.mode.loopb = '1' or r.twdir = OUTPUT) then txshift := v.running; end if; end if; if txshift = '1' then v.txd := '1' & r.txd(wlen downto 1); end if; if AM_EN = 1 then if r.txdupd2 = '1' then tx_rd := '1'; v.txdupd := '1'; end if; end if; if r.txdupd = '1' then tx_rd := '1'; if r.txdbyp = '0' then if syncram = 0 then if AM_EN = 1 and r.mode.amen = '1' then v.txd := r.am.txfifo(conv_integer(r.tdfi)); else v.txd := r.txfifo(conv_integer(r.tdfi)); end if; else -- The first FIFO is always used when using syncrams, even in AM mode v.txd := tx_do(0); end if; end if; -- Data written to TD, bypass if v.txdbyp = '1' then v.txd := ntxd; end if; if r.tfreecnt /= FIFO_DEPTH then if AM_EN = 0 or r.mode.amen = '0' then v.tdfi := v.tdfi + 1; else -- Check mask, might need to skip next word if not (((ignore > 0) and (spii_ignore = '1'))) then if DISCONT_AM_MASK then for i in 0 to aloop loop if tstop3 = '0' and i > conv_integer(v.tdfi) then if amask(i) = '0' then v.tdfi := v.tdfi + 1; else tstop3 := '1'; end if; end if; end loop; end if; v.tdfi := v.tdfi + 1; end if; end if; elsif v.txdbyp = '0' then -- Bus idle value v.txd(0) := '1'; end if; end if; -- Transmit bit if (change or update) = '1' then if v.uf = '0' then v.spio.miso := r.txd(0); v.spio.mosi := r.txd(0); if OD_EN = 1 and r.mode.od = '1' then if (r.mode.ms or r.mode.tw) = '1' then v.spio.mosioen := r.txd(0) xor OUTPUT; else v.spio.misooen := r.txd(0) xor OUTPUT; end if; end if; else v.spio.miso := '1'; v.spio.mosi := '1'; if OD_EN = 1 and r.mode.od = '1' then v.spio.misooen := INPUT; v.spio.mosioen := INPUT; end if; end if; end if; -- Transfer in progress interrupt generation if (not r.running and (r.ov2 or (r.rxdone2 or (not r.mode.ms and r.mode.tw)))) = '1' then if r.mode.ms = '0' or r.mode.cite = '0' or r.divcnt = 0 then v.event.tip := '0'; v.rxdone2 := '0'; end if; end if; if v.running = '1' then v.event.tip := '1'; end if; if (v.running and not r.event.tip and r.mask.tip and r.mode.en) = '1' then v.irq := '1'; end if; -- LST detection and interrupt generation if v.running = '0' and v.tfreecnt = FIFO_DEPTH and r.lst = '1' then v.event.lt := '1'; v.lst := '0'; if (r.mask.lt and not r.event.lt) = '1' then v.irq := '1'; end if; end if; --------------------------------------------------------------------------- -- Automatic slave select, only in master mode --------------------------------------------------------------------------- if ASEL_EN /= 0 then if (r.mode.ms and r.mode.asel) = '1' then if ((not r.running and v.running) or -- Transfer start or (r.event.tip and not v.event.tip) or -- transfer end or (v.running and (cgasel or -- End or start of CG (r.cgasel and not (r.spiolb.sck xor r.mode.cpol))))) = '1' then v.slvsel := r.aslvsel; v.aslvsel := r.slvsel; v.cgasel := '0'; end if; -- May need to delay start of transfer if ((not r.running and v.running) or cgasel) = '1' then -- Transfer start v.aselcnt := unsigned(r.mode.aseldel); end if; else v.cgasel := '0'; v.aselcnt := (others => '0'); end if; end if; -- Do not toggle outputs in loopback mode if (r.mode.loopb = '1' or (r.mode.tw = '1' and TW_EN = 1 and r.twdir = INPUT)) then v.spio.mosioen := INPUT; v.spio.misooen := INPUT; end if; if r.mode.loopb = '1' then v.spio.sckoen := INPUT; end if; -- When driving in OD mode, always drive low. if OD_EN = 1 and (r.mode.od and not r.mode.loopb) = '1' then v.spio.miso := v.spio.miso and not r.mode.od; v.spio.mosi := v.spio.mosi and not r.mode.od; end if; -- Core is disabled if ((not RESET_ALL) and rstn = '0') or (r.mode.en = '0') then v.tfreecnt := FIFO_DEPTH; v.rfreecnt := FIFO_DEPTH; v.tdfi := RES.tdfi; v.rdfi := RES.rdfi; v.tdli := RES.tdli; v.rdli := RES.rdli; v.rd_free := RES.rd_free; v.td_occ := RES.td_occ; v.lst := RES.lst; v.uf := RES.uf; v.ov := RES.ov; v.running := RES.running; v.event.tip := RES.event.tip; v.incrdli := RES.incrdli; if TW_EN = 1 then v.twdir := RES.twdir; end if; v.spio.miso := RES.spio.miso; v.spio.mosi := RES.spio.mosi; if syncrst = 1 or (r.mode.en = '0') then v.spio.misooen := RES.spio.misooen; v.spio.mosioen := RES.spio.mosioen; v.spio.sckoen := RES.spio.sckoen; end if; if AM_EN = 1 then v.event.at := RES.event.at; end if; -- Need to assign samp, chng and psck here if spisel is low when the -- core is enabled v.samp := not r.mode.cpha; v.chng := r.mode.cpha; v.psck := r.mode.cpol; if AM_EN = 1 then v.am.active := RES.am.active; v.am.cfg.act := RES.am.cfg.act; v.am.cfg.eact := RES.am.cfg.eact; v.am.unread := RES.am.unread; v.am.rxsel := RES.am.rxsel; end if; v.rxdone2 := '0'; v.divcnt := (others => '0'); end if; -- Chip reset if (not RESET_ALL) and (rstn = '0') then v.mode := RES.mode; v.event.tip := RES.event.tip; v.event.lt := RES.event.lt; v.event.ov := RES.event.ov; v.event.un := RES.event.un; v.event.mme := RES.event.mme; v.event.ne := RES.event.ne; v.event.nf := RES.event.nf; v.mask := RES.mask; if AM_EN = 1 then v.event.at := RES.event.at; if PROG_AM_MASK then v.am.mask_shdw := RES.am.mask_shdw; end if; v.am.per := RES.am.per; v.am.cfg := RES.am.cfg; v.am.rxread := RES.am.rxread; v.am.txwrite := RES.am.txwrite; v.am.txread := RES.am.txread; v.am.apbaddr := RES.am.apbaddr; v.am.rxsel := RES.am.rxsel; v.cgcntblock := RES.cgcntblock; end if; v.lst := RES.lst; if syncrst = 1 then v.slvsel := RES.slvsel; end if; v.cgcnt := RES.cgcnt; v.rbitcnt := RES.rbitcnt; v.tbitcnt := RES.tbitcnt; v.txd := RES.txd; end if; -- Drive unused bit if open drain mode is not supported if OD_EN = 0 then v.mode.od := '0'; end if; -- Drive unused bits if automode is not supported if AM_EN = 0 then v.mode.amen := '0'; -- v.am.cfg.seq := '0'; v.am.cfg.strict := '0'; v.am.cfg.ovtb := '0'; v.am.cfg.ovdb := '0'; v.am.cfg.act := '0'; v.am.cfg.eact := '0'; v.am.per := (others => '0'); v.am.active := '0'; v.am.lock := '0'; v.am.skipdata := '0'; v.am.rxfull := '0'; v.am.rfreecnt := 0; v.event.at := '0'; v.am.unread := (others=>'0'); v.am.cfg.erpt := '0'; v.am.cfg.lock := '0'; v.am.cfg.ecgc := '0'; v.am.cnt := (others=>'0'); v.am.rxread := '0'; v.am.txwrite := '0'; v.am.txread := '0'; v.am.apbaddr := (others => '0'); v.am.rxsel := '0'; v.mask.at := '0'; v.cstart := '0'; end if; if AM_EN = 0 or not PROG_AM_MASK then v.am.mask := (others=>'0'); v.am.mask_shdw := (others=>'0'); end if; -- Drive unused bits if automatic slave select is not enabled if ASEL_EN = 0 then v.mode.asel := '0'; v.aslvsel := (others => '0'); v.mode.aseldel := (others => '0'); v.mode.tac := '0'; v.aselcnt := (others => '0'); v.cgasel := '0'; end if; -- Drive unused bits if three-wire mode is not enabled if TW_EN = 0 then v.mode.tw := '0'; v.mode.tto := '0'; v.twdir := INPUT; end if; if TW_EN = 0 or AM_EN = 0 then v.twdir2 := INPUT; end if; if SLVSEL_EN = 0 then v.slvsel := (others => '1'); end if; -- Propagate core enable bit v.spio.enable := r.mode.en; -- Synchronize inputs coming from off-chip v.spii(0) := (spii_miso, spii_mosi, spii_sck, spii_spisel); v.spii(1) := r.spii(0); -- Outputs to RAMs if syncram = 0 then rx_di <= (others => (others => '0')); tx_di <= (others => (others => '0')); rx_ra <= (others => (others => '0')); rx_wa <= (others => (others => '0')); tx_ra <= (others => (others => '0')); tx_wa <= (others => (others => '0')); rx_read <= (others => '0'); rx_write <= (others => '0'); tx_read <= (others => '0'); tx_write <= (others => '0'); else -- TX RAM(s) write -- TX RAM(s) are either written from TX register or AM TX area for i in 0 to automode loop tx_di(i) <= ntxd; end loop; for i in 0 to automode loop tx_wa(i) <= r.tdli; end loop; tx_write(0) <= tx_wr; if AM_EN /= 0 then -- Auto mode present -- Write from AM register interface writes both RAMs -- Write from TXD register writes RAM 0 tx_write(automode) <= r.am.txwrite; tx_write(0) <= tx_wr or r.am.txwrite; if r.am.txwrite = '1' then for i in 0 to automode loop tx_wa(i) <= r.am.apbaddr; end loop; end if; end if; -- TX RAM(s) read -- First RAM is read by bit shift logic tx_read(0) <= tx_rd; tx_ra(0) <= r.tdfi; if AM_EN /= 0 then -- Second RAM is read from register interface tx_read(automode) <= v.am.txread or r.am.txread; tx_ra(automode) <= v.am.apbaddr; end if; -- RX RAM(s) write -- RX RAM(s) is always written from receive shift register for i in 0 to automode loop rx_di(i) <= r.rxd; rx_wa(i) <= r.rdli; end loop; rx_write(0) <= rx_wr; if AM_EN /= 0 then rx_write(automode) <= '0'; end if; if AM_EN /= 0 and r.mode.amen = '1' then -- AM active -- Handle writes from bit shift logic if r.am.rxsel = '0' then rx_write(0) <= rx_wr; rx_write(automode) <= '0'; else rx_write(0) <= '0'; rx_write(automode) <= rx_wr; end if; end if; -- RX RAM(s) are read via register interface for i in 0 to automode loop rx_ra(i) <= r.rdfi; rx_read(i) <= rx_rd; end loop; if AM_EN /= 0 and r.mode.amen = '1' then if r.am.rxsel = '0' then rx_read(0) <= '0'; rx_read(automode) <= v.am.rxread; if v.am.rxread = '1' then rx_ra(automode) <= v.am.apbaddr; end if; else rx_read(0) <= v.am.rxread; rx_read(automode) <= '0'; if v.am.rxread = '1' then rx_ra(0) <= v.am.apbaddr; end if; end if; end if; if scantest = 1 and (apbi_scanen and apbi_testen) = '1' then rx_read <= (others => '0'); rx_write <= (others => '0'); tx_read <= (others => '0'); tx_write <= (others => '0'); end if; end if; v.spiolb.mosi := v.spio.mosi; v.spiolb.sck := v.spio.sck; -- Update registers rin <= v; -- Update outputs apbo_prdata <= apbout; apbo_pirq <= r.irq; slvsel <= r.slvsel; spio_miso <= r.spio.miso; spio_misooen <= r.spio.misooen; spio_mosi <= r.spio.mosi; spio_mosioen <= r.spio.mosioen; spio_sck <= r.spio.sck; spio_sckoen <= r.spio.sckoen; spio_enable <= r.spio.enable; spio_astart <= r.spio.astart; spio_aready <= r.spio.aready; if scantest = 1 and apbi_testen = '1' then spio_misooen <= apbi_testoen; spio_mosioen <= apbi_testoen; spio_sckoen <= apbi_testoen; end if; end process comb; -- FIFOs fiforams : if syncram /= 0 generate fifoloop : for i in 0 to automode generate noft : if ft = 0 generate rxfifo : syncram_2p generic map ( tech => memtech, abits => fdepth, dbits => wlen+1, sepclk => 0, wrfst => 1) port map ( rclk => clk, renable => rx_read(i), raddress => rx_ra(i), dataout => rx_do(i), wclk => clk, write => rx_write(i), waddress => rx_wa(i), datain => rx_di(i)); -- testin => testin); txfifo : syncram_2p generic map ( tech => memtech, abits => fdepth, dbits => wlen+1, sepclk => 0, wrfst => 1) port map ( rclk => clk, renable => tx_read(i), raddress => tx_ra(i), dataout => tx_do(i), wclk => clk, write => tx_write(i), waddress => tx_wa(i), datain => tx_di(i)); -- testin => testin); end generate noft; ftfifos : if ft /= 0 generate ftrxfifo : syncram_2pft generic map ( tech => memtech, abits => fdepth, dbits => wlen+1, sepclk => 0, wrfst => 1, ft => ft) port map ( rclk => clk, renable => rx_read(i), raddress => rx_ra(i), dataout => rx_do(i), wclk => clk, write => rx_write(i), waddress => rx_wa(i), datain => rx_di(i), error => open); -- testin => testin); fttxfifo : syncram_2pft generic map ( tech => memtech, abits => fdepth, dbits => wlen+1, sepclk => 0, wrfst => 1, ft => ft) port map ( rclk => clk, renable => tx_read(i), raddress => tx_ra(i), dataout => tx_do(i), wclk => clk, write => tx_write(i), waddress => tx_wa(i), datain => tx_di(i), error => open); -- testin => testin); end generate ftfifos; end generate fifoloop; end generate fiforams; nofiforams : if syncram = 0 generate rx_do <= (others => (others => '0')); tx_do <= (others => (others => '0')); end generate; -- Registers reg: process (clk, arstn) begin -- process reg if rising_edge(clk) then r <= rin; if rstn = '0' then r.spio.sck <= RES.spio.sck; r.rbitcnt <= RES.rbitcnt; r.tbitcnt <= RES.tbitcnt; if RESET_ALL then r <= RES; -- Do not use synchronous reset for sync. registers r.spii <= rin.spii; end if; end if; end if; if syncrst = 0 and arstn = '0' then r.spio.misooen <= RES.spio.misooen; r.spio.mosioen <= RES.spio.mosioen; r.spio.sckoen <= RES.spio.sckoen; if SLVSEL_EN /= 0 then r.slvsel <= RES.slvsel; end if; end if; end process reg; end architecture rtl;
entity tb_iassoc04 is end tb_iassoc04; library ieee; use ieee.std_logic_1164.all; architecture behav of tb_iassoc04 is signal a, b : bit_vector (3 downto 0); signal res : bit; begin dut: entity work.iassoc04 port map (a, b, res); process begin a <= "0001"; b <= "0000"; wait for 1 ns; assert res = '1' severity failure; a <= "0000"; b <= "0000"; wait for 1 ns; assert res = '0' severity failure; wait; end process; end behav;
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 8 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_8; USE proc_sys_reset_v5_0_8.proc_sys_reset; ENTITY design_SW_standalone_rst_processing_system7_0_100M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END design_SW_standalone_rst_processing_system7_0_100M_0; ARCHITECTURE design_SW_standalone_rst_processing_system7_0_100M_0_arch OF design_SW_standalone_rst_processing_system7_0_100M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_SW_standalone_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF design_SW_standalone_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2015.4.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_SW_standalone_rst_processing_system7_0_100M_0_arch : ARCHITECTURE IS "design_SW_standalone_rst_processing_system7_0_100M_0,proc_sys_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF design_SW_standalone_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "design_SW_standalone_rst_processing_system7_0_100M_0,proc_sys_reset,{x_ipProduct=Vivado 2015.4.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=8,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END design_SW_standalone_rst_processing_system7_0_100M_0_arch;
----------------------------------------------------------------------------- -- Entity: grfpushwx -- File: grfpushwx.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: GRFPU (shared version) wrapper ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library gaisler; use gaisler.leon3.all; entity grfpushwx is generic (mul : integer := 0; nshare : integer range 0 to 8 := 0; tech : integer; arb : integer range 0 to 2 := 1); port( clk : in std_logic; reset : in std_logic; fpvi : in grfpu_in_vector_type; fpvo : out grfpu_out_vector_type ); end; architecture rtl of grfpushwx is component grfpushw generic (mul : integer range 0 to 3 := 0; nshare : integer range 0 to 8 := 0; tech : integer; arb : integer range 0 to 2 := 1); port( clk : in std_logic; reset : in std_logic; cpu0_start : in std_logic; cpu0_nonstd : in std_logic; cpu0_flop : in std_logic_vector(8 downto 0); cpu0_op1 : in std_logic_vector(63 downto 0); cpu0_op2 : in std_logic_vector(63 downto 0); cpu0_opid : in std_logic_vector(7 downto 0); cpu0_flush : in std_logic; cpu0_flushid : in std_logic_vector(5 downto 0); cpu0_rndmode : in std_logic_vector(1 downto 0); cpu0_req : in std_logic_vector(2 downto 0); cpu0_res : out std_logic_vector(63 downto 0); cpu0_exc : out std_logic_vector(5 downto 0); cpu0_allow : out std_logic_vector(2 downto 0); cpu0_rdy : out std_logic; cpu0_cc : out std_logic_vector(1 downto 0); cpu0_idout : out std_logic_vector(7 downto 0); cpu1_start : in std_logic; cpu1_nonstd : in std_logic; cpu1_flop : in std_logic_vector(8 downto 0); cpu1_op1 : in std_logic_vector(63 downto 0); cpu1_op2 : in std_logic_vector(63 downto 0); cpu1_opid : in std_logic_vector(7 downto 0); cpu1_flush : in std_logic; cpu1_flushid : in std_logic_vector(5 downto 0); cpu1_rndmode : in std_logic_vector(1 downto 0); cpu1_req : in std_logic_vector(2 downto 0); cpu1_res : out std_logic_vector(63 downto 0); cpu1_exc : out std_logic_vector(5 downto 0); cpu1_allow : out std_logic_vector(2 downto 0); cpu1_rdy : out std_logic; cpu1_cc : out std_logic_vector(1 downto 0); cpu1_idout : out std_logic_vector(7 downto 0); cpu2_start : in std_logic; cpu2_nonstd : in std_logic; cpu2_flop : in std_logic_vector(8 downto 0); cpu2_op1 : in std_logic_vector(63 downto 0); cpu2_op2 : in std_logic_vector(63 downto 0); cpu2_opid : in std_logic_vector(7 downto 0); cpu2_flush : in std_logic; cpu2_flushid : in std_logic_vector(5 downto 0); cpu2_rndmode : in std_logic_vector(1 downto 0); cpu2_req : in std_logic_vector(2 downto 0); cpu2_res : out std_logic_vector(63 downto 0); cpu2_exc : out std_logic_vector(5 downto 0); cpu2_allow : out std_logic_vector(2 downto 0); cpu2_rdy : out std_logic; cpu2_cc : out std_logic_vector(1 downto 0); cpu2_idout : out std_logic_vector(7 downto 0); cpu3_start : in std_logic; cpu3_nonstd : in std_logic; cpu3_flop : in std_logic_vector(8 downto 0); cpu3_op1 : in std_logic_vector(63 downto 0); cpu3_op2 : in std_logic_vector(63 downto 0); cpu3_opid : in std_logic_vector(7 downto 0); cpu3_flush : in std_logic; cpu3_flushid : in std_logic_vector(5 downto 0); cpu3_rndmode : in std_logic_vector(1 downto 0); cpu3_req : in std_logic_vector(2 downto 0); cpu3_res : out std_logic_vector(63 downto 0); cpu3_exc : out std_logic_vector(5 downto 0); cpu3_allow : out std_logic_vector(2 downto 0); cpu3_rdy : out std_logic; cpu3_cc : out std_logic_vector(1 downto 0); cpu3_idout : out std_logic_vector(7 downto 0); cpu4_start : in std_logic; cpu4_nonstd : in std_logic; cpu4_flop : in std_logic_vector(8 downto 0); cpu4_op1 : in std_logic_vector(63 downto 0); cpu4_op2 : in std_logic_vector(63 downto 0); cpu4_opid : in std_logic_vector(7 downto 0); cpu4_flush : in std_logic; cpu4_flushid : in std_logic_vector(5 downto 0); cpu4_rndmode : in std_logic_vector(1 downto 0); cpu4_req : in std_logic_vector(2 downto 0); cpu4_res : out std_logic_vector(63 downto 0); cpu4_exc : out std_logic_vector(5 downto 0); cpu4_allow : out std_logic_vector(2 downto 0); cpu4_rdy : out std_logic; cpu4_cc : out std_logic_vector(1 downto 0); cpu4_idout : out std_logic_vector(7 downto 0); cpu5_start : in std_logic; cpu5_nonstd : in std_logic; cpu5_flop : in std_logic_vector(8 downto 0); cpu5_op1 : in std_logic_vector(63 downto 0); cpu5_op2 : in std_logic_vector(63 downto 0); cpu5_opid : in std_logic_vector(7 downto 0); cpu5_flush : in std_logic; cpu5_flushid : in std_logic_vector(5 downto 0); cpu5_rndmode : in std_logic_vector(1 downto 0); cpu5_req : in std_logic_vector(2 downto 0); cpu5_res : out std_logic_vector(63 downto 0); cpu5_exc : out std_logic_vector(5 downto 0); cpu5_allow : out std_logic_vector(2 downto 0); cpu5_rdy : out std_logic; cpu5_cc : out std_logic_vector(1 downto 0); cpu5_idout : out std_logic_vector(7 downto 0); cpu6_start : in std_logic; cpu6_nonstd : in std_logic; cpu6_flop : in std_logic_vector(8 downto 0); cpu6_op1 : in std_logic_vector(63 downto 0); cpu6_op2 : in std_logic_vector(63 downto 0); cpu6_opid : in std_logic_vector(7 downto 0); cpu6_flush : in std_logic; cpu6_flushid : in std_logic_vector(5 downto 0); cpu6_rndmode : in std_logic_vector(1 downto 0); cpu6_req : in std_logic_vector(2 downto 0); cpu6_res : out std_logic_vector(63 downto 0); cpu6_exc : out std_logic_vector(5 downto 0); cpu6_allow : out std_logic_vector(2 downto 0); cpu6_rdy : out std_logic; cpu6_cc : out std_logic_vector(1 downto 0); cpu6_idout : out std_logic_vector(7 downto 0); cpu7_start : in std_logic; cpu7_nonstd : in std_logic; cpu7_flop : in std_logic_vector(8 downto 0); cpu7_op1 : in std_logic_vector(63 downto 0); cpu7_op2 : in std_logic_vector(63 downto 0); cpu7_opid : in std_logic_vector(7 downto 0); cpu7_flush : in std_logic; cpu7_flushid : in std_logic_vector(5 downto 0); cpu7_rndmode : in std_logic_vector(1 downto 0); cpu7_req : in std_logic_vector(2 downto 0); cpu7_res : out std_logic_vector(63 downto 0); cpu7_exc : out std_logic_vector(5 downto 0); cpu7_allow : out std_logic_vector(2 downto 0); cpu7_rdy : out std_logic; cpu7_cc : out std_logic_vector(1 downto 0); cpu7_idout : out std_logic_vector(7 downto 0) ); end component; begin x0 : grfpushw generic map ((mul mod 4), nshare, tech, arb) port map ( clk , reset , fpvi(0).start , fpvi(0).nonstd , fpvi(0).flop , fpvi(0).op1 , fpvi(0).op2 , fpvi(0).opid , fpvi(0).flush , fpvi(0).flushid , fpvi(0).rndmode , fpvi(0).req , fpvo(0).res , fpvo(0).exc , fpvo(0).allow , fpvo(0).rdy , fpvo(0).cc , fpvo(0).idout , fpvi(1).start , fpvi(1).nonstd , fpvi(1).flop , fpvi(1).op1 , fpvi(1).op2 , fpvi(1).opid , fpvi(1).flush , fpvi(1).flushid , fpvi(1).rndmode , fpvi(1).req , fpvo(1).res , fpvo(1).exc , fpvo(1).allow , fpvo(1).rdy , fpvo(1).cc , fpvo(1).idout , fpvi(2).start , fpvi(2).nonstd , fpvi(2).flop , fpvi(2).op1 , fpvi(2).op2 , fpvi(2).opid , fpvi(2).flush , fpvi(2).flushid , fpvi(2).rndmode , fpvi(2).req , fpvo(2).res , fpvo(2).exc , fpvo(2).allow , fpvo(2).rdy , fpvo(2).cc , fpvo(2).idout , fpvi(3).start , fpvi(3).nonstd , fpvi(3).flop , fpvi(3).op1 , fpvi(3).op2 , fpvi(3).opid , fpvi(3).flush , fpvi(3).flushid , fpvi(3).rndmode , fpvi(3).req , fpvo(3).res , fpvo(3).exc , fpvo(3).allow , fpvo(3).rdy , fpvo(3).cc , fpvo(3).idout , fpvi(4).start , fpvi(4).nonstd , fpvi(4).flop , fpvi(4).op1 , fpvi(4).op2 , fpvi(4).opid , fpvi(4).flush , fpvi(4).flushid , fpvi(4).rndmode , fpvi(4).req , fpvo(4).res , fpvo(4).exc , fpvo(4).allow , fpvo(4).rdy , fpvo(4).cc , fpvo(4).idout , fpvi(5).start , fpvi(5).nonstd , fpvi(5).flop , fpvi(5).op1 , fpvi(5).op2 , fpvi(5).opid , fpvi(5).flush , fpvi(5).flushid , fpvi(5).rndmode , fpvi(5).req , fpvo(5).res , fpvo(5).exc , fpvo(5).allow , fpvo(5).rdy , fpvo(5).cc , fpvo(5).idout , fpvi(6).start , fpvi(6).nonstd , fpvi(6).flop , fpvi(6).op1 , fpvi(6).op2 , fpvi(6).opid , fpvi(6).flush , fpvi(6).flushid , fpvi(6).rndmode , fpvi(6).req , fpvo(6).res , fpvo(6).exc , fpvo(6).allow , fpvo(6).rdy , fpvo(6).cc , fpvo(6).idout , fpvi(7).start , fpvi(7).nonstd , fpvi(7).flop , fpvi(7).op1 , fpvi(7).op2 , fpvi(7).opid , fpvi(7).flush , fpvi(7).flushid , fpvi(7).rndmode , fpvi(7).req , fpvo(7).res , fpvo(7).exc , fpvo(7).allow , fpvo(7).rdy , fpvo(7).cc , fpvo(7).idout); end;
----------------------------------------------------------------------------- -- Entity: grfpushwx -- File: grfpushwx.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: GRFPU (shared version) wrapper ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library gaisler; use gaisler.leon3.all; entity grfpushwx is generic (mul : integer := 0; nshare : integer range 0 to 8 := 0; tech : integer; arb : integer range 0 to 2 := 1); port( clk : in std_logic; reset : in std_logic; fpvi : in grfpu_in_vector_type; fpvo : out grfpu_out_vector_type ); end; architecture rtl of grfpushwx is component grfpushw generic (mul : integer range 0 to 3 := 0; nshare : integer range 0 to 8 := 0; tech : integer; arb : integer range 0 to 2 := 1); port( clk : in std_logic; reset : in std_logic; cpu0_start : in std_logic; cpu0_nonstd : in std_logic; cpu0_flop : in std_logic_vector(8 downto 0); cpu0_op1 : in std_logic_vector(63 downto 0); cpu0_op2 : in std_logic_vector(63 downto 0); cpu0_opid : in std_logic_vector(7 downto 0); cpu0_flush : in std_logic; cpu0_flushid : in std_logic_vector(5 downto 0); cpu0_rndmode : in std_logic_vector(1 downto 0); cpu0_req : in std_logic_vector(2 downto 0); cpu0_res : out std_logic_vector(63 downto 0); cpu0_exc : out std_logic_vector(5 downto 0); cpu0_allow : out std_logic_vector(2 downto 0); cpu0_rdy : out std_logic; cpu0_cc : out std_logic_vector(1 downto 0); cpu0_idout : out std_logic_vector(7 downto 0); cpu1_start : in std_logic; cpu1_nonstd : in std_logic; cpu1_flop : in std_logic_vector(8 downto 0); cpu1_op1 : in std_logic_vector(63 downto 0); cpu1_op2 : in std_logic_vector(63 downto 0); cpu1_opid : in std_logic_vector(7 downto 0); cpu1_flush : in std_logic; cpu1_flushid : in std_logic_vector(5 downto 0); cpu1_rndmode : in std_logic_vector(1 downto 0); cpu1_req : in std_logic_vector(2 downto 0); cpu1_res : out std_logic_vector(63 downto 0); cpu1_exc : out std_logic_vector(5 downto 0); cpu1_allow : out std_logic_vector(2 downto 0); cpu1_rdy : out std_logic; cpu1_cc : out std_logic_vector(1 downto 0); cpu1_idout : out std_logic_vector(7 downto 0); cpu2_start : in std_logic; cpu2_nonstd : in std_logic; cpu2_flop : in std_logic_vector(8 downto 0); cpu2_op1 : in std_logic_vector(63 downto 0); cpu2_op2 : in std_logic_vector(63 downto 0); cpu2_opid : in std_logic_vector(7 downto 0); cpu2_flush : in std_logic; cpu2_flushid : in std_logic_vector(5 downto 0); cpu2_rndmode : in std_logic_vector(1 downto 0); cpu2_req : in std_logic_vector(2 downto 0); cpu2_res : out std_logic_vector(63 downto 0); cpu2_exc : out std_logic_vector(5 downto 0); cpu2_allow : out std_logic_vector(2 downto 0); cpu2_rdy : out std_logic; cpu2_cc : out std_logic_vector(1 downto 0); cpu2_idout : out std_logic_vector(7 downto 0); cpu3_start : in std_logic; cpu3_nonstd : in std_logic; cpu3_flop : in std_logic_vector(8 downto 0); cpu3_op1 : in std_logic_vector(63 downto 0); cpu3_op2 : in std_logic_vector(63 downto 0); cpu3_opid : in std_logic_vector(7 downto 0); cpu3_flush : in std_logic; cpu3_flushid : in std_logic_vector(5 downto 0); cpu3_rndmode : in std_logic_vector(1 downto 0); cpu3_req : in std_logic_vector(2 downto 0); cpu3_res : out std_logic_vector(63 downto 0); cpu3_exc : out std_logic_vector(5 downto 0); cpu3_allow : out std_logic_vector(2 downto 0); cpu3_rdy : out std_logic; cpu3_cc : out std_logic_vector(1 downto 0); cpu3_idout : out std_logic_vector(7 downto 0); cpu4_start : in std_logic; cpu4_nonstd : in std_logic; cpu4_flop : in std_logic_vector(8 downto 0); cpu4_op1 : in std_logic_vector(63 downto 0); cpu4_op2 : in std_logic_vector(63 downto 0); cpu4_opid : in std_logic_vector(7 downto 0); cpu4_flush : in std_logic; cpu4_flushid : in std_logic_vector(5 downto 0); cpu4_rndmode : in std_logic_vector(1 downto 0); cpu4_req : in std_logic_vector(2 downto 0); cpu4_res : out std_logic_vector(63 downto 0); cpu4_exc : out std_logic_vector(5 downto 0); cpu4_allow : out std_logic_vector(2 downto 0); cpu4_rdy : out std_logic; cpu4_cc : out std_logic_vector(1 downto 0); cpu4_idout : out std_logic_vector(7 downto 0); cpu5_start : in std_logic; cpu5_nonstd : in std_logic; cpu5_flop : in std_logic_vector(8 downto 0); cpu5_op1 : in std_logic_vector(63 downto 0); cpu5_op2 : in std_logic_vector(63 downto 0); cpu5_opid : in std_logic_vector(7 downto 0); cpu5_flush : in std_logic; cpu5_flushid : in std_logic_vector(5 downto 0); cpu5_rndmode : in std_logic_vector(1 downto 0); cpu5_req : in std_logic_vector(2 downto 0); cpu5_res : out std_logic_vector(63 downto 0); cpu5_exc : out std_logic_vector(5 downto 0); cpu5_allow : out std_logic_vector(2 downto 0); cpu5_rdy : out std_logic; cpu5_cc : out std_logic_vector(1 downto 0); cpu5_idout : out std_logic_vector(7 downto 0); cpu6_start : in std_logic; cpu6_nonstd : in std_logic; cpu6_flop : in std_logic_vector(8 downto 0); cpu6_op1 : in std_logic_vector(63 downto 0); cpu6_op2 : in std_logic_vector(63 downto 0); cpu6_opid : in std_logic_vector(7 downto 0); cpu6_flush : in std_logic; cpu6_flushid : in std_logic_vector(5 downto 0); cpu6_rndmode : in std_logic_vector(1 downto 0); cpu6_req : in std_logic_vector(2 downto 0); cpu6_res : out std_logic_vector(63 downto 0); cpu6_exc : out std_logic_vector(5 downto 0); cpu6_allow : out std_logic_vector(2 downto 0); cpu6_rdy : out std_logic; cpu6_cc : out std_logic_vector(1 downto 0); cpu6_idout : out std_logic_vector(7 downto 0); cpu7_start : in std_logic; cpu7_nonstd : in std_logic; cpu7_flop : in std_logic_vector(8 downto 0); cpu7_op1 : in std_logic_vector(63 downto 0); cpu7_op2 : in std_logic_vector(63 downto 0); cpu7_opid : in std_logic_vector(7 downto 0); cpu7_flush : in std_logic; cpu7_flushid : in std_logic_vector(5 downto 0); cpu7_rndmode : in std_logic_vector(1 downto 0); cpu7_req : in std_logic_vector(2 downto 0); cpu7_res : out std_logic_vector(63 downto 0); cpu7_exc : out std_logic_vector(5 downto 0); cpu7_allow : out std_logic_vector(2 downto 0); cpu7_rdy : out std_logic; cpu7_cc : out std_logic_vector(1 downto 0); cpu7_idout : out std_logic_vector(7 downto 0) ); end component; begin x0 : grfpushw generic map ((mul mod 4), nshare, tech, arb) port map ( clk , reset , fpvi(0).start , fpvi(0).nonstd , fpvi(0).flop , fpvi(0).op1 , fpvi(0).op2 , fpvi(0).opid , fpvi(0).flush , fpvi(0).flushid , fpvi(0).rndmode , fpvi(0).req , fpvo(0).res , fpvo(0).exc , fpvo(0).allow , fpvo(0).rdy , fpvo(0).cc , fpvo(0).idout , fpvi(1).start , fpvi(1).nonstd , fpvi(1).flop , fpvi(1).op1 , fpvi(1).op2 , fpvi(1).opid , fpvi(1).flush , fpvi(1).flushid , fpvi(1).rndmode , fpvi(1).req , fpvo(1).res , fpvo(1).exc , fpvo(1).allow , fpvo(1).rdy , fpvo(1).cc , fpvo(1).idout , fpvi(2).start , fpvi(2).nonstd , fpvi(2).flop , fpvi(2).op1 , fpvi(2).op2 , fpvi(2).opid , fpvi(2).flush , fpvi(2).flushid , fpvi(2).rndmode , fpvi(2).req , fpvo(2).res , fpvo(2).exc , fpvo(2).allow , fpvo(2).rdy , fpvo(2).cc , fpvo(2).idout , fpvi(3).start , fpvi(3).nonstd , fpvi(3).flop , fpvi(3).op1 , fpvi(3).op2 , fpvi(3).opid , fpvi(3).flush , fpvi(3).flushid , fpvi(3).rndmode , fpvi(3).req , fpvo(3).res , fpvo(3).exc , fpvo(3).allow , fpvo(3).rdy , fpvo(3).cc , fpvo(3).idout , fpvi(4).start , fpvi(4).nonstd , fpvi(4).flop , fpvi(4).op1 , fpvi(4).op2 , fpvi(4).opid , fpvi(4).flush , fpvi(4).flushid , fpvi(4).rndmode , fpvi(4).req , fpvo(4).res , fpvo(4).exc , fpvo(4).allow , fpvo(4).rdy , fpvo(4).cc , fpvo(4).idout , fpvi(5).start , fpvi(5).nonstd , fpvi(5).flop , fpvi(5).op1 , fpvi(5).op2 , fpvi(5).opid , fpvi(5).flush , fpvi(5).flushid , fpvi(5).rndmode , fpvi(5).req , fpvo(5).res , fpvo(5).exc , fpvo(5).allow , fpvo(5).rdy , fpvo(5).cc , fpvo(5).idout , fpvi(6).start , fpvi(6).nonstd , fpvi(6).flop , fpvi(6).op1 , fpvi(6).op2 , fpvi(6).opid , fpvi(6).flush , fpvi(6).flushid , fpvi(6).rndmode , fpvi(6).req , fpvo(6).res , fpvo(6).exc , fpvo(6).allow , fpvo(6).rdy , fpvo(6).cc , fpvo(6).idout , fpvi(7).start , fpvi(7).nonstd , fpvi(7).flop , fpvi(7).op1 , fpvi(7).op2 , fpvi(7).opid , fpvi(7).flush , fpvi(7).flushid , fpvi(7).rndmode , fpvi(7).req , fpvo(7).res , fpvo(7).exc , fpvo(7).allow , fpvo(7).rdy , fpvo(7).cc , fpvo(7).idout); end;
----------------------------------------------------------------------------- -- Entity: grfpushwx -- File: grfpushwx.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: GRFPU (shared version) wrapper ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library gaisler; use gaisler.leon3.all; entity grfpushwx is generic (mul : integer := 0; nshare : integer range 0 to 8 := 0; tech : integer; arb : integer range 0 to 2 := 1); port( clk : in std_logic; reset : in std_logic; fpvi : in grfpu_in_vector_type; fpvo : out grfpu_out_vector_type ); end; architecture rtl of grfpushwx is component grfpushw generic (mul : integer range 0 to 3 := 0; nshare : integer range 0 to 8 := 0; tech : integer; arb : integer range 0 to 2 := 1); port( clk : in std_logic; reset : in std_logic; cpu0_start : in std_logic; cpu0_nonstd : in std_logic; cpu0_flop : in std_logic_vector(8 downto 0); cpu0_op1 : in std_logic_vector(63 downto 0); cpu0_op2 : in std_logic_vector(63 downto 0); cpu0_opid : in std_logic_vector(7 downto 0); cpu0_flush : in std_logic; cpu0_flushid : in std_logic_vector(5 downto 0); cpu0_rndmode : in std_logic_vector(1 downto 0); cpu0_req : in std_logic_vector(2 downto 0); cpu0_res : out std_logic_vector(63 downto 0); cpu0_exc : out std_logic_vector(5 downto 0); cpu0_allow : out std_logic_vector(2 downto 0); cpu0_rdy : out std_logic; cpu0_cc : out std_logic_vector(1 downto 0); cpu0_idout : out std_logic_vector(7 downto 0); cpu1_start : in std_logic; cpu1_nonstd : in std_logic; cpu1_flop : in std_logic_vector(8 downto 0); cpu1_op1 : in std_logic_vector(63 downto 0); cpu1_op2 : in std_logic_vector(63 downto 0); cpu1_opid : in std_logic_vector(7 downto 0); cpu1_flush : in std_logic; cpu1_flushid : in std_logic_vector(5 downto 0); cpu1_rndmode : in std_logic_vector(1 downto 0); cpu1_req : in std_logic_vector(2 downto 0); cpu1_res : out std_logic_vector(63 downto 0); cpu1_exc : out std_logic_vector(5 downto 0); cpu1_allow : out std_logic_vector(2 downto 0); cpu1_rdy : out std_logic; cpu1_cc : out std_logic_vector(1 downto 0); cpu1_idout : out std_logic_vector(7 downto 0); cpu2_start : in std_logic; cpu2_nonstd : in std_logic; cpu2_flop : in std_logic_vector(8 downto 0); cpu2_op1 : in std_logic_vector(63 downto 0); cpu2_op2 : in std_logic_vector(63 downto 0); cpu2_opid : in std_logic_vector(7 downto 0); cpu2_flush : in std_logic; cpu2_flushid : in std_logic_vector(5 downto 0); cpu2_rndmode : in std_logic_vector(1 downto 0); cpu2_req : in std_logic_vector(2 downto 0); cpu2_res : out std_logic_vector(63 downto 0); cpu2_exc : out std_logic_vector(5 downto 0); cpu2_allow : out std_logic_vector(2 downto 0); cpu2_rdy : out std_logic; cpu2_cc : out std_logic_vector(1 downto 0); cpu2_idout : out std_logic_vector(7 downto 0); cpu3_start : in std_logic; cpu3_nonstd : in std_logic; cpu3_flop : in std_logic_vector(8 downto 0); cpu3_op1 : in std_logic_vector(63 downto 0); cpu3_op2 : in std_logic_vector(63 downto 0); cpu3_opid : in std_logic_vector(7 downto 0); cpu3_flush : in std_logic; cpu3_flushid : in std_logic_vector(5 downto 0); cpu3_rndmode : in std_logic_vector(1 downto 0); cpu3_req : in std_logic_vector(2 downto 0); cpu3_res : out std_logic_vector(63 downto 0); cpu3_exc : out std_logic_vector(5 downto 0); cpu3_allow : out std_logic_vector(2 downto 0); cpu3_rdy : out std_logic; cpu3_cc : out std_logic_vector(1 downto 0); cpu3_idout : out std_logic_vector(7 downto 0); cpu4_start : in std_logic; cpu4_nonstd : in std_logic; cpu4_flop : in std_logic_vector(8 downto 0); cpu4_op1 : in std_logic_vector(63 downto 0); cpu4_op2 : in std_logic_vector(63 downto 0); cpu4_opid : in std_logic_vector(7 downto 0); cpu4_flush : in std_logic; cpu4_flushid : in std_logic_vector(5 downto 0); cpu4_rndmode : in std_logic_vector(1 downto 0); cpu4_req : in std_logic_vector(2 downto 0); cpu4_res : out std_logic_vector(63 downto 0); cpu4_exc : out std_logic_vector(5 downto 0); cpu4_allow : out std_logic_vector(2 downto 0); cpu4_rdy : out std_logic; cpu4_cc : out std_logic_vector(1 downto 0); cpu4_idout : out std_logic_vector(7 downto 0); cpu5_start : in std_logic; cpu5_nonstd : in std_logic; cpu5_flop : in std_logic_vector(8 downto 0); cpu5_op1 : in std_logic_vector(63 downto 0); cpu5_op2 : in std_logic_vector(63 downto 0); cpu5_opid : in std_logic_vector(7 downto 0); cpu5_flush : in std_logic; cpu5_flushid : in std_logic_vector(5 downto 0); cpu5_rndmode : in std_logic_vector(1 downto 0); cpu5_req : in std_logic_vector(2 downto 0); cpu5_res : out std_logic_vector(63 downto 0); cpu5_exc : out std_logic_vector(5 downto 0); cpu5_allow : out std_logic_vector(2 downto 0); cpu5_rdy : out std_logic; cpu5_cc : out std_logic_vector(1 downto 0); cpu5_idout : out std_logic_vector(7 downto 0); cpu6_start : in std_logic; cpu6_nonstd : in std_logic; cpu6_flop : in std_logic_vector(8 downto 0); cpu6_op1 : in std_logic_vector(63 downto 0); cpu6_op2 : in std_logic_vector(63 downto 0); cpu6_opid : in std_logic_vector(7 downto 0); cpu6_flush : in std_logic; cpu6_flushid : in std_logic_vector(5 downto 0); cpu6_rndmode : in std_logic_vector(1 downto 0); cpu6_req : in std_logic_vector(2 downto 0); cpu6_res : out std_logic_vector(63 downto 0); cpu6_exc : out std_logic_vector(5 downto 0); cpu6_allow : out std_logic_vector(2 downto 0); cpu6_rdy : out std_logic; cpu6_cc : out std_logic_vector(1 downto 0); cpu6_idout : out std_logic_vector(7 downto 0); cpu7_start : in std_logic; cpu7_nonstd : in std_logic; cpu7_flop : in std_logic_vector(8 downto 0); cpu7_op1 : in std_logic_vector(63 downto 0); cpu7_op2 : in std_logic_vector(63 downto 0); cpu7_opid : in std_logic_vector(7 downto 0); cpu7_flush : in std_logic; cpu7_flushid : in std_logic_vector(5 downto 0); cpu7_rndmode : in std_logic_vector(1 downto 0); cpu7_req : in std_logic_vector(2 downto 0); cpu7_res : out std_logic_vector(63 downto 0); cpu7_exc : out std_logic_vector(5 downto 0); cpu7_allow : out std_logic_vector(2 downto 0); cpu7_rdy : out std_logic; cpu7_cc : out std_logic_vector(1 downto 0); cpu7_idout : out std_logic_vector(7 downto 0) ); end component; begin x0 : grfpushw generic map ((mul mod 4), nshare, tech, arb) port map ( clk , reset , fpvi(0).start , fpvi(0).nonstd , fpvi(0).flop , fpvi(0).op1 , fpvi(0).op2 , fpvi(0).opid , fpvi(0).flush , fpvi(0).flushid , fpvi(0).rndmode , fpvi(0).req , fpvo(0).res , fpvo(0).exc , fpvo(0).allow , fpvo(0).rdy , fpvo(0).cc , fpvo(0).idout , fpvi(1).start , fpvi(1).nonstd , fpvi(1).flop , fpvi(1).op1 , fpvi(1).op2 , fpvi(1).opid , fpvi(1).flush , fpvi(1).flushid , fpvi(1).rndmode , fpvi(1).req , fpvo(1).res , fpvo(1).exc , fpvo(1).allow , fpvo(1).rdy , fpvo(1).cc , fpvo(1).idout , fpvi(2).start , fpvi(2).nonstd , fpvi(2).flop , fpvi(2).op1 , fpvi(2).op2 , fpvi(2).opid , fpvi(2).flush , fpvi(2).flushid , fpvi(2).rndmode , fpvi(2).req , fpvo(2).res , fpvo(2).exc , fpvo(2).allow , fpvo(2).rdy , fpvo(2).cc , fpvo(2).idout , fpvi(3).start , fpvi(3).nonstd , fpvi(3).flop , fpvi(3).op1 , fpvi(3).op2 , fpvi(3).opid , fpvi(3).flush , fpvi(3).flushid , fpvi(3).rndmode , fpvi(3).req , fpvo(3).res , fpvo(3).exc , fpvo(3).allow , fpvo(3).rdy , fpvo(3).cc , fpvo(3).idout , fpvi(4).start , fpvi(4).nonstd , fpvi(4).flop , fpvi(4).op1 , fpvi(4).op2 , fpvi(4).opid , fpvi(4).flush , fpvi(4).flushid , fpvi(4).rndmode , fpvi(4).req , fpvo(4).res , fpvo(4).exc , fpvo(4).allow , fpvo(4).rdy , fpvo(4).cc , fpvo(4).idout , fpvi(5).start , fpvi(5).nonstd , fpvi(5).flop , fpvi(5).op1 , fpvi(5).op2 , fpvi(5).opid , fpvi(5).flush , fpvi(5).flushid , fpvi(5).rndmode , fpvi(5).req , fpvo(5).res , fpvo(5).exc , fpvo(5).allow , fpvo(5).rdy , fpvo(5).cc , fpvo(5).idout , fpvi(6).start , fpvi(6).nonstd , fpvi(6).flop , fpvi(6).op1 , fpvi(6).op2 , fpvi(6).opid , fpvi(6).flush , fpvi(6).flushid , fpvi(6).rndmode , fpvi(6).req , fpvo(6).res , fpvo(6).exc , fpvo(6).allow , fpvo(6).rdy , fpvo(6).cc , fpvo(6).idout , fpvi(7).start , fpvi(7).nonstd , fpvi(7).flop , fpvi(7).op1 , fpvi(7).op2 , fpvi(7).opid , fpvi(7).flush , fpvi(7).flushid , fpvi(7).rndmode , fpvi(7).req , fpvo(7).res , fpvo(7).exc , fpvo(7).allow , fpvo(7).rdy , fpvo(7).cc , fpvo(7).idout); end;
----------------------------------------------------------------------------- -- Entity: grfpushwx -- File: grfpushwx.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: GRFPU (shared version) wrapper ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library gaisler; use gaisler.leon3.all; entity grfpushwx is generic (mul : integer := 0; nshare : integer range 0 to 8 := 0; tech : integer; arb : integer range 0 to 2 := 1); port( clk : in std_logic; reset : in std_logic; fpvi : in grfpu_in_vector_type; fpvo : out grfpu_out_vector_type ); end; architecture rtl of grfpushwx is component grfpushw generic (mul : integer range 0 to 3 := 0; nshare : integer range 0 to 8 := 0; tech : integer; arb : integer range 0 to 2 := 1); port( clk : in std_logic; reset : in std_logic; cpu0_start : in std_logic; cpu0_nonstd : in std_logic; cpu0_flop : in std_logic_vector(8 downto 0); cpu0_op1 : in std_logic_vector(63 downto 0); cpu0_op2 : in std_logic_vector(63 downto 0); cpu0_opid : in std_logic_vector(7 downto 0); cpu0_flush : in std_logic; cpu0_flushid : in std_logic_vector(5 downto 0); cpu0_rndmode : in std_logic_vector(1 downto 0); cpu0_req : in std_logic_vector(2 downto 0); cpu0_res : out std_logic_vector(63 downto 0); cpu0_exc : out std_logic_vector(5 downto 0); cpu0_allow : out std_logic_vector(2 downto 0); cpu0_rdy : out std_logic; cpu0_cc : out std_logic_vector(1 downto 0); cpu0_idout : out std_logic_vector(7 downto 0); cpu1_start : in std_logic; cpu1_nonstd : in std_logic; cpu1_flop : in std_logic_vector(8 downto 0); cpu1_op1 : in std_logic_vector(63 downto 0); cpu1_op2 : in std_logic_vector(63 downto 0); cpu1_opid : in std_logic_vector(7 downto 0); cpu1_flush : in std_logic; cpu1_flushid : in std_logic_vector(5 downto 0); cpu1_rndmode : in std_logic_vector(1 downto 0); cpu1_req : in std_logic_vector(2 downto 0); cpu1_res : out std_logic_vector(63 downto 0); cpu1_exc : out std_logic_vector(5 downto 0); cpu1_allow : out std_logic_vector(2 downto 0); cpu1_rdy : out std_logic; cpu1_cc : out std_logic_vector(1 downto 0); cpu1_idout : out std_logic_vector(7 downto 0); cpu2_start : in std_logic; cpu2_nonstd : in std_logic; cpu2_flop : in std_logic_vector(8 downto 0); cpu2_op1 : in std_logic_vector(63 downto 0); cpu2_op2 : in std_logic_vector(63 downto 0); cpu2_opid : in std_logic_vector(7 downto 0); cpu2_flush : in std_logic; cpu2_flushid : in std_logic_vector(5 downto 0); cpu2_rndmode : in std_logic_vector(1 downto 0); cpu2_req : in std_logic_vector(2 downto 0); cpu2_res : out std_logic_vector(63 downto 0); cpu2_exc : out std_logic_vector(5 downto 0); cpu2_allow : out std_logic_vector(2 downto 0); cpu2_rdy : out std_logic; cpu2_cc : out std_logic_vector(1 downto 0); cpu2_idout : out std_logic_vector(7 downto 0); cpu3_start : in std_logic; cpu3_nonstd : in std_logic; cpu3_flop : in std_logic_vector(8 downto 0); cpu3_op1 : in std_logic_vector(63 downto 0); cpu3_op2 : in std_logic_vector(63 downto 0); cpu3_opid : in std_logic_vector(7 downto 0); cpu3_flush : in std_logic; cpu3_flushid : in std_logic_vector(5 downto 0); cpu3_rndmode : in std_logic_vector(1 downto 0); cpu3_req : in std_logic_vector(2 downto 0); cpu3_res : out std_logic_vector(63 downto 0); cpu3_exc : out std_logic_vector(5 downto 0); cpu3_allow : out std_logic_vector(2 downto 0); cpu3_rdy : out std_logic; cpu3_cc : out std_logic_vector(1 downto 0); cpu3_idout : out std_logic_vector(7 downto 0); cpu4_start : in std_logic; cpu4_nonstd : in std_logic; cpu4_flop : in std_logic_vector(8 downto 0); cpu4_op1 : in std_logic_vector(63 downto 0); cpu4_op2 : in std_logic_vector(63 downto 0); cpu4_opid : in std_logic_vector(7 downto 0); cpu4_flush : in std_logic; cpu4_flushid : in std_logic_vector(5 downto 0); cpu4_rndmode : in std_logic_vector(1 downto 0); cpu4_req : in std_logic_vector(2 downto 0); cpu4_res : out std_logic_vector(63 downto 0); cpu4_exc : out std_logic_vector(5 downto 0); cpu4_allow : out std_logic_vector(2 downto 0); cpu4_rdy : out std_logic; cpu4_cc : out std_logic_vector(1 downto 0); cpu4_idout : out std_logic_vector(7 downto 0); cpu5_start : in std_logic; cpu5_nonstd : in std_logic; cpu5_flop : in std_logic_vector(8 downto 0); cpu5_op1 : in std_logic_vector(63 downto 0); cpu5_op2 : in std_logic_vector(63 downto 0); cpu5_opid : in std_logic_vector(7 downto 0); cpu5_flush : in std_logic; cpu5_flushid : in std_logic_vector(5 downto 0); cpu5_rndmode : in std_logic_vector(1 downto 0); cpu5_req : in std_logic_vector(2 downto 0); cpu5_res : out std_logic_vector(63 downto 0); cpu5_exc : out std_logic_vector(5 downto 0); cpu5_allow : out std_logic_vector(2 downto 0); cpu5_rdy : out std_logic; cpu5_cc : out std_logic_vector(1 downto 0); cpu5_idout : out std_logic_vector(7 downto 0); cpu6_start : in std_logic; cpu6_nonstd : in std_logic; cpu6_flop : in std_logic_vector(8 downto 0); cpu6_op1 : in std_logic_vector(63 downto 0); cpu6_op2 : in std_logic_vector(63 downto 0); cpu6_opid : in std_logic_vector(7 downto 0); cpu6_flush : in std_logic; cpu6_flushid : in std_logic_vector(5 downto 0); cpu6_rndmode : in std_logic_vector(1 downto 0); cpu6_req : in std_logic_vector(2 downto 0); cpu6_res : out std_logic_vector(63 downto 0); cpu6_exc : out std_logic_vector(5 downto 0); cpu6_allow : out std_logic_vector(2 downto 0); cpu6_rdy : out std_logic; cpu6_cc : out std_logic_vector(1 downto 0); cpu6_idout : out std_logic_vector(7 downto 0); cpu7_start : in std_logic; cpu7_nonstd : in std_logic; cpu7_flop : in std_logic_vector(8 downto 0); cpu7_op1 : in std_logic_vector(63 downto 0); cpu7_op2 : in std_logic_vector(63 downto 0); cpu7_opid : in std_logic_vector(7 downto 0); cpu7_flush : in std_logic; cpu7_flushid : in std_logic_vector(5 downto 0); cpu7_rndmode : in std_logic_vector(1 downto 0); cpu7_req : in std_logic_vector(2 downto 0); cpu7_res : out std_logic_vector(63 downto 0); cpu7_exc : out std_logic_vector(5 downto 0); cpu7_allow : out std_logic_vector(2 downto 0); cpu7_rdy : out std_logic; cpu7_cc : out std_logic_vector(1 downto 0); cpu7_idout : out std_logic_vector(7 downto 0) ); end component; begin x0 : grfpushw generic map ((mul mod 4), nshare, tech, arb) port map ( clk , reset , fpvi(0).start , fpvi(0).nonstd , fpvi(0).flop , fpvi(0).op1 , fpvi(0).op2 , fpvi(0).opid , fpvi(0).flush , fpvi(0).flushid , fpvi(0).rndmode , fpvi(0).req , fpvo(0).res , fpvo(0).exc , fpvo(0).allow , fpvo(0).rdy , fpvo(0).cc , fpvo(0).idout , fpvi(1).start , fpvi(1).nonstd , fpvi(1).flop , fpvi(1).op1 , fpvi(1).op2 , fpvi(1).opid , fpvi(1).flush , fpvi(1).flushid , fpvi(1).rndmode , fpvi(1).req , fpvo(1).res , fpvo(1).exc , fpvo(1).allow , fpvo(1).rdy , fpvo(1).cc , fpvo(1).idout , fpvi(2).start , fpvi(2).nonstd , fpvi(2).flop , fpvi(2).op1 , fpvi(2).op2 , fpvi(2).opid , fpvi(2).flush , fpvi(2).flushid , fpvi(2).rndmode , fpvi(2).req , fpvo(2).res , fpvo(2).exc , fpvo(2).allow , fpvo(2).rdy , fpvo(2).cc , fpvo(2).idout , fpvi(3).start , fpvi(3).nonstd , fpvi(3).flop , fpvi(3).op1 , fpvi(3).op2 , fpvi(3).opid , fpvi(3).flush , fpvi(3).flushid , fpvi(3).rndmode , fpvi(3).req , fpvo(3).res , fpvo(3).exc , fpvo(3).allow , fpvo(3).rdy , fpvo(3).cc , fpvo(3).idout , fpvi(4).start , fpvi(4).nonstd , fpvi(4).flop , fpvi(4).op1 , fpvi(4).op2 , fpvi(4).opid , fpvi(4).flush , fpvi(4).flushid , fpvi(4).rndmode , fpvi(4).req , fpvo(4).res , fpvo(4).exc , fpvo(4).allow , fpvo(4).rdy , fpvo(4).cc , fpvo(4).idout , fpvi(5).start , fpvi(5).nonstd , fpvi(5).flop , fpvi(5).op1 , fpvi(5).op2 , fpvi(5).opid , fpvi(5).flush , fpvi(5).flushid , fpvi(5).rndmode , fpvi(5).req , fpvo(5).res , fpvo(5).exc , fpvo(5).allow , fpvo(5).rdy , fpvo(5).cc , fpvo(5).idout , fpvi(6).start , fpvi(6).nonstd , fpvi(6).flop , fpvi(6).op1 , fpvi(6).op2 , fpvi(6).opid , fpvi(6).flush , fpvi(6).flushid , fpvi(6).rndmode , fpvi(6).req , fpvo(6).res , fpvo(6).exc , fpvo(6).allow , fpvo(6).rdy , fpvo(6).cc , fpvo(6).idout , fpvi(7).start , fpvi(7).nonstd , fpvi(7).flop , fpvi(7).op1 , fpvi(7).op2 , fpvi(7).opid , fpvi(7).flush , fpvi(7).flushid , fpvi(7).rndmode , fpvi(7).req , fpvo(7).res , fpvo(7).exc , fpvo(7).allow , fpvo(7).rdy , fpvo(7).cc , fpvo(7).idout); end;
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library work ; use work.logi_wishbone_pack.all ; entity wishbone_intercon is generic(memory_map : array_of_addr ); port( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone slave signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector(15 downto 0); wbs_readdata : out std_logic_vector(15 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- Wishbone master signals wbm_address : out array_of_slv16((memory_map'length-1) downto 0) ; wbm_writedata : out array_of_slv16((memory_map'length-1) downto 0); wbm_readdata : in array_of_slv16((memory_map'length-1) downto 0); wbm_strobe : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_cycle : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_write : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_ack : in std_logic_vector((memory_map'length-1) downto 0) ); end wishbone_intercon; architecture Behavioral of wishbone_intercon is signal cs_vector : std_logic_vector(0 to (memory_map'length-1)); signal ack_vector : std_logic_vector(0 to (memory_map'length-1)); begin gen_cs : for i in 0 to (memory_map'length-1) generate cs_vector(i) <= '1' when wbs_address(wbs_address'length-1 downto find_X(memory_map(i))) = memory_map(i)(wbs_address'length-1 downto find_X(memory_map(i))) else '0' ; ack_vector(i) <= wbm_ack(i) and cs_vector(i) ; wbm_address(i)(wbs_address'length-1 downto find_X(memory_map(i))) <= (others => '0') ; wbm_address(i)(find_X(memory_map(i))-1 downto 0) <= wbs_address(find_X(memory_map(i))-1 downto 0) ; wbm_writedata(i) <= wbs_writedata ; wbm_write(i) <= wbs_write and cs_vector(i) ; wbm_strobe(i) <= wbs_strobe and cs_vector(i) ; wbm_cycle(i) <= wbs_cycle and cs_vector(i) ; wbs_readdata <= wbm_readdata(i) when cs_vector(i) = '1' else (others => 'Z') ; end generate ; wbs_ack <= '1' when ack_vector /= 0 else '0' ; wbs_readdata <= wbs_address when cs_vector = 0 else (others => 'Z') ; end Behavioral;
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library work ; use work.logi_wishbone_pack.all ; entity wishbone_intercon is generic(memory_map : array_of_addr ); port( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone slave signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector(15 downto 0); wbs_readdata : out std_logic_vector(15 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- Wishbone master signals wbm_address : out array_of_slv16((memory_map'length-1) downto 0) ; wbm_writedata : out array_of_slv16((memory_map'length-1) downto 0); wbm_readdata : in array_of_slv16((memory_map'length-1) downto 0); wbm_strobe : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_cycle : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_write : out std_logic_vector((memory_map'length-1) downto 0) ; wbm_ack : in std_logic_vector((memory_map'length-1) downto 0) ); end wishbone_intercon; architecture Behavioral of wishbone_intercon is signal cs_vector : std_logic_vector(0 to (memory_map'length-1)); signal ack_vector : std_logic_vector(0 to (memory_map'length-1)); begin gen_cs : for i in 0 to (memory_map'length-1) generate cs_vector(i) <= '1' when wbs_address(wbs_address'length-1 downto find_X(memory_map(i))) = memory_map(i)(wbs_address'length-1 downto find_X(memory_map(i))) else '0' ; ack_vector(i) <= wbm_ack(i) and cs_vector(i) ; wbm_address(i)(wbs_address'length-1 downto find_X(memory_map(i))) <= (others => '0') ; wbm_address(i)(find_X(memory_map(i))-1 downto 0) <= wbs_address(find_X(memory_map(i))-1 downto 0) ; wbm_writedata(i) <= wbs_writedata ; wbm_write(i) <= wbs_write and cs_vector(i) ; wbm_strobe(i) <= wbs_strobe and cs_vector(i) ; wbm_cycle(i) <= wbs_cycle and cs_vector(i) ; wbs_readdata <= wbm_readdata(i) when cs_vector(i) = '1' else (others => 'Z') ; end generate ; wbs_ack <= '1' when ack_vector /= 0 else '0' ; wbs_readdata <= wbs_address when cs_vector = 0 else (others => 'Z') ; end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2339.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p02n02i02339ent IS END c07s02b07x00p02n02i02339ent; ARCHITECTURE c07s02b07x00p02n02i02339arch OF c07s02b07x00p02n02i02339ent IS BEGIN TESTING: PROCESS type WORD is array(0 to 31) of BIT; variable WORDV : WORD; variable INTV : INTEGER; BEGIN INTV := WORDV ** 2; assert FALSE report "***FAILED TEST: c07s02b07x00p02n02i02339 - Exponent can only be of type Integer." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p02n02i02339arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2339.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p02n02i02339ent IS END c07s02b07x00p02n02i02339ent; ARCHITECTURE c07s02b07x00p02n02i02339arch OF c07s02b07x00p02n02i02339ent IS BEGIN TESTING: PROCESS type WORD is array(0 to 31) of BIT; variable WORDV : WORD; variable INTV : INTEGER; BEGIN INTV := WORDV ** 2; assert FALSE report "***FAILED TEST: c07s02b07x00p02n02i02339 - Exponent can only be of type Integer." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p02n02i02339arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2339.vhd,v 1.2 2001-10-26 16:30:17 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b07x00p02n02i02339ent IS END c07s02b07x00p02n02i02339ent; ARCHITECTURE c07s02b07x00p02n02i02339arch OF c07s02b07x00p02n02i02339ent IS BEGIN TESTING: PROCESS type WORD is array(0 to 31) of BIT; variable WORDV : WORD; variable INTV : INTEGER; BEGIN INTV := WORDV ** 2; assert FALSE report "***FAILED TEST: c07s02b07x00p02n02i02339 - Exponent can only be of type Integer." severity ERROR; wait; END PROCESS TESTING; END c07s02b07x00p02n02i02339arch;
---------------------------------------------------------------------------------- -- Company: NTU Athens - BNL -- Engineer: Christos Bakalis ([email protected]) -- -- Copyright Notice/Copying Permission: -- Copyright 2017 Christos Bakalis -- -- This file is part of NTUA-BNL_VMM_firmware. -- -- NTUA-BNL_VMM_firmware is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- NTUA-BNL_VMM_firmware is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with NTUA-BNL_VMM_firmware. If not, see <http://www.gnu.org/licenses/>. -- -- Create Date: 02.04.2017 -- Design Name: ICMP UDP MUX -- Module Name: icmp_udp_mux - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: Vivado 2016.2 -- Description: This module instantiates a multiplexer that selects between data -- input from UDP_TX or ICMP_TX and forwards the data to the IP layer. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.axi.all; use work.ipv4_types.all; entity icmp_udp_mux is Port( sel_icmp : in std_logic; ip_tx_start_icmp : in std_logic; ip_tx_icmp : in ipv4_tx_type; ip_tx_start_udp : in std_logic; ip_tx_udp : in ipv4_tx_type; ip_tx_start_IP : out std_logic; ip_tx_IP : out ipv4_tx_type ); end icmp_udp_mux; architecture Behavioral of icmp_udp_mux is begin ICMPudpMUX_proc: process(sel_icmp, ip_tx_start_icmp, ip_tx_icmp, ip_tx_start_udp, ip_tx_udp) begin case sel_icmp is when '0' => ip_tx_start_IP <= ip_tx_start_udp; ip_tx_IP <= ip_tx_udp; when '1' => ip_tx_start_IP <= ip_tx_start_icmp; ip_tx_IP <= ip_tx_icmp; when others => ip_tx_start_IP <= '0'; ip_tx_IP.hdr.protocol <= (others => '0'); ip_tx_IP.hdr.data_length <= (others => '0'); ip_tx_IP.hdr.dst_ip_addr <= (others => '0'); ip_tx_IP.data.data_out <= (others => '0'); ip_tx_IP.data.data_out_valid <= '0'; ip_tx_IP.data.data_out_last <= '0'; end case; end process; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity adders_4 is port(A,B : in std_logic_vector(7 downto 0); CI : in std_logic; SUM : out std_logic_vector(7 downto 0); CO : out std_logic); end adders_4; architecture archi of adders_4 is signal tmp: std_logic_vector(8 downto 0); begin tmp <= conv_std_logic_vector((conv_integer(A) + conv_integer(B) + conv_integer(CI)),9); SUM <= tmp(7 downto 0); CO <= tmp(8); end archi;
-- unnamed.vhd -- Generated using ACDS version 16.1 200 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity unnamed is port ( inclk : in std_logic := '0'; -- altclkctrl_input.inclk ena : in std_logic := '0'; -- .ena outclk : out std_logic -- altclkctrl_output.outclk ); end entity unnamed; architecture rtl of unnamed is component unnamed_altclkctrl_0 is port ( inclk : in std_logic := 'X'; -- inclk ena : in std_logic := 'X'; -- ena outclk : out std_logic -- outclk ); end component unnamed_altclkctrl_0; begin altclkctrl_0 : component unnamed_altclkctrl_0 port map ( inclk => inclk, -- altclkctrl_input.inclk ena => ena, -- .ena outclk => outclk -- altclkctrl_output.outclk ); end architecture rtl; -- of unnamed
-- unnamed.vhd -- Generated using ACDS version 16.1 200 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity unnamed is port ( inclk : in std_logic := '0'; -- altclkctrl_input.inclk ena : in std_logic := '0'; -- .ena outclk : out std_logic -- altclkctrl_output.outclk ); end entity unnamed; architecture rtl of unnamed is component unnamed_altclkctrl_0 is port ( inclk : in std_logic := 'X'; -- inclk ena : in std_logic := 'X'; -- ena outclk : out std_logic -- outclk ); end component unnamed_altclkctrl_0; begin altclkctrl_0 : component unnamed_altclkctrl_0 port map ( inclk => inclk, -- altclkctrl_input.inclk ena => ena, -- .ena outclk => outclk -- altclkctrl_output.outclk ); end architecture rtl; -- of unnamed
-- unnamed.vhd -- Generated using ACDS version 16.1 200 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity unnamed is port ( inclk : in std_logic := '0'; -- altclkctrl_input.inclk ena : in std_logic := '0'; -- .ena outclk : out std_logic -- altclkctrl_output.outclk ); end entity unnamed; architecture rtl of unnamed is component unnamed_altclkctrl_0 is port ( inclk : in std_logic := 'X'; -- inclk ena : in std_logic := 'X'; -- ena outclk : out std_logic -- outclk ); end component unnamed_altclkctrl_0; begin altclkctrl_0 : component unnamed_altclkctrl_0 port map ( inclk => inclk, -- altclkctrl_input.inclk ena => ena, -- .ena outclk => outclk -- altclkctrl_output.outclk ); end architecture rtl; -- of unnamed
-- unnamed.vhd -- Generated using ACDS version 16.1 200 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity unnamed is port ( inclk : in std_logic := '0'; -- altclkctrl_input.inclk ena : in std_logic := '0'; -- .ena outclk : out std_logic -- altclkctrl_output.outclk ); end entity unnamed; architecture rtl of unnamed is component unnamed_altclkctrl_0 is port ( inclk : in std_logic := 'X'; -- inclk ena : in std_logic := 'X'; -- ena outclk : out std_logic -- outclk ); end component unnamed_altclkctrl_0; begin altclkctrl_0 : component unnamed_altclkctrl_0 port map ( inclk => inclk, -- altclkctrl_input.inclk ena => ena, -- .ena outclk => outclk -- altclkctrl_output.outclk ); end architecture rtl; -- of unnamed
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity StateMachine is port ( clk : in std_logic; nReset : in std_logic; Dout : out std_logic_vector(7 downto 0); -- data read from ds1621 error : out std_logic; -- no correct ack received SCL : inout std_logic; SDA : inout std_logic ); end entity StateMachine; architecture structural of StateMachine is --------------------------------------------------------------------------- YUVmachine: process (Hsync, Vsync, YUV_Cstate) begin case YUV_Cstate is when "000" => YUV_Nstate <="001"; YUVclk<= '0'; Yclk<='0'; Uclk<='0'; Y1clk<='0'; Vclk<='0'; when "001" => YUV_Nstate <="010"; YUVclk<='1' ; --and Hsync; Yclk<='1'; Uclk<='0'; Y1clk<='0'; Vclk<='0'; when "010" => YUV_Nstate <="011"; YUVclk<='0'; Yclk<='0'; Uclk<='1'; Y1clk<='0'; Vclk<='0'; when "011" => YUV_Nstate <="100"; YUVclk<='0'; Yclk<='0'; Uclk<='0'; Y1clk<='1'; Vclk<='0'; when "100" => YUV_Nstate <="001"; YUVclk<='0'; Yclk<='0'; Uclk<='0'; Y1clk<='0'; Vclk<='1'; when others => Yclk<='0'; Uclk<='0'; Y1clk<='0'; Vclk<='0'; YUV_Nstate <="001"; YUVclk<='0'; end case; -- genregs if (Hsync='0') then YUV_Cstate <="000"; elsif (clk'event) and (clk = '0') then -- PC 04.08.06 was '1' YUV_Cstate<=YUV_Nstate; end if; end process structural; ---------------------------------------------------------------------------
architecture RTL of FIFO is begin process begin loop end loop; -- Violations below loop end loop; end process; end;
architecture RTL of ENTITY1 is signal sig1, sig2 : std_logic; signal sig9 : std_logic; signal sig3 : std_logic; signal sig4 : std_logic; signal sig5 : std_logic; signal sig6 : std_logic; signal sig7 : std_logic; component COMP1 is port ( SIG1 : in std_logic; SIG2 : out std_logic; SIG3 : in std_logic ); end component COMP1; begin PROC_NAME : process (sig2) is begin sig1 <= '0'; if (sig2 = '0') then sig1 <= '1'; elsif (sig2 = '1') then sig1 <= '0'; end if; end process PROC_NAME; -- This is a component that is brought in by a component declaration in the same file U_COMP1 : COMP1 port map ( SIG1 => sig1, SIG2 => sig2, SIG3 => sig3 ); -- This is a component that is brought in by a package U_COMP2 : COMP2 port map ( SIG3 => sig3, SIG4 => sig4, SIG5 => sig5 ); -- This is a component that is directly instantiated U_COMP3 : entity library.COMP3 port map ( SIG6 => sig6, SIG7 => sig7 ); sig1 <= '0'; sig1 <= sig2 and sig3; sig1 <= sig2 and sig3; sig1 <= sig2 and sig3; sig1 <= sig2 and sig3; sig1 <= sig1 or sig1; end architecture RTL;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.Vcomponents.all; entity DCMSID0 is port (CLKIN_IN : in std_logic; CLK0_OUT : out std_logic; CLK0_OUT1 : out std_logic; CLK2X_OUT : out std_logic); end DCMSID0; architecture BEHAVIORAL of DCMSID0 is signal CLKFX_BUF : std_logic; signal CLKIN_IBUFG : std_logic; signal GND_BIT : std_logic; begin GND_BIT <= '0'; CLKFX_BUFG_INST : BUFG port map (I => CLKFX_BUF, O => CLK0_OUT); DCM_INST : DCM generic map(CLK_FEEDBACK => "NONE", CLKDV_DIVIDE => 4.0, CLKFX_DIVIDE => 16, CLKFX_MULTIPLY => 5, CLKIN_DIVIDE_BY_2 => false, CLKIN_PERIOD => 20.344, CLKOUT_PHASE_SHIFT => "NONE", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => true, FACTORY_JF => x"C080", PHASE_SHIFT => 0, STARTUP_WAIT => false) port map (CLKFB => GND_BIT, CLKIN => CLKIN_IN, DSSEN => GND_BIT, PSCLK => GND_BIT, PSEN => GND_BIT, PSINCDEC => GND_BIT, RST => GND_BIT, CLKDV => open, CLKFX => CLKFX_BUF, CLKFX180 => open, CLK0 => open, CLK2X => CLK2X_OUT, CLK2X180 => open, CLK90 => open, CLK180 => open, CLK270 => open, LOCKED => open, PSDONE => open, STATUS => open); end BEHAVIORAL;
library ieee; use ieee.std_logic_1164.all; entity fsm_6s is port (clk : std_logic; rst : std_logic; d : std_logic; done : out std_logic); end fsm_6s; architecture behav of fsm_6s is type state_t is (S0_1, S1_0, S2_0, S3_1, S4_0, S5_1); signal s : state_t; begin process (clk) begin if rising_edge(clk) then if rst = '1' then s <= S0_1; done <= '0'; else -- Reset by default s <= S0_1; done <= '0'; case s is when S0_1 => if d = '1' then s <= S1_0; end if; when S1_0 => if d = '0' then s <= S2_0; end if; when S2_0 => if d = '0' then s <= S3_1; end if; when S3_1 => if d = '1' then s <= S4_0; end if; when S4_0 => if d = '0' then s <= S5_1; end if; when S5_1 => if d = '1' then done <= '1'; end if; end case; end if; end if; end process; end behav;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:28:10 07/01/2014 -- Design Name: -- Module Name: i2c_master - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values -- -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity i2c_master is generic(i2c_freq_hz : positive := 100_000; clk_freq_hz : positive := 100_000_000); port( clk : in std_logic; reset : in std_logic; slave_addr : in std_logic_vector(6 downto 0 ); data_in : in std_logic_vector(7 downto 0 ); i2c_read : in std_logic; i2c_write : in std_logic; scl : inout std_logic; sda : inout std_logic; data_out : out std_logic_vector(7 downto 0 ); new_data : out std_logic ; ack, nack, busy : out std_logic ); end i2c_master; architecture Behavioral of i2c_master is constant clk_div : positive := ((clk_freq_hz/i2c_freq_hz)/4)-1 ; TYPE master_state IS (IDLE, I2C_START, TX_ADDR, ACK_ADDR, TX_BYTE, RX_BYTE, ACK_BYTE, HOLDING, I2C_STOP) ; signal cur_state, next_state : master_state ; signal modulo_counter : std_logic_vector(15 downto 0); signal end_modulo : std_logic ; signal cycle_counter : std_logic_vector(1 downto 0); signal quarter, half, full : std_logic ; signal transmit_buffer, receive_buffer, addr_buffer : std_logic_vector(7 downto 0); signal bit_counter : std_logic_vector(2 downto 0); signal write_mode : std_logic ; signal sda_unbuf, sda_latched : std_logic ; signal sda_shift_reg : std_logic_vector(5 downto 0); signal is_acked : std_logic ; begin process(clk, reset) begin if reset = '1' then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= (others => '0'); elsif clk'event and clk = '1' then if cur_state = IDLE then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= (others => '0'); elsif modulo_counter = 0 then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= cycle_counter + 1; else modulo_counter <= modulo_counter - 1 ; end if ; end if ; end process ; end_modulo <= '1' when modulo_counter = 0 else '0' ; quarter <= '1' when cycle_counter = 1 else '1' when cycle_counter = 3 else '0' ; half <= '1' when cycle_counter = 2 else '0' ; full <= '1' when cycle_counter = 3 and end_modulo = '1' else '0' ; process(clk, reset) begin if reset = '1' then cur_state <= IDLE ; elsif clk'event and clk = '1' then cur_state <= next_state ; end if ; end process ; process(cur_state, bit_counter, write_mode, cycle_counter, end_modulo, quarter, half, full, i2c_write, i2c_read, sda) begin next_state <= cur_state ; case (cur_state) is when IDLE => if i2c_write = '1' then next_state <= I2C_START ; elsif i2c_read = '1' then next_state <= I2C_START ; end if ; when I2C_START => if full = '1' then next_state <= TX_ADDR ; end if ; when TX_ADDR => if full = '1' and bit_counter = 7 then next_state <= ACK_ADDR ; end if ; when ACK_ADDR => if full = '1' and is_acked = '1' and write_mode = '1' then next_state <= TX_BYTE ; elsif full = '1' and is_acked = '1' and write_mode = '0' then next_state <= RX_BYTE ; elsif full = '1' and is_acked = '0' then next_state <= I2C_STOP ; end if ; when TX_BYTE => if full = '1' and bit_counter = 7 then next_state <= ACK_BYTE ; end if ; when RX_BYTE => if full = '1' and bit_counter = 7 then next_state <= ACK_BYTE ; end if ; when ACK_BYTE => if full = '1' and i2c_write = '1' and is_acked = '1' then -- next_state <= TX_BYTE ; elsif full = '1' and i2c_read = '1' then next_state <= RX_BYTE ; elsif full = '1' then next_state <= I2C_STOP ; end if ; when I2C_STOP => if full = '1' then next_state <= IDLE ; end if ; when others => end case ; end process ; scl <= 'Z' when cur_state = I2C_START and cycle_counter < 2 else '0' when cur_state = I2C_START and cycle_counter >= 2 else 'Z' when cur_state = IDLE else '0' when cycle_counter < 2 else 'Z' ; sda_unbuf <= '0' when cur_state = I2C_START else '0' when cur_state = I2C_STOP and cycle_counter <= 2 else '1' when cur_state = I2C_STOP and cycle_counter > 2 else -- need to make sure its enough ... '1' when cur_state = IDLE else '1' when cur_state = TX_ADDR and addr_buffer(7) = '1' else '0' when cur_state = TX_ADDR and addr_buffer(7) = '0' else '1' when cur_state = TX_BYTE and transmit_buffer(7) = '1' else '0' when cur_state = TX_BYTE and transmit_buffer(7) = '0' else '0' when cur_state = ACK_BYTE and write_mode = '0' and i2c_read = '1' else '1' ; process(clk, reset) begin if reset = '1' then sda_shift_reg <= (others => '1'); elsif clk'event and clk = '1' then sda_shift_reg(0) <= sda_unbuf ; sda_shift_reg(sda_shift_reg'high downto 1) <= sda_shift_reg(sda_shift_reg'high-1 downto 0); end if ; end process ; sda <= 'Z' when cur_state = ACK_BYTE and write_mode = '1' else '0' when sda_shift_reg(sda_shift_reg'high) = '0' else 'Z' ; process(clk, reset) begin if reset = '1' then is_acked <= '0' ; elsif clk'event and clk = '1' then if (cur_state = ACK_BYTE or cur_state = ACK_ADDR ) and sda = '0' then is_acked <= '1' ; elsif cur_state /= ACK_BYTE then is_acked <= '0' ; end if ; end if ; end process ; ack <= '1' when cur_state = ACK_BYTE and is_acked = '1' and full = '1' else '1' when cur_state = ACK_BYTE and next_state = RX_BYTE else '1' when cur_state = ACK_ADDR and is_acked = '1' and full = '1' else '0' ; nack <= '1' when cur_state = ACK_BYTE and write_mode = '1' and full='1' and is_acked = '0' else '1' when cur_state = ACK_ADDR and full='1' and is_acked = '0' else '0' ; busy <= '0' when cur_state = IDLE else '1' ; new_data <= '1' when cur_state = ACK_BYTE and write_mode = '0' else '0' ; process(clk, reset) begin if reset = '1' then transmit_buffer <= (others => '0') ; receive_buffer <= (others => '0') ; addr_buffer <= (others => '0') ; bit_counter <= (others => '0') ; elsif clk'event and clk = '1' then if (cur_state = IDLE and i2c_write = '1') or i2c_read = '1' then addr_buffer <= slave_addr & i2c_read ; elsif cur_state = TX_ADDR and full = '1' then addr_buffer(7 downto 1) <= addr_buffer(6 downto 0); end if; if cur_state = IDLE and i2c_write = '1' then transmit_buffer <= data_in ; elsif cur_state = TX_BYTE and full = '1' then transmit_buffer(7 downto 1) <= transmit_buffer(6 downto 0); transmit_buffer(0) <= '0' ; elsif cur_state = ACK_BYTE and i2c_write = '1' and is_acked = '1' then transmit_buffer <= data_in; end if; if cur_state = IDLE then receive_buffer <= (others => '0') ; elsif cur_state = RX_BYTE and half = '1' then receive_buffer(7 downto 1) <= receive_buffer(6 downto 0); receive_buffer(0) <= sda ; end if; if cur_state = IDLE or cur_state = ACK_BYTE or cur_state = ACK_ADDR then bit_counter <= (others => '0') ; elsif (cur_state = TX_ADDR or cur_state = TX_BYTE) and full = '1' then bit_counter <= bit_counter + 1 ; end if; end if ; end process ; process(clk, reset) begin if reset = '1' then write_mode <= '0' ; elsif clk'event and clk = '1' then if cur_state = IDLE and i2c_write = '1' then write_mode <= '1' ; elsif cur_state = I2C_STOP then write_mode <= '0' ; end if; end if ; end process ; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:28:10 07/01/2014 -- Design Name: -- Module Name: i2c_master - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values -- -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity i2c_master is generic(i2c_freq_hz : positive := 100_000; clk_freq_hz : positive := 100_000_000); port( clk : in std_logic; reset : in std_logic; slave_addr : in std_logic_vector(6 downto 0 ); data_in : in std_logic_vector(7 downto 0 ); i2c_read : in std_logic; i2c_write : in std_logic; scl : inout std_logic; sda : inout std_logic; data_out : out std_logic_vector(7 downto 0 ); new_data : out std_logic ; ack, nack, busy : out std_logic ); end i2c_master; architecture Behavioral of i2c_master is constant clk_div : positive := ((clk_freq_hz/i2c_freq_hz)/4)-1 ; TYPE master_state IS (IDLE, I2C_START, TX_ADDR, ACK_ADDR, TX_BYTE, RX_BYTE, ACK_BYTE, HOLDING, I2C_STOP) ; signal cur_state, next_state : master_state ; signal modulo_counter : std_logic_vector(15 downto 0); signal end_modulo : std_logic ; signal cycle_counter : std_logic_vector(1 downto 0); signal quarter, half, full : std_logic ; signal transmit_buffer, receive_buffer, addr_buffer : std_logic_vector(7 downto 0); signal bit_counter : std_logic_vector(2 downto 0); signal write_mode : std_logic ; signal sda_unbuf, sda_latched : std_logic ; signal sda_shift_reg : std_logic_vector(5 downto 0); signal is_acked : std_logic ; begin process(clk, reset) begin if reset = '1' then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= (others => '0'); elsif clk'event and clk = '1' then if cur_state = IDLE then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= (others => '0'); elsif modulo_counter = 0 then modulo_counter <= std_logic_vector(to_unsigned(clk_div, 16)); cycle_counter <= cycle_counter + 1; else modulo_counter <= modulo_counter - 1 ; end if ; end if ; end process ; end_modulo <= '1' when modulo_counter = 0 else '0' ; quarter <= '1' when cycle_counter = 1 else '1' when cycle_counter = 3 else '0' ; half <= '1' when cycle_counter = 2 else '0' ; full <= '1' when cycle_counter = 3 and end_modulo = '1' else '0' ; process(clk, reset) begin if reset = '1' then cur_state <= IDLE ; elsif clk'event and clk = '1' then cur_state <= next_state ; end if ; end process ; process(cur_state, bit_counter, write_mode, cycle_counter, end_modulo, quarter, half, full, i2c_write, i2c_read, sda) begin next_state <= cur_state ; case (cur_state) is when IDLE => if i2c_write = '1' then next_state <= I2C_START ; elsif i2c_read = '1' then next_state <= I2C_START ; end if ; when I2C_START => if full = '1' then next_state <= TX_ADDR ; end if ; when TX_ADDR => if full = '1' and bit_counter = 7 then next_state <= ACK_ADDR ; end if ; when ACK_ADDR => if full = '1' and is_acked = '1' and write_mode = '1' then next_state <= TX_BYTE ; elsif full = '1' and is_acked = '1' and write_mode = '0' then next_state <= RX_BYTE ; elsif full = '1' and is_acked = '0' then next_state <= I2C_STOP ; end if ; when TX_BYTE => if full = '1' and bit_counter = 7 then next_state <= ACK_BYTE ; end if ; when RX_BYTE => if full = '1' and bit_counter = 7 then next_state <= ACK_BYTE ; end if ; when ACK_BYTE => if full = '1' and i2c_write = '1' and is_acked = '1' then -- next_state <= TX_BYTE ; elsif full = '1' and i2c_read = '1' then next_state <= RX_BYTE ; elsif full = '1' then next_state <= I2C_STOP ; end if ; when I2C_STOP => if full = '1' then next_state <= IDLE ; end if ; when others => end case ; end process ; scl <= 'Z' when cur_state = I2C_START and cycle_counter < 2 else '0' when cur_state = I2C_START and cycle_counter >= 2 else 'Z' when cur_state = IDLE else '0' when cycle_counter < 2 else 'Z' ; sda_unbuf <= '0' when cur_state = I2C_START else '0' when cur_state = I2C_STOP and cycle_counter <= 2 else '1' when cur_state = I2C_STOP and cycle_counter > 2 else -- need to make sure its enough ... '1' when cur_state = IDLE else '1' when cur_state = TX_ADDR and addr_buffer(7) = '1' else '0' when cur_state = TX_ADDR and addr_buffer(7) = '0' else '1' when cur_state = TX_BYTE and transmit_buffer(7) = '1' else '0' when cur_state = TX_BYTE and transmit_buffer(7) = '0' else '0' when cur_state = ACK_BYTE and write_mode = '0' and i2c_read = '1' else '1' ; process(clk, reset) begin if reset = '1' then sda_shift_reg <= (others => '1'); elsif clk'event and clk = '1' then sda_shift_reg(0) <= sda_unbuf ; sda_shift_reg(sda_shift_reg'high downto 1) <= sda_shift_reg(sda_shift_reg'high-1 downto 0); end if ; end process ; sda <= 'Z' when cur_state = ACK_BYTE and write_mode = '1' else '0' when sda_shift_reg(sda_shift_reg'high) = '0' else 'Z' ; process(clk, reset) begin if reset = '1' then is_acked <= '0' ; elsif clk'event and clk = '1' then if (cur_state = ACK_BYTE or cur_state = ACK_ADDR ) and sda = '0' then is_acked <= '1' ; elsif cur_state /= ACK_BYTE then is_acked <= '0' ; end if ; end if ; end process ; ack <= '1' when cur_state = ACK_BYTE and is_acked = '1' and full = '1' else '1' when cur_state = ACK_BYTE and next_state = RX_BYTE else '1' when cur_state = ACK_ADDR and is_acked = '1' and full = '1' else '0' ; nack <= '1' when cur_state = ACK_BYTE and write_mode = '1' and full='1' and is_acked = '0' else '1' when cur_state = ACK_ADDR and full='1' and is_acked = '0' else '0' ; busy <= '0' when cur_state = IDLE else '1' ; new_data <= '1' when cur_state = ACK_BYTE and write_mode = '0' else '0' ; process(clk, reset) begin if reset = '1' then transmit_buffer <= (others => '0') ; receive_buffer <= (others => '0') ; addr_buffer <= (others => '0') ; bit_counter <= (others => '0') ; elsif clk'event and clk = '1' then if (cur_state = IDLE and i2c_write = '1') or i2c_read = '1' then addr_buffer <= slave_addr & i2c_read ; elsif cur_state = TX_ADDR and full = '1' then addr_buffer(7 downto 1) <= addr_buffer(6 downto 0); end if; if cur_state = IDLE and i2c_write = '1' then transmit_buffer <= data_in ; elsif cur_state = TX_BYTE and full = '1' then transmit_buffer(7 downto 1) <= transmit_buffer(6 downto 0); transmit_buffer(0) <= '0' ; elsif cur_state = ACK_BYTE and i2c_write = '1' and is_acked = '1' then transmit_buffer <= data_in; end if; if cur_state = IDLE then receive_buffer <= (others => '0') ; elsif cur_state = RX_BYTE and half = '1' then receive_buffer(7 downto 1) <= receive_buffer(6 downto 0); receive_buffer(0) <= sda ; end if; if cur_state = IDLE or cur_state = ACK_BYTE or cur_state = ACK_ADDR then bit_counter <= (others => '0') ; elsif (cur_state = TX_ADDR or cur_state = TX_BYTE) and full = '1' then bit_counter <= bit_counter + 1 ; end if; end if ; end process ; process(clk, reset) begin if reset = '1' then write_mode <= '0' ; elsif clk'event and clk = '1' then if cur_state = IDLE and i2c_write = '1' then write_mode <= '1' ; elsif cur_state = I2C_STOP then write_mode <= '0' ; end if; end if ; end process ; end Behavioral;
-- ------------------------------------------------------------------------- -- High Level Design Compiler for Intel(R) FPGAs Version 17.0 (Release Build #595) -- Quartus Prime development tool and MATLAB/Simulink Interface -- -- Legal Notice: Copyright 2017 Intel Corporation. All rights reserved. -- Your use of Intel Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly -- subject to the terms and conditions of the Intel FPGA Software License -- Agreement, Intel MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for -- the sole purpose of programming logic devices manufactured by Intel -- and sold by Intel or its authorized distributors. Please refer to the -- applicable agreement for further details. -- --------------------------------------------------------------------------- -- VHDL created from fp_mul -- VHDL created on Thu Feb 15 13:10:22 2018 library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.all; use std.TextIO.all; use work.dspba_library_package.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; LIBRARY lpm; USE lpm.lpm_components.all; entity fp_mul is port ( a : in std_logic_vector(31 downto 0); -- float32_m23 b : in std_logic_vector(31 downto 0); -- float32_m23 q : out std_logic_vector(31 downto 0); -- float32_m23 clk : in std_logic; areset : in std_logic ); end fp_mul; architecture normal of fp_mul is attribute altera_attribute : string; attribute altera_attribute of normal : architecture is "-name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410; -name MESSAGE_DISABLE 113007"; signal GND_q : STD_LOGIC_VECTOR (0 downto 0); signal VCC_q : STD_LOGIC_VECTOR (0 downto 0); signal expX_uid6_fpMulTest_b : STD_LOGIC_VECTOR (7 downto 0); signal expY_uid7_fpMulTest_b : STD_LOGIC_VECTOR (7 downto 0); signal signX_uid8_fpMulTest_b : STD_LOGIC_VECTOR (0 downto 0); signal signY_uid9_fpMulTest_b : STD_LOGIC_VECTOR (0 downto 0); signal cstAllOWE_uid10_fpMulTest_q : STD_LOGIC_VECTOR (7 downto 0); signal cstZeroWF_uid11_fpMulTest_q : STD_LOGIC_VECTOR (22 downto 0); signal cstAllZWE_uid12_fpMulTest_q : STD_LOGIC_VECTOR (7 downto 0); signal frac_x_uid14_fpMulTest_b : STD_LOGIC_VECTOR (22 downto 0); signal excZ_x_uid15_fpMulTest_qi : STD_LOGIC_VECTOR (0 downto 0); signal excZ_x_uid15_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal expXIsMax_uid16_fpMulTest_qi : STD_LOGIC_VECTOR (0 downto 0); signal expXIsMax_uid16_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal fracXIsZero_uid17_fpMulTest_qi : STD_LOGIC_VECTOR (0 downto 0); signal fracXIsZero_uid17_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal fracXIsNotZero_uid18_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excI_x_uid19_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excN_x_uid20_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal invExpXIsMax_uid21_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal InvExpXIsZero_uid22_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excR_x_uid23_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal frac_y_uid28_fpMulTest_b : STD_LOGIC_VECTOR (22 downto 0); signal excZ_y_uid29_fpMulTest_qi : STD_LOGIC_VECTOR (0 downto 0); signal excZ_y_uid29_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal expXIsMax_uid30_fpMulTest_qi : STD_LOGIC_VECTOR (0 downto 0); signal expXIsMax_uid30_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal fracXIsZero_uid31_fpMulTest_qi : STD_LOGIC_VECTOR (0 downto 0); signal fracXIsZero_uid31_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal fracXIsNotZero_uid32_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excI_y_uid33_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excN_y_uid34_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal invExpXIsMax_uid35_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal InvExpXIsZero_uid36_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excR_y_uid37_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal ofracX_uid40_fpMulTest_q : STD_LOGIC_VECTOR (23 downto 0); signal ofracY_uid43_fpMulTest_q : STD_LOGIC_VECTOR (23 downto 0); signal expSum_uid44_fpMulTest_a : STD_LOGIC_VECTOR (8 downto 0); signal expSum_uid44_fpMulTest_b : STD_LOGIC_VECTOR (8 downto 0); signal expSum_uid44_fpMulTest_o : STD_LOGIC_VECTOR (8 downto 0); signal expSum_uid44_fpMulTest_q : STD_LOGIC_VECTOR (8 downto 0); signal biasInc_uid45_fpMulTest_q : STD_LOGIC_VECTOR (9 downto 0); signal expSumMBias_uid46_fpMulTest_a : STD_LOGIC_VECTOR (11 downto 0); signal expSumMBias_uid46_fpMulTest_b : STD_LOGIC_VECTOR (11 downto 0); signal expSumMBias_uid46_fpMulTest_o : STD_LOGIC_VECTOR (11 downto 0); signal expSumMBias_uid46_fpMulTest_q : STD_LOGIC_VECTOR (10 downto 0); signal signR_uid48_fpMulTest_qi : STD_LOGIC_VECTOR (0 downto 0); signal signR_uid48_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal normalizeBit_uid49_fpMulTest_b : STD_LOGIC_VECTOR (0 downto 0); signal fracRPostNormHigh_uid51_fpMulTest_in : STD_LOGIC_VECTOR (25 downto 0); signal fracRPostNormHigh_uid51_fpMulTest_b : STD_LOGIC_VECTOR (23 downto 0); signal fracRPostNormLow_uid52_fpMulTest_in : STD_LOGIC_VECTOR (24 downto 0); signal fracRPostNormLow_uid52_fpMulTest_b : STD_LOGIC_VECTOR (23 downto 0); signal fracRPostNorm_uid53_fpMulTest_s : STD_LOGIC_VECTOR (0 downto 0); signal fracRPostNorm_uid53_fpMulTest_q : STD_LOGIC_VECTOR (23 downto 0); signal expFracPreRound_uid55_fpMulTest_q : STD_LOGIC_VECTOR (34 downto 0); signal roundBitAndNormalizationOp_uid57_fpMulTest_q : STD_LOGIC_VECTOR (25 downto 0); signal expFracRPostRounding_uid58_fpMulTest_a : STD_LOGIC_VECTOR (36 downto 0); signal expFracRPostRounding_uid58_fpMulTest_b : STD_LOGIC_VECTOR (36 downto 0); signal expFracRPostRounding_uid58_fpMulTest_o : STD_LOGIC_VECTOR (36 downto 0); signal expFracRPostRounding_uid58_fpMulTest_q : STD_LOGIC_VECTOR (35 downto 0); signal fracRPreExc_uid59_fpMulTest_in : STD_LOGIC_VECTOR (23 downto 0); signal fracRPreExc_uid59_fpMulTest_b : STD_LOGIC_VECTOR (22 downto 0); signal expRPreExcExt_uid60_fpMulTest_b : STD_LOGIC_VECTOR (11 downto 0); signal expRPreExc_uid61_fpMulTest_in : STD_LOGIC_VECTOR (7 downto 0); signal expRPreExc_uid61_fpMulTest_b : STD_LOGIC_VECTOR (7 downto 0); signal expUdf_uid62_fpMulTest_a : STD_LOGIC_VECTOR (13 downto 0); signal expUdf_uid62_fpMulTest_b : STD_LOGIC_VECTOR (13 downto 0); signal expUdf_uid62_fpMulTest_o : STD_LOGIC_VECTOR (13 downto 0); signal expUdf_uid62_fpMulTest_n : STD_LOGIC_VECTOR (0 downto 0); signal expOvf_uid64_fpMulTest_a : STD_LOGIC_VECTOR (13 downto 0); signal expOvf_uid64_fpMulTest_b : STD_LOGIC_VECTOR (13 downto 0); signal expOvf_uid64_fpMulTest_o : STD_LOGIC_VECTOR (13 downto 0); signal expOvf_uid64_fpMulTest_n : STD_LOGIC_VECTOR (0 downto 0); signal excXZAndExcYZ_uid65_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excXZAndExcYR_uid66_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excYZAndExcXR_uid67_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excZC3_uid68_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excRZero_uid69_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excXIAndExcYI_uid70_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excXRAndExcYI_uid71_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excYRAndExcXI_uid72_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal ExcROvfAndInReg_uid73_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excRInf_uid74_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excYZAndExcXI_uid75_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excXZAndExcYI_uid76_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal ZeroTimesInf_uid77_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal excRNaN_uid78_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal concExc_uid79_fpMulTest_q : STD_LOGIC_VECTOR (2 downto 0); signal excREnc_uid80_fpMulTest_q : STD_LOGIC_VECTOR (1 downto 0); signal oneFracRPostExc2_uid81_fpMulTest_q : STD_LOGIC_VECTOR (22 downto 0); signal fracRPostExc_uid84_fpMulTest_s : STD_LOGIC_VECTOR (1 downto 0); signal fracRPostExc_uid84_fpMulTest_q : STD_LOGIC_VECTOR (22 downto 0); signal expRPostExc_uid89_fpMulTest_s : STD_LOGIC_VECTOR (1 downto 0); signal expRPostExc_uid89_fpMulTest_q : STD_LOGIC_VECTOR (7 downto 0); signal invExcRNaN_uid90_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal signRPostExc_uid91_fpMulTest_q : STD_LOGIC_VECTOR (0 downto 0); signal R_uid92_fpMulTest_q : STD_LOGIC_VECTOR (31 downto 0); signal topRangeX_uid102_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (17 downto 0); signal topRangeY_uid103_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (17 downto 0); signal aboveLeftX_uid108_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (8 downto 0); signal aboveLeftY_bottomExtension_uid109_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (2 downto 0); signal aboveLeftY_bottomRange_uid110_prod_uid47_fpMulTest_in : STD_LOGIC_VECTOR (5 downto 0); signal aboveLeftY_bottomRange_uid110_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (5 downto 0); signal aboveLeftY_mergedSignalTM_uid111_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (8 downto 0); signal rightBottomX_bottomRange_uid114_prod_uid47_fpMulTest_in : STD_LOGIC_VECTOR (5 downto 0); signal rightBottomX_bottomRange_uid114_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (5 downto 0); signal rightBottomX_mergedSignalTM_uid115_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (8 downto 0); signal rightBottomY_uid117_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (8 downto 0); signal rightBottomX_uid121_prod_uid47_fpMulTest_in : STD_LOGIC_VECTOR (14 downto 0); signal rightBottomX_uid121_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (4 downto 0); signal rightBottomY_uid122_prod_uid47_fpMulTest_in : STD_LOGIC_VECTOR (5 downto 0); signal rightBottomY_uid122_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (4 downto 0); signal aboveLeftX_uid123_prod_uid47_fpMulTest_in : STD_LOGIC_VECTOR (5 downto 0); signal aboveLeftX_uid123_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (4 downto 0); signal aboveLeftY_uid124_prod_uid47_fpMulTest_in : STD_LOGIC_VECTOR (14 downto 0); signal aboveLeftY_uid124_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (4 downto 0); signal n0_uid130_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (3 downto 0); signal n1_uid131_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (3 downto 0); signal n0_uid132_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (3 downto 0); signal n1_uid133_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (3 downto 0); signal n0_uid138_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (2 downto 0); signal n1_uid139_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (2 downto 0); signal n0_uid140_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (2 downto 0); signal n1_uid141_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (2 downto 0); signal n0_uid146_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (1 downto 0); signal n1_uid147_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (1 downto 0); signal n0_uid148_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (1 downto 0); signal n1_uid149_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (1 downto 0); signal sm0_uid160_prod_uid47_fpMulTest_a0 : STD_LOGIC_VECTOR (17 downto 0); signal sm0_uid160_prod_uid47_fpMulTest_b0 : STD_LOGIC_VECTOR (17 downto 0); signal sm0_uid160_prod_uid47_fpMulTest_s1 : STD_LOGIC_VECTOR (35 downto 0); signal sm0_uid160_prod_uid47_fpMulTest_reset : std_logic; signal sm0_uid160_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (35 downto 0); signal sm0_uid161_prod_uid47_fpMulTest_a0 : STD_LOGIC_VECTOR (8 downto 0); signal sm0_uid161_prod_uid47_fpMulTest_b0 : STD_LOGIC_VECTOR (8 downto 0); signal sm0_uid161_prod_uid47_fpMulTest_s1 : STD_LOGIC_VECTOR (17 downto 0); signal sm0_uid161_prod_uid47_fpMulTest_reset : std_logic; signal sm0_uid161_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (17 downto 0); signal sm1_uid162_prod_uid47_fpMulTest_a0 : STD_LOGIC_VECTOR (8 downto 0); signal sm1_uid162_prod_uid47_fpMulTest_b0 : STD_LOGIC_VECTOR (8 downto 0); signal sm1_uid162_prod_uid47_fpMulTest_s1 : STD_LOGIC_VECTOR (17 downto 0); signal sm1_uid162_prod_uid47_fpMulTest_reset : std_logic; signal sm1_uid162_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (17 downto 0); signal sm0_uid163_prod_uid47_fpMulTest_a0 : STD_LOGIC_VECTOR (1 downto 0); signal sm0_uid163_prod_uid47_fpMulTest_b0 : STD_LOGIC_VECTOR (1 downto 0); signal sm0_uid163_prod_uid47_fpMulTest_s1 : STD_LOGIC_VECTOR (3 downto 0); signal sm0_uid163_prod_uid47_fpMulTest_reset : std_logic; signal sm0_uid163_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (3 downto 0); signal sm1_uid164_prod_uid47_fpMulTest_a0 : STD_LOGIC_VECTOR (1 downto 0); signal sm1_uid164_prod_uid47_fpMulTest_b0 : STD_LOGIC_VECTOR (1 downto 0); signal sm1_uid164_prod_uid47_fpMulTest_s1 : STD_LOGIC_VECTOR (3 downto 0); signal sm1_uid164_prod_uid47_fpMulTest_reset : std_logic; signal sm1_uid164_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (3 downto 0); signal lev1_a0_uid165_prod_uid47_fpMulTest_a : STD_LOGIC_VECTOR (36 downto 0); signal lev1_a0_uid165_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (36 downto 0); signal lev1_a0_uid165_prod_uid47_fpMulTest_o : STD_LOGIC_VECTOR (36 downto 0); signal lev1_a0_uid165_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (36 downto 0); signal lev1_a1high_uid168_prod_uid47_fpMulTest_a : STD_LOGIC_VECTOR (13 downto 0); signal lev1_a1high_uid168_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (13 downto 0); signal lev1_a1high_uid168_prod_uid47_fpMulTest_o : STD_LOGIC_VECTOR (13 downto 0); signal lev1_a1high_uid168_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (13 downto 0); signal lev1_a1_uid169_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (18 downto 0); signal lev2_a0_uid170_prod_uid47_fpMulTest_a : STD_LOGIC_VECTOR (37 downto 0); signal lev2_a0_uid170_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (37 downto 0); signal lev2_a0_uid170_prod_uid47_fpMulTest_o : STD_LOGIC_VECTOR (37 downto 0); signal lev2_a0_uid170_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (37 downto 0); signal lev3_a0high_uid173_prod_uid47_fpMulTest_a : STD_LOGIC_VECTOR (33 downto 0); signal lev3_a0high_uid173_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (33 downto 0); signal lev3_a0high_uid173_prod_uid47_fpMulTest_o : STD_LOGIC_VECTOR (33 downto 0); signal lev3_a0high_uid173_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (33 downto 0); signal lev3_a0_uid174_prod_uid47_fpMulTest_q : STD_LOGIC_VECTOR (38 downto 0); signal osig_uid175_prod_uid47_fpMulTest_in : STD_LOGIC_VECTOR (35 downto 0); signal osig_uid175_prod_uid47_fpMulTest_b : STD_LOGIC_VECTOR (26 downto 0); signal lowRangeA_uid166_prod_uid47_fpMulTest_merged_bit_select_b : STD_LOGIC_VECTOR (4 downto 0); signal lowRangeA_uid166_prod_uid47_fpMulTest_merged_bit_select_c : STD_LOGIC_VECTOR (12 downto 0); signal lowRangeA_uid171_prod_uid47_fpMulTest_merged_bit_select_b : STD_LOGIC_VECTOR (4 downto 0); signal lowRangeA_uid171_prod_uid47_fpMulTest_merged_bit_select_c : STD_LOGIC_VECTOR (32 downto 0); signal redist0_signR_uid48_fpMulTest_q_2_q : STD_LOGIC_VECTOR (0 downto 0); signal redist1_expSum_uid44_fpMulTest_q_2_q : STD_LOGIC_VECTOR (8 downto 0); signal redist2_fracXIsZero_uid31_fpMulTest_q_2_q : STD_LOGIC_VECTOR (0 downto 0); signal redist3_expXIsMax_uid30_fpMulTest_q_2_q : STD_LOGIC_VECTOR (0 downto 0); signal redist4_excZ_y_uid29_fpMulTest_q_2_q : STD_LOGIC_VECTOR (0 downto 0); signal redist5_fracXIsZero_uid17_fpMulTest_q_2_q : STD_LOGIC_VECTOR (0 downto 0); signal redist6_expXIsMax_uid16_fpMulTest_q_2_q : STD_LOGIC_VECTOR (0 downto 0); signal redist7_excZ_x_uid15_fpMulTest_q_2_q : STD_LOGIC_VECTOR (0 downto 0); begin -- frac_x_uid14_fpMulTest(BITSELECT,13)@0 frac_x_uid14_fpMulTest_b <= a(22 downto 0); -- cstZeroWF_uid11_fpMulTest(CONSTANT,10) cstZeroWF_uid11_fpMulTest_q <= "00000000000000000000000"; -- fracXIsZero_uid17_fpMulTest(LOGICAL,16)@0 + 1 fracXIsZero_uid17_fpMulTest_qi <= "1" WHEN cstZeroWF_uid11_fpMulTest_q = frac_x_uid14_fpMulTest_b ELSE "0"; fracXIsZero_uid17_fpMulTest_delay : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => fracXIsZero_uid17_fpMulTest_qi, xout => fracXIsZero_uid17_fpMulTest_q, clk => clk, aclr => areset ); -- redist5_fracXIsZero_uid17_fpMulTest_q_2(DELAY,183) redist5_fracXIsZero_uid17_fpMulTest_q_2 : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => fracXIsZero_uid17_fpMulTest_q, xout => redist5_fracXIsZero_uid17_fpMulTest_q_2_q, clk => clk, aclr => areset ); -- cstAllOWE_uid10_fpMulTest(CONSTANT,9) cstAllOWE_uid10_fpMulTest_q <= "11111111"; -- expX_uid6_fpMulTest(BITSELECT,5)@0 expX_uid6_fpMulTest_b <= a(30 downto 23); -- expXIsMax_uid16_fpMulTest(LOGICAL,15)@0 + 1 expXIsMax_uid16_fpMulTest_qi <= "1" WHEN expX_uid6_fpMulTest_b = cstAllOWE_uid10_fpMulTest_q ELSE "0"; expXIsMax_uid16_fpMulTest_delay : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => expXIsMax_uid16_fpMulTest_qi, xout => expXIsMax_uid16_fpMulTest_q, clk => clk, aclr => areset ); -- redist6_expXIsMax_uid16_fpMulTest_q_2(DELAY,184) redist6_expXIsMax_uid16_fpMulTest_q_2 : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => expXIsMax_uid16_fpMulTest_q, xout => redist6_expXIsMax_uid16_fpMulTest_q_2_q, clk => clk, aclr => areset ); -- excI_x_uid19_fpMulTest(LOGICAL,18)@2 excI_x_uid19_fpMulTest_q <= redist6_expXIsMax_uid16_fpMulTest_q_2_q and redist5_fracXIsZero_uid17_fpMulTest_q_2_q; -- cstAllZWE_uid12_fpMulTest(CONSTANT,11) cstAllZWE_uid12_fpMulTest_q <= "00000000"; -- expY_uid7_fpMulTest(BITSELECT,6)@0 expY_uid7_fpMulTest_b <= b(30 downto 23); -- excZ_y_uid29_fpMulTest(LOGICAL,28)@0 + 1 excZ_y_uid29_fpMulTest_qi <= "1" WHEN expY_uid7_fpMulTest_b = cstAllZWE_uid12_fpMulTest_q ELSE "0"; excZ_y_uid29_fpMulTest_delay : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => excZ_y_uid29_fpMulTest_qi, xout => excZ_y_uid29_fpMulTest_q, clk => clk, aclr => areset ); -- redist4_excZ_y_uid29_fpMulTest_q_2(DELAY,182) redist4_excZ_y_uid29_fpMulTest_q_2 : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => excZ_y_uid29_fpMulTest_q, xout => redist4_excZ_y_uid29_fpMulTest_q_2_q, clk => clk, aclr => areset ); -- excYZAndExcXI_uid75_fpMulTest(LOGICAL,74)@2 excYZAndExcXI_uid75_fpMulTest_q <= redist4_excZ_y_uid29_fpMulTest_q_2_q and excI_x_uid19_fpMulTest_q; -- frac_y_uid28_fpMulTest(BITSELECT,27)@0 frac_y_uid28_fpMulTest_b <= b(22 downto 0); -- fracXIsZero_uid31_fpMulTest(LOGICAL,30)@0 + 1 fracXIsZero_uid31_fpMulTest_qi <= "1" WHEN cstZeroWF_uid11_fpMulTest_q = frac_y_uid28_fpMulTest_b ELSE "0"; fracXIsZero_uid31_fpMulTest_delay : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => fracXIsZero_uid31_fpMulTest_qi, xout => fracXIsZero_uid31_fpMulTest_q, clk => clk, aclr => areset ); -- redist2_fracXIsZero_uid31_fpMulTest_q_2(DELAY,180) redist2_fracXIsZero_uid31_fpMulTest_q_2 : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => fracXIsZero_uid31_fpMulTest_q, xout => redist2_fracXIsZero_uid31_fpMulTest_q_2_q, clk => clk, aclr => areset ); -- expXIsMax_uid30_fpMulTest(LOGICAL,29)@0 + 1 expXIsMax_uid30_fpMulTest_qi <= "1" WHEN expY_uid7_fpMulTest_b = cstAllOWE_uid10_fpMulTest_q ELSE "0"; expXIsMax_uid30_fpMulTest_delay : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => expXIsMax_uid30_fpMulTest_qi, xout => expXIsMax_uid30_fpMulTest_q, clk => clk, aclr => areset ); -- redist3_expXIsMax_uid30_fpMulTest_q_2(DELAY,181) redist3_expXIsMax_uid30_fpMulTest_q_2 : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => expXIsMax_uid30_fpMulTest_q, xout => redist3_expXIsMax_uid30_fpMulTest_q_2_q, clk => clk, aclr => areset ); -- excI_y_uid33_fpMulTest(LOGICAL,32)@2 excI_y_uid33_fpMulTest_q <= redist3_expXIsMax_uid30_fpMulTest_q_2_q and redist2_fracXIsZero_uid31_fpMulTest_q_2_q; -- excZ_x_uid15_fpMulTest(LOGICAL,14)@0 + 1 excZ_x_uid15_fpMulTest_qi <= "1" WHEN expX_uid6_fpMulTest_b = cstAllZWE_uid12_fpMulTest_q ELSE "0"; excZ_x_uid15_fpMulTest_delay : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => excZ_x_uid15_fpMulTest_qi, xout => excZ_x_uid15_fpMulTest_q, clk => clk, aclr => areset ); -- redist7_excZ_x_uid15_fpMulTest_q_2(DELAY,185) redist7_excZ_x_uid15_fpMulTest_q_2 : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => excZ_x_uid15_fpMulTest_q, xout => redist7_excZ_x_uid15_fpMulTest_q_2_q, clk => clk, aclr => areset ); -- excXZAndExcYI_uid76_fpMulTest(LOGICAL,75)@2 excXZAndExcYI_uid76_fpMulTest_q <= redist7_excZ_x_uid15_fpMulTest_q_2_q and excI_y_uid33_fpMulTest_q; -- ZeroTimesInf_uid77_fpMulTest(LOGICAL,76)@2 ZeroTimesInf_uid77_fpMulTest_q <= excXZAndExcYI_uid76_fpMulTest_q or excYZAndExcXI_uid75_fpMulTest_q; -- fracXIsNotZero_uid32_fpMulTest(LOGICAL,31)@2 fracXIsNotZero_uid32_fpMulTest_q <= not (redist2_fracXIsZero_uid31_fpMulTest_q_2_q); -- excN_y_uid34_fpMulTest(LOGICAL,33)@2 excN_y_uid34_fpMulTest_q <= redist3_expXIsMax_uid30_fpMulTest_q_2_q and fracXIsNotZero_uid32_fpMulTest_q; -- fracXIsNotZero_uid18_fpMulTest(LOGICAL,17)@2 fracXIsNotZero_uid18_fpMulTest_q <= not (redist5_fracXIsZero_uid17_fpMulTest_q_2_q); -- excN_x_uid20_fpMulTest(LOGICAL,19)@2 excN_x_uid20_fpMulTest_q <= redist6_expXIsMax_uid16_fpMulTest_q_2_q and fracXIsNotZero_uid18_fpMulTest_q; -- excRNaN_uid78_fpMulTest(LOGICAL,77)@2 excRNaN_uid78_fpMulTest_q <= excN_x_uid20_fpMulTest_q or excN_y_uid34_fpMulTest_q or ZeroTimesInf_uid77_fpMulTest_q; -- invExcRNaN_uid90_fpMulTest(LOGICAL,89)@2 invExcRNaN_uid90_fpMulTest_q <= not (excRNaN_uid78_fpMulTest_q); -- signY_uid9_fpMulTest(BITSELECT,8)@0 signY_uid9_fpMulTest_b <= STD_LOGIC_VECTOR(b(31 downto 31)); -- signX_uid8_fpMulTest(BITSELECT,7)@0 signX_uid8_fpMulTest_b <= STD_LOGIC_VECTOR(a(31 downto 31)); -- signR_uid48_fpMulTest(LOGICAL,47)@0 + 1 signR_uid48_fpMulTest_qi <= signX_uid8_fpMulTest_b xor signY_uid9_fpMulTest_b; signR_uid48_fpMulTest_delay : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => signR_uid48_fpMulTest_qi, xout => signR_uid48_fpMulTest_q, clk => clk, aclr => areset ); -- redist0_signR_uid48_fpMulTest_q_2(DELAY,178) redist0_signR_uid48_fpMulTest_q_2 : dspba_delay GENERIC MAP ( width => 1, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => signR_uid48_fpMulTest_q, xout => redist0_signR_uid48_fpMulTest_q_2_q, clk => clk, aclr => areset ); -- VCC(CONSTANT,1) VCC_q <= "1"; -- signRPostExc_uid91_fpMulTest(LOGICAL,90)@2 signRPostExc_uid91_fpMulTest_q <= redist0_signR_uid48_fpMulTest_q_2_q and invExcRNaN_uid90_fpMulTest_q; -- GND(CONSTANT,0) GND_q <= "0"; -- ofracY_uid43_fpMulTest(BITJOIN,42)@0 ofracY_uid43_fpMulTest_q <= VCC_q & frac_y_uid28_fpMulTest_b; -- aboveLeftY_uid124_prod_uid47_fpMulTest(BITSELECT,123)@0 aboveLeftY_uid124_prod_uid47_fpMulTest_in <= ofracY_uid43_fpMulTest_q(14 downto 0); aboveLeftY_uid124_prod_uid47_fpMulTest_b <= aboveLeftY_uid124_prod_uid47_fpMulTest_in(14 downto 10); -- n1_uid133_prod_uid47_fpMulTest(BITSELECT,132)@0 n1_uid133_prod_uid47_fpMulTest_b <= aboveLeftY_uid124_prod_uid47_fpMulTest_b(4 downto 1); -- n1_uid141_prod_uid47_fpMulTest(BITSELECT,140)@0 n1_uid141_prod_uid47_fpMulTest_b <= n1_uid133_prod_uid47_fpMulTest_b(3 downto 1); -- n1_uid149_prod_uid47_fpMulTest(BITSELECT,148)@0 n1_uid149_prod_uid47_fpMulTest_b <= n1_uid141_prod_uid47_fpMulTest_b(2 downto 1); -- ofracX_uid40_fpMulTest(BITJOIN,39)@0 ofracX_uid40_fpMulTest_q <= VCC_q & frac_x_uid14_fpMulTest_b; -- aboveLeftX_uid123_prod_uid47_fpMulTest(BITSELECT,122)@0 aboveLeftX_uid123_prod_uid47_fpMulTest_in <= ofracX_uid40_fpMulTest_q(5 downto 0); aboveLeftX_uid123_prod_uid47_fpMulTest_b <= aboveLeftX_uid123_prod_uid47_fpMulTest_in(5 downto 1); -- n0_uid132_prod_uid47_fpMulTest(BITSELECT,131)@0 n0_uid132_prod_uid47_fpMulTest_b <= aboveLeftX_uid123_prod_uid47_fpMulTest_b(4 downto 1); -- n0_uid140_prod_uid47_fpMulTest(BITSELECT,139)@0 n0_uid140_prod_uid47_fpMulTest_b <= n0_uid132_prod_uid47_fpMulTest_b(3 downto 1); -- n0_uid148_prod_uid47_fpMulTest(BITSELECT,147)@0 n0_uid148_prod_uid47_fpMulTest_b <= n0_uid140_prod_uid47_fpMulTest_b(2 downto 1); -- sm1_uid164_prod_uid47_fpMulTest(MULT,163)@0 + 2 sm1_uid164_prod_uid47_fpMulTest_a0 <= n0_uid148_prod_uid47_fpMulTest_b; sm1_uid164_prod_uid47_fpMulTest_b0 <= n1_uid149_prod_uid47_fpMulTest_b; sm1_uid164_prod_uid47_fpMulTest_reset <= areset; sm1_uid164_prod_uid47_fpMulTest_component : lpm_mult GENERIC MAP ( lpm_widtha => 2, lpm_widthb => 2, lpm_widthp => 4, lpm_widths => 1, lpm_type => "LPM_MULT", lpm_representation => "UNSIGNED", lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=NO, MAXIMIZE_SPEED=5", lpm_pipeline => 2 ) PORT MAP ( dataa => sm1_uid164_prod_uid47_fpMulTest_a0, datab => sm1_uid164_prod_uid47_fpMulTest_b0, clken => VCC_q(0), aclr => sm1_uid164_prod_uid47_fpMulTest_reset, clock => clk, result => sm1_uid164_prod_uid47_fpMulTest_s1 ); sm1_uid164_prod_uid47_fpMulTest_q <= sm1_uid164_prod_uid47_fpMulTest_s1; -- lev3_a0high_uid173_prod_uid47_fpMulTest(ADD,172)@2 lev3_a0high_uid173_prod_uid47_fpMulTest_a <= STD_LOGIC_VECTOR("0" & lowRangeA_uid171_prod_uid47_fpMulTest_merged_bit_select_c); lev3_a0high_uid173_prod_uid47_fpMulTest_b <= STD_LOGIC_VECTOR("000000000000000000000000000000" & sm1_uid164_prod_uid47_fpMulTest_q); lev3_a0high_uid173_prod_uid47_fpMulTest_o <= STD_LOGIC_VECTOR(UNSIGNED(lev3_a0high_uid173_prod_uid47_fpMulTest_a) + UNSIGNED(lev3_a0high_uid173_prod_uid47_fpMulTest_b)); lev3_a0high_uid173_prod_uid47_fpMulTest_q <= lev3_a0high_uid173_prod_uid47_fpMulTest_o(33 downto 0); -- rightBottomY_uid122_prod_uid47_fpMulTest(BITSELECT,121)@0 rightBottomY_uid122_prod_uid47_fpMulTest_in <= ofracY_uid43_fpMulTest_q(5 downto 0); rightBottomY_uid122_prod_uid47_fpMulTest_b <= rightBottomY_uid122_prod_uid47_fpMulTest_in(5 downto 1); -- n1_uid131_prod_uid47_fpMulTest(BITSELECT,130)@0 n1_uid131_prod_uid47_fpMulTest_b <= rightBottomY_uid122_prod_uid47_fpMulTest_b(4 downto 1); -- n1_uid139_prod_uid47_fpMulTest(BITSELECT,138)@0 n1_uid139_prod_uid47_fpMulTest_b <= n1_uid131_prod_uid47_fpMulTest_b(3 downto 1); -- n1_uid147_prod_uid47_fpMulTest(BITSELECT,146)@0 n1_uid147_prod_uid47_fpMulTest_b <= n1_uid139_prod_uid47_fpMulTest_b(2 downto 1); -- rightBottomX_uid121_prod_uid47_fpMulTest(BITSELECT,120)@0 rightBottomX_uid121_prod_uid47_fpMulTest_in <= ofracX_uid40_fpMulTest_q(14 downto 0); rightBottomX_uid121_prod_uid47_fpMulTest_b <= rightBottomX_uid121_prod_uid47_fpMulTest_in(14 downto 10); -- n0_uid130_prod_uid47_fpMulTest(BITSELECT,129)@0 n0_uid130_prod_uid47_fpMulTest_b <= rightBottomX_uid121_prod_uid47_fpMulTest_b(4 downto 1); -- n0_uid138_prod_uid47_fpMulTest(BITSELECT,137)@0 n0_uid138_prod_uid47_fpMulTest_b <= n0_uid130_prod_uid47_fpMulTest_b(3 downto 1); -- n0_uid146_prod_uid47_fpMulTest(BITSELECT,145)@0 n0_uid146_prod_uid47_fpMulTest_b <= n0_uid138_prod_uid47_fpMulTest_b(2 downto 1); -- sm0_uid163_prod_uid47_fpMulTest(MULT,162)@0 + 2 sm0_uid163_prod_uid47_fpMulTest_a0 <= n0_uid146_prod_uid47_fpMulTest_b; sm0_uid163_prod_uid47_fpMulTest_b0 <= n1_uid147_prod_uid47_fpMulTest_b; sm0_uid163_prod_uid47_fpMulTest_reset <= areset; sm0_uid163_prod_uid47_fpMulTest_component : lpm_mult GENERIC MAP ( lpm_widtha => 2, lpm_widthb => 2, lpm_widthp => 4, lpm_widths => 1, lpm_type => "LPM_MULT", lpm_representation => "UNSIGNED", lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=NO, MAXIMIZE_SPEED=5", lpm_pipeline => 2 ) PORT MAP ( dataa => sm0_uid163_prod_uid47_fpMulTest_a0, datab => sm0_uid163_prod_uid47_fpMulTest_b0, clken => VCC_q(0), aclr => sm0_uid163_prod_uid47_fpMulTest_reset, clock => clk, result => sm0_uid163_prod_uid47_fpMulTest_s1 ); sm0_uid163_prod_uid47_fpMulTest_q <= sm0_uid163_prod_uid47_fpMulTest_s1; -- lev1_a1high_uid168_prod_uid47_fpMulTest(ADD,167)@2 lev1_a1high_uid168_prod_uid47_fpMulTest_a <= STD_LOGIC_VECTOR("0" & lowRangeA_uid166_prod_uid47_fpMulTest_merged_bit_select_c); lev1_a1high_uid168_prod_uid47_fpMulTest_b <= STD_LOGIC_VECTOR("0000000000" & sm0_uid163_prod_uid47_fpMulTest_q); lev1_a1high_uid168_prod_uid47_fpMulTest_o <= STD_LOGIC_VECTOR(UNSIGNED(lev1_a1high_uid168_prod_uid47_fpMulTest_a) + UNSIGNED(lev1_a1high_uid168_prod_uid47_fpMulTest_b)); lev1_a1high_uid168_prod_uid47_fpMulTest_q <= lev1_a1high_uid168_prod_uid47_fpMulTest_o(13 downto 0); -- rightBottomY_uid117_prod_uid47_fpMulTest(BITSELECT,116)@0 rightBottomY_uid117_prod_uid47_fpMulTest_b <= ofracY_uid43_fpMulTest_q(23 downto 15); -- rightBottomX_bottomRange_uid114_prod_uid47_fpMulTest(BITSELECT,113)@0 rightBottomX_bottomRange_uid114_prod_uid47_fpMulTest_in <= ofracX_uid40_fpMulTest_q(5 downto 0); rightBottomX_bottomRange_uid114_prod_uid47_fpMulTest_b <= rightBottomX_bottomRange_uid114_prod_uid47_fpMulTest_in(5 downto 0); -- aboveLeftY_bottomExtension_uid109_prod_uid47_fpMulTest(CONSTANT,108) aboveLeftY_bottomExtension_uid109_prod_uid47_fpMulTest_q <= "000"; -- rightBottomX_mergedSignalTM_uid115_prod_uid47_fpMulTest(BITJOIN,114)@0 rightBottomX_mergedSignalTM_uid115_prod_uid47_fpMulTest_q <= rightBottomX_bottomRange_uid114_prod_uid47_fpMulTest_b & aboveLeftY_bottomExtension_uid109_prod_uid47_fpMulTest_q; -- sm1_uid162_prod_uid47_fpMulTest(MULT,161)@0 + 2 sm1_uid162_prod_uid47_fpMulTest_a0 <= rightBottomX_mergedSignalTM_uid115_prod_uid47_fpMulTest_q; sm1_uid162_prod_uid47_fpMulTest_b0 <= rightBottomY_uid117_prod_uid47_fpMulTest_b; sm1_uid162_prod_uid47_fpMulTest_reset <= areset; sm1_uid162_prod_uid47_fpMulTest_component : lpm_mult GENERIC MAP ( lpm_widtha => 9, lpm_widthb => 9, lpm_widthp => 18, lpm_widths => 1, lpm_type => "LPM_MULT", lpm_representation => "UNSIGNED", lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5", lpm_pipeline => 2 ) PORT MAP ( dataa => sm1_uid162_prod_uid47_fpMulTest_a0, datab => sm1_uid162_prod_uid47_fpMulTest_b0, clken => VCC_q(0), aclr => sm1_uid162_prod_uid47_fpMulTest_reset, clock => clk, result => sm1_uid162_prod_uid47_fpMulTest_s1 ); sm1_uid162_prod_uid47_fpMulTest_q <= sm1_uid162_prod_uid47_fpMulTest_s1; -- lowRangeA_uid166_prod_uid47_fpMulTest_merged_bit_select(BITSELECT,176)@2 lowRangeA_uid166_prod_uid47_fpMulTest_merged_bit_select_b <= sm1_uid162_prod_uid47_fpMulTest_q(4 downto 0); lowRangeA_uid166_prod_uid47_fpMulTest_merged_bit_select_c <= sm1_uid162_prod_uid47_fpMulTest_q(17 downto 5); -- lev1_a1_uid169_prod_uid47_fpMulTest(BITJOIN,168)@2 lev1_a1_uid169_prod_uid47_fpMulTest_q <= lev1_a1high_uid168_prod_uid47_fpMulTest_q & lowRangeA_uid166_prod_uid47_fpMulTest_merged_bit_select_b; -- aboveLeftY_bottomRange_uid110_prod_uid47_fpMulTest(BITSELECT,109)@0 aboveLeftY_bottomRange_uid110_prod_uid47_fpMulTest_in <= ofracY_uid43_fpMulTest_q(5 downto 0); aboveLeftY_bottomRange_uid110_prod_uid47_fpMulTest_b <= aboveLeftY_bottomRange_uid110_prod_uid47_fpMulTest_in(5 downto 0); -- aboveLeftY_mergedSignalTM_uid111_prod_uid47_fpMulTest(BITJOIN,110)@0 aboveLeftY_mergedSignalTM_uid111_prod_uid47_fpMulTest_q <= aboveLeftY_bottomRange_uid110_prod_uid47_fpMulTest_b & aboveLeftY_bottomExtension_uid109_prod_uid47_fpMulTest_q; -- aboveLeftX_uid108_prod_uid47_fpMulTest(BITSELECT,107)@0 aboveLeftX_uid108_prod_uid47_fpMulTest_b <= ofracX_uid40_fpMulTest_q(23 downto 15); -- sm0_uid161_prod_uid47_fpMulTest(MULT,160)@0 + 2 sm0_uid161_prod_uid47_fpMulTest_a0 <= aboveLeftX_uid108_prod_uid47_fpMulTest_b; sm0_uid161_prod_uid47_fpMulTest_b0 <= aboveLeftY_mergedSignalTM_uid111_prod_uid47_fpMulTest_q; sm0_uid161_prod_uid47_fpMulTest_reset <= areset; sm0_uid161_prod_uid47_fpMulTest_component : lpm_mult GENERIC MAP ( lpm_widtha => 9, lpm_widthb => 9, lpm_widthp => 18, lpm_widths => 1, lpm_type => "LPM_MULT", lpm_representation => "UNSIGNED", lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5", lpm_pipeline => 2 ) PORT MAP ( dataa => sm0_uid161_prod_uid47_fpMulTest_a0, datab => sm0_uid161_prod_uid47_fpMulTest_b0, clken => VCC_q(0), aclr => sm0_uid161_prod_uid47_fpMulTest_reset, clock => clk, result => sm0_uid161_prod_uid47_fpMulTest_s1 ); sm0_uid161_prod_uid47_fpMulTest_q <= sm0_uid161_prod_uid47_fpMulTest_s1; -- topRangeY_uid103_prod_uid47_fpMulTest(BITSELECT,102)@0 topRangeY_uid103_prod_uid47_fpMulTest_b <= ofracY_uid43_fpMulTest_q(23 downto 6); -- topRangeX_uid102_prod_uid47_fpMulTest(BITSELECT,101)@0 topRangeX_uid102_prod_uid47_fpMulTest_b <= ofracX_uid40_fpMulTest_q(23 downto 6); -- sm0_uid160_prod_uid47_fpMulTest(MULT,159)@0 + 2 sm0_uid160_prod_uid47_fpMulTest_a0 <= topRangeX_uid102_prod_uid47_fpMulTest_b; sm0_uid160_prod_uid47_fpMulTest_b0 <= topRangeY_uid103_prod_uid47_fpMulTest_b; sm0_uid160_prod_uid47_fpMulTest_reset <= areset; sm0_uid160_prod_uid47_fpMulTest_component : lpm_mult GENERIC MAP ( lpm_widtha => 18, lpm_widthb => 18, lpm_widthp => 36, lpm_widths => 1, lpm_type => "LPM_MULT", lpm_representation => "UNSIGNED", lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES, MAXIMIZE_SPEED=5", lpm_pipeline => 2 ) PORT MAP ( dataa => sm0_uid160_prod_uid47_fpMulTest_a0, datab => sm0_uid160_prod_uid47_fpMulTest_b0, clken => VCC_q(0), aclr => sm0_uid160_prod_uid47_fpMulTest_reset, clock => clk, result => sm0_uid160_prod_uid47_fpMulTest_s1 ); sm0_uid160_prod_uid47_fpMulTest_q <= sm0_uid160_prod_uid47_fpMulTest_s1; -- lev1_a0_uid165_prod_uid47_fpMulTest(ADD,164)@2 lev1_a0_uid165_prod_uid47_fpMulTest_a <= STD_LOGIC_VECTOR("0" & sm0_uid160_prod_uid47_fpMulTest_q); lev1_a0_uid165_prod_uid47_fpMulTest_b <= STD_LOGIC_VECTOR("0000000000000000000" & sm0_uid161_prod_uid47_fpMulTest_q); lev1_a0_uid165_prod_uid47_fpMulTest_o <= STD_LOGIC_VECTOR(UNSIGNED(lev1_a0_uid165_prod_uid47_fpMulTest_a) + UNSIGNED(lev1_a0_uid165_prod_uid47_fpMulTest_b)); lev1_a0_uid165_prod_uid47_fpMulTest_q <= lev1_a0_uid165_prod_uid47_fpMulTest_o(36 downto 0); -- lev2_a0_uid170_prod_uid47_fpMulTest(ADD,169)@2 lev2_a0_uid170_prod_uid47_fpMulTest_a <= STD_LOGIC_VECTOR("0" & lev1_a0_uid165_prod_uid47_fpMulTest_q); lev2_a0_uid170_prod_uid47_fpMulTest_b <= STD_LOGIC_VECTOR("0000000000000000000" & lev1_a1_uid169_prod_uid47_fpMulTest_q); lev2_a0_uid170_prod_uid47_fpMulTest_o <= STD_LOGIC_VECTOR(UNSIGNED(lev2_a0_uid170_prod_uid47_fpMulTest_a) + UNSIGNED(lev2_a0_uid170_prod_uid47_fpMulTest_b)); lev2_a0_uid170_prod_uid47_fpMulTest_q <= lev2_a0_uid170_prod_uid47_fpMulTest_o(37 downto 0); -- lowRangeA_uid171_prod_uid47_fpMulTest_merged_bit_select(BITSELECT,177)@2 lowRangeA_uid171_prod_uid47_fpMulTest_merged_bit_select_b <= lev2_a0_uid170_prod_uid47_fpMulTest_q(4 downto 0); lowRangeA_uid171_prod_uid47_fpMulTest_merged_bit_select_c <= lev2_a0_uid170_prod_uid47_fpMulTest_q(37 downto 5); -- lev3_a0_uid174_prod_uid47_fpMulTest(BITJOIN,173)@2 lev3_a0_uid174_prod_uid47_fpMulTest_q <= lev3_a0high_uid173_prod_uid47_fpMulTest_q & lowRangeA_uid171_prod_uid47_fpMulTest_merged_bit_select_b; -- osig_uid175_prod_uid47_fpMulTest(BITSELECT,174)@2 osig_uid175_prod_uid47_fpMulTest_in <= lev3_a0_uid174_prod_uid47_fpMulTest_q(35 downto 0); osig_uid175_prod_uid47_fpMulTest_b <= osig_uid175_prod_uid47_fpMulTest_in(35 downto 9); -- normalizeBit_uid49_fpMulTest(BITSELECT,48)@2 normalizeBit_uid49_fpMulTest_b <= STD_LOGIC_VECTOR(osig_uid175_prod_uid47_fpMulTest_b(26 downto 26)); -- roundBitAndNormalizationOp_uid57_fpMulTest(BITJOIN,56)@2 roundBitAndNormalizationOp_uid57_fpMulTest_q <= GND_q & normalizeBit_uid49_fpMulTest_b & cstZeroWF_uid11_fpMulTest_q & VCC_q; -- biasInc_uid45_fpMulTest(CONSTANT,44) biasInc_uid45_fpMulTest_q <= "0001111111"; -- expSum_uid44_fpMulTest(ADD,43)@0 + 1 expSum_uid44_fpMulTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpMulTest_b); expSum_uid44_fpMulTest_b <= STD_LOGIC_VECTOR("0" & expY_uid7_fpMulTest_b); expSum_uid44_fpMulTest_clkproc: PROCESS (clk, areset) BEGIN IF (areset = '1') THEN expSum_uid44_fpMulTest_o <= (others => '0'); ELSIF (clk'EVENT AND clk = '1') THEN expSum_uid44_fpMulTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expSum_uid44_fpMulTest_a) + UNSIGNED(expSum_uid44_fpMulTest_b)); END IF; END PROCESS; expSum_uid44_fpMulTest_q <= expSum_uid44_fpMulTest_o(8 downto 0); -- redist1_expSum_uid44_fpMulTest_q_2(DELAY,179) redist1_expSum_uid44_fpMulTest_q_2 : dspba_delay GENERIC MAP ( width => 9, depth => 1, reset_kind => "ASYNC" ) PORT MAP ( xin => expSum_uid44_fpMulTest_q, xout => redist1_expSum_uid44_fpMulTest_q_2_q, clk => clk, aclr => areset ); -- expSumMBias_uid46_fpMulTest(SUB,45)@2 expSumMBias_uid46_fpMulTest_a <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR("0" & "00" & redist1_expSum_uid44_fpMulTest_q_2_q)); expSumMBias_uid46_fpMulTest_b <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR((11 downto 10 => biasInc_uid45_fpMulTest_q(9)) & biasInc_uid45_fpMulTest_q)); expSumMBias_uid46_fpMulTest_o <= STD_LOGIC_VECTOR(SIGNED(expSumMBias_uid46_fpMulTest_a) - SIGNED(expSumMBias_uid46_fpMulTest_b)); expSumMBias_uid46_fpMulTest_q <= expSumMBias_uid46_fpMulTest_o(10 downto 0); -- fracRPostNormHigh_uid51_fpMulTest(BITSELECT,50)@2 fracRPostNormHigh_uid51_fpMulTest_in <= osig_uid175_prod_uid47_fpMulTest_b(25 downto 0); fracRPostNormHigh_uid51_fpMulTest_b <= fracRPostNormHigh_uid51_fpMulTest_in(25 downto 2); -- fracRPostNormLow_uid52_fpMulTest(BITSELECT,51)@2 fracRPostNormLow_uid52_fpMulTest_in <= osig_uid175_prod_uid47_fpMulTest_b(24 downto 0); fracRPostNormLow_uid52_fpMulTest_b <= fracRPostNormLow_uid52_fpMulTest_in(24 downto 1); -- fracRPostNorm_uid53_fpMulTest(MUX,52)@2 fracRPostNorm_uid53_fpMulTest_s <= normalizeBit_uid49_fpMulTest_b; fracRPostNorm_uid53_fpMulTest_combproc: PROCESS (fracRPostNorm_uid53_fpMulTest_s, fracRPostNormLow_uid52_fpMulTest_b, fracRPostNormHigh_uid51_fpMulTest_b) BEGIN CASE (fracRPostNorm_uid53_fpMulTest_s) IS WHEN "0" => fracRPostNorm_uid53_fpMulTest_q <= fracRPostNormLow_uid52_fpMulTest_b; WHEN "1" => fracRPostNorm_uid53_fpMulTest_q <= fracRPostNormHigh_uid51_fpMulTest_b; WHEN OTHERS => fracRPostNorm_uid53_fpMulTest_q <= (others => '0'); END CASE; END PROCESS; -- expFracPreRound_uid55_fpMulTest(BITJOIN,54)@2 expFracPreRound_uid55_fpMulTest_q <= expSumMBias_uid46_fpMulTest_q & fracRPostNorm_uid53_fpMulTest_q; -- expFracRPostRounding_uid58_fpMulTest(ADD,57)@2 expFracRPostRounding_uid58_fpMulTest_a <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR((36 downto 35 => expFracPreRound_uid55_fpMulTest_q(34)) & expFracPreRound_uid55_fpMulTest_q)); expFracRPostRounding_uid58_fpMulTest_b <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR("0" & "0000000000" & roundBitAndNormalizationOp_uid57_fpMulTest_q)); expFracRPostRounding_uid58_fpMulTest_o <= STD_LOGIC_VECTOR(SIGNED(expFracRPostRounding_uid58_fpMulTest_a) + SIGNED(expFracRPostRounding_uid58_fpMulTest_b)); expFracRPostRounding_uid58_fpMulTest_q <= expFracRPostRounding_uid58_fpMulTest_o(35 downto 0); -- expRPreExcExt_uid60_fpMulTest(BITSELECT,59)@2 expRPreExcExt_uid60_fpMulTest_b <= STD_LOGIC_VECTOR(expFracRPostRounding_uid58_fpMulTest_q(35 downto 24)); -- expRPreExc_uid61_fpMulTest(BITSELECT,60)@2 expRPreExc_uid61_fpMulTest_in <= expRPreExcExt_uid60_fpMulTest_b(7 downto 0); expRPreExc_uid61_fpMulTest_b <= expRPreExc_uid61_fpMulTest_in(7 downto 0); -- expOvf_uid64_fpMulTest(COMPARE,63)@2 expOvf_uid64_fpMulTest_a <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR((13 downto 12 => expRPreExcExt_uid60_fpMulTest_b(11)) & expRPreExcExt_uid60_fpMulTest_b)); expOvf_uid64_fpMulTest_b <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR("0" & "00000" & cstAllOWE_uid10_fpMulTest_q)); expOvf_uid64_fpMulTest_o <= STD_LOGIC_VECTOR(SIGNED(expOvf_uid64_fpMulTest_a) - SIGNED(expOvf_uid64_fpMulTest_b)); expOvf_uid64_fpMulTest_n(0) <= not (expOvf_uid64_fpMulTest_o(13)); -- invExpXIsMax_uid35_fpMulTest(LOGICAL,34)@2 invExpXIsMax_uid35_fpMulTest_q <= not (redist3_expXIsMax_uid30_fpMulTest_q_2_q); -- InvExpXIsZero_uid36_fpMulTest(LOGICAL,35)@2 InvExpXIsZero_uid36_fpMulTest_q <= not (redist4_excZ_y_uid29_fpMulTest_q_2_q); -- excR_y_uid37_fpMulTest(LOGICAL,36)@2 excR_y_uid37_fpMulTest_q <= InvExpXIsZero_uid36_fpMulTest_q and invExpXIsMax_uid35_fpMulTest_q; -- invExpXIsMax_uid21_fpMulTest(LOGICAL,20)@2 invExpXIsMax_uid21_fpMulTest_q <= not (redist6_expXIsMax_uid16_fpMulTest_q_2_q); -- InvExpXIsZero_uid22_fpMulTest(LOGICAL,21)@2 InvExpXIsZero_uid22_fpMulTest_q <= not (redist7_excZ_x_uid15_fpMulTest_q_2_q); -- excR_x_uid23_fpMulTest(LOGICAL,22)@2 excR_x_uid23_fpMulTest_q <= InvExpXIsZero_uid22_fpMulTest_q and invExpXIsMax_uid21_fpMulTest_q; -- ExcROvfAndInReg_uid73_fpMulTest(LOGICAL,72)@2 ExcROvfAndInReg_uid73_fpMulTest_q <= excR_x_uid23_fpMulTest_q and excR_y_uid37_fpMulTest_q and expOvf_uid64_fpMulTest_n; -- excYRAndExcXI_uid72_fpMulTest(LOGICAL,71)@2 excYRAndExcXI_uid72_fpMulTest_q <= excR_y_uid37_fpMulTest_q and excI_x_uid19_fpMulTest_q; -- excXRAndExcYI_uid71_fpMulTest(LOGICAL,70)@2 excXRAndExcYI_uid71_fpMulTest_q <= excR_x_uid23_fpMulTest_q and excI_y_uid33_fpMulTest_q; -- excXIAndExcYI_uid70_fpMulTest(LOGICAL,69)@2 excXIAndExcYI_uid70_fpMulTest_q <= excI_x_uid19_fpMulTest_q and excI_y_uid33_fpMulTest_q; -- excRInf_uid74_fpMulTest(LOGICAL,73)@2 excRInf_uid74_fpMulTest_q <= excXIAndExcYI_uid70_fpMulTest_q or excXRAndExcYI_uid71_fpMulTest_q or excYRAndExcXI_uid72_fpMulTest_q or ExcROvfAndInReg_uid73_fpMulTest_q; -- expUdf_uid62_fpMulTest(COMPARE,61)@2 expUdf_uid62_fpMulTest_a <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR("0" & "000000000000" & GND_q)); expUdf_uid62_fpMulTest_b <= STD_LOGIC_VECTOR(STD_LOGIC_VECTOR((13 downto 12 => expRPreExcExt_uid60_fpMulTest_b(11)) & expRPreExcExt_uid60_fpMulTest_b)); expUdf_uid62_fpMulTest_o <= STD_LOGIC_VECTOR(SIGNED(expUdf_uid62_fpMulTest_a) - SIGNED(expUdf_uid62_fpMulTest_b)); expUdf_uid62_fpMulTest_n(0) <= not (expUdf_uid62_fpMulTest_o(13)); -- excZC3_uid68_fpMulTest(LOGICAL,67)@2 excZC3_uid68_fpMulTest_q <= excR_x_uid23_fpMulTest_q and excR_y_uid37_fpMulTest_q and expUdf_uid62_fpMulTest_n; -- excYZAndExcXR_uid67_fpMulTest(LOGICAL,66)@2 excYZAndExcXR_uid67_fpMulTest_q <= redist4_excZ_y_uid29_fpMulTest_q_2_q and excR_x_uid23_fpMulTest_q; -- excXZAndExcYR_uid66_fpMulTest(LOGICAL,65)@2 excXZAndExcYR_uid66_fpMulTest_q <= redist7_excZ_x_uid15_fpMulTest_q_2_q and excR_y_uid37_fpMulTest_q; -- excXZAndExcYZ_uid65_fpMulTest(LOGICAL,64)@2 excXZAndExcYZ_uid65_fpMulTest_q <= redist7_excZ_x_uid15_fpMulTest_q_2_q and redist4_excZ_y_uid29_fpMulTest_q_2_q; -- excRZero_uid69_fpMulTest(LOGICAL,68)@2 excRZero_uid69_fpMulTest_q <= excXZAndExcYZ_uid65_fpMulTest_q or excXZAndExcYR_uid66_fpMulTest_q or excYZAndExcXR_uid67_fpMulTest_q or excZC3_uid68_fpMulTest_q; -- concExc_uid79_fpMulTest(BITJOIN,78)@2 concExc_uid79_fpMulTest_q <= excRNaN_uid78_fpMulTest_q & excRInf_uid74_fpMulTest_q & excRZero_uid69_fpMulTest_q; -- excREnc_uid80_fpMulTest(LOOKUP,79)@2 excREnc_uid80_fpMulTest_combproc: PROCESS (concExc_uid79_fpMulTest_q) BEGIN -- Begin reserved scope level CASE (concExc_uid79_fpMulTest_q) IS WHEN "000" => excREnc_uid80_fpMulTest_q <= "01"; WHEN "001" => excREnc_uid80_fpMulTest_q <= "00"; WHEN "010" => excREnc_uid80_fpMulTest_q <= "10"; WHEN "011" => excREnc_uid80_fpMulTest_q <= "00"; WHEN "100" => excREnc_uid80_fpMulTest_q <= "11"; WHEN "101" => excREnc_uid80_fpMulTest_q <= "00"; WHEN "110" => excREnc_uid80_fpMulTest_q <= "00"; WHEN "111" => excREnc_uid80_fpMulTest_q <= "00"; WHEN OTHERS => -- unreachable excREnc_uid80_fpMulTest_q <= (others => '-'); END CASE; -- End reserved scope level END PROCESS; -- expRPostExc_uid89_fpMulTest(MUX,88)@2 expRPostExc_uid89_fpMulTest_s <= excREnc_uid80_fpMulTest_q; expRPostExc_uid89_fpMulTest_combproc: PROCESS (expRPostExc_uid89_fpMulTest_s, cstAllZWE_uid12_fpMulTest_q, expRPreExc_uid61_fpMulTest_b, cstAllOWE_uid10_fpMulTest_q) BEGIN CASE (expRPostExc_uid89_fpMulTest_s) IS WHEN "00" => expRPostExc_uid89_fpMulTest_q <= cstAllZWE_uid12_fpMulTest_q; WHEN "01" => expRPostExc_uid89_fpMulTest_q <= expRPreExc_uid61_fpMulTest_b; WHEN "10" => expRPostExc_uid89_fpMulTest_q <= cstAllOWE_uid10_fpMulTest_q; WHEN "11" => expRPostExc_uid89_fpMulTest_q <= cstAllOWE_uid10_fpMulTest_q; WHEN OTHERS => expRPostExc_uid89_fpMulTest_q <= (others => '0'); END CASE; END PROCESS; -- oneFracRPostExc2_uid81_fpMulTest(CONSTANT,80) oneFracRPostExc2_uid81_fpMulTest_q <= "00000000000000000000001"; -- fracRPreExc_uid59_fpMulTest(BITSELECT,58)@2 fracRPreExc_uid59_fpMulTest_in <= expFracRPostRounding_uid58_fpMulTest_q(23 downto 0); fracRPreExc_uid59_fpMulTest_b <= fracRPreExc_uid59_fpMulTest_in(23 downto 1); -- fracRPostExc_uid84_fpMulTest(MUX,83)@2 fracRPostExc_uid84_fpMulTest_s <= excREnc_uid80_fpMulTest_q; fracRPostExc_uid84_fpMulTest_combproc: PROCESS (fracRPostExc_uid84_fpMulTest_s, cstZeroWF_uid11_fpMulTest_q, fracRPreExc_uid59_fpMulTest_b, oneFracRPostExc2_uid81_fpMulTest_q) BEGIN CASE (fracRPostExc_uid84_fpMulTest_s) IS WHEN "00" => fracRPostExc_uid84_fpMulTest_q <= cstZeroWF_uid11_fpMulTest_q; WHEN "01" => fracRPostExc_uid84_fpMulTest_q <= fracRPreExc_uid59_fpMulTest_b; WHEN "10" => fracRPostExc_uid84_fpMulTest_q <= cstZeroWF_uid11_fpMulTest_q; WHEN "11" => fracRPostExc_uid84_fpMulTest_q <= oneFracRPostExc2_uid81_fpMulTest_q; WHEN OTHERS => fracRPostExc_uid84_fpMulTest_q <= (others => '0'); END CASE; END PROCESS; -- R_uid92_fpMulTest(BITJOIN,91)@2 R_uid92_fpMulTest_q <= signRPostExc_uid91_fpMulTest_q & expRPostExc_uid89_fpMulTest_q & fracRPostExc_uid84_fpMulTest_q; -- xOut(GPOUT,4)@2 q <= R_uid92_fpMulTest_q; END normal;
architecture RTL of FIFO is begin LABEL_PROC : process begin end process LABEL_PROC; -- Violations below LABEL_PROCESS : process begin end process LABEL_PROCESS; end architecture RTL;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity rgb2ycbcr is port ( rgb : in std_logic_vector(23 downto 0); de_in : in std_logic; ycbcr : out std_logic_vector(23 downto 0); de_out : out std_logic; rst_n : in std_logic; clk : in std_logic ); end entity rgb2ycbcr; architecture rtl of rgb2ycbcr is signal Y_reg_1 : signed(23 downto 0):=(others=>'0'); signal Y_reg_2 : signed(23 downto 0):=(others=>'0'); signal Y_reg_3 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_1 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_2 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_3 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_1 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_2 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_3 : signed(23 downto 0):=(others=>'0'); signal Y_reg : signed(23 downto 0):=(others=>'0'); signal Cb_reg : signed(23 downto 0):=(others=>'0'); signal Cr_reg : signed(23 downto 0):=(others=>'0'); constant C_Y_1 : signed(14 downto 0) := to_signed(4899, 15); constant C_Y_2 : signed(14 downto 0) := to_signed(9617, 15); constant C_Y_3 : signed(14 downto 0) := to_signed(1868, 15); constant C_Cb_1 : signed(14 downto 0) := to_signed(-2764, 15); constant C_Cb_2 : signed(14 downto 0) := to_signed(-5428, 15); constant C_Cb_3 : signed(14 downto 0) := to_signed(8192, 15); constant C_Cr_1 : signed(14 downto 0) := to_signed(8192, 15); constant C_Cr_2 : signed(14 downto 0) := to_signed(-6860, 15); constant C_Cr_3 : signed(14 downto 0) := to_signed(-1332, 15); signal R_s : signed(8 downto 0):=(others=>'0'); signal G_s : signed(8 downto 0):=(others=>'0'); signal B_s : signed(8 downto 0):=(others=>'0'); signal Y_8bit : unsigned(7 downto 0):=(others=>'0'); signal Cb_8bit : unsigned(7 downto 0):=(others=>'0'); signal Cr_8bit : unsigned(7 downto 0):=(others=>'0'); signal de_in_q : std_logic; begin process(CLK, rst_n) begin if rst_n = '0' then Y_Reg_1 <= (others => '0'); Y_Reg_2 <= (others => '0'); Y_Reg_3 <= (others => '0'); Cb_Reg_1 <= (others => '0'); Cb_Reg_2 <= (others => '0'); Cb_Reg_3 <= (others => '0'); Cr_Reg_1 <= (others => '0'); Cr_Reg_2 <= (others => '0'); Cr_Reg_3 <= (others => '0'); Y_Reg <= (others => '0'); Cb_Reg <= (others => '0'); Cr_Reg <= (others => '0'); de_in_q <= '0'; de_out <= '0'; elsif rising_edge(clk) then de_in_q <= de_in; Y_Reg_1 <= R_s*C_Y_1; Y_Reg_2 <= G_s*C_Y_2; Y_Reg_3 <= B_s*C_Y_3; Cb_Reg_1 <= R_s*C_Cb_1; Cb_Reg_2 <= G_s*C_Cb_2; Cb_Reg_3 <= B_s*C_Cb_3; Cr_Reg_1 <= R_s*C_Cr_1; Cr_Reg_2 <= G_s*C_Cr_2; Cr_Reg_3 <= B_s*C_Cr_3; de_out <= de_in_q; Y_Reg <= Y_Reg_1 + Y_Reg_2 + Y_Reg_3; Cb_Reg <= Cb_Reg_1 + Cb_Reg_2 + Cb_Reg_3 + to_signed(128*16384,Cb_Reg'length); Cr_Reg <= Cr_Reg_1 + Cr_Reg_2 + Cr_Reg_3 + to_signed(128*16384,Cr_Reg'length); end if; end process; R_s <= signed('0' & rgb(7 downto 0)); G_s <= signed('0' & rgb(15 downto 8)); B_s <= signed('0' & rgb(23 downto 16)); Y_8bit <= unsigned(Y_Reg(21 downto 14)); Cb_8bit <= unsigned(Cb_Reg(21 downto 14)); Cr_8bit <= unsigned(Cr_Reg(21 downto 14)); ycbcr(7 downto 0) <= std_logic_vector(Y_8bit); ycbcr(15 downto 8) <= std_logic_vector(Cb_8bit); ycbcr(23 downto 16) <= std_logic_vector(Cr_8bit); end architecture RTL;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity rgb2ycbcr is port ( rgb : in std_logic_vector(23 downto 0); de_in : in std_logic; ycbcr : out std_logic_vector(23 downto 0); de_out : out std_logic; rst_n : in std_logic; clk : in std_logic ); end entity rgb2ycbcr; architecture rtl of rgb2ycbcr is signal Y_reg_1 : signed(23 downto 0):=(others=>'0'); signal Y_reg_2 : signed(23 downto 0):=(others=>'0'); signal Y_reg_3 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_1 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_2 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_3 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_1 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_2 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_3 : signed(23 downto 0):=(others=>'0'); signal Y_reg : signed(23 downto 0):=(others=>'0'); signal Cb_reg : signed(23 downto 0):=(others=>'0'); signal Cr_reg : signed(23 downto 0):=(others=>'0'); constant C_Y_1 : signed(14 downto 0) := to_signed(4899, 15); constant C_Y_2 : signed(14 downto 0) := to_signed(9617, 15); constant C_Y_3 : signed(14 downto 0) := to_signed(1868, 15); constant C_Cb_1 : signed(14 downto 0) := to_signed(-2764, 15); constant C_Cb_2 : signed(14 downto 0) := to_signed(-5428, 15); constant C_Cb_3 : signed(14 downto 0) := to_signed(8192, 15); constant C_Cr_1 : signed(14 downto 0) := to_signed(8192, 15); constant C_Cr_2 : signed(14 downto 0) := to_signed(-6860, 15); constant C_Cr_3 : signed(14 downto 0) := to_signed(-1332, 15); signal R_s : signed(8 downto 0):=(others=>'0'); signal G_s : signed(8 downto 0):=(others=>'0'); signal B_s : signed(8 downto 0):=(others=>'0'); signal Y_8bit : unsigned(7 downto 0):=(others=>'0'); signal Cb_8bit : unsigned(7 downto 0):=(others=>'0'); signal Cr_8bit : unsigned(7 downto 0):=(others=>'0'); signal de_in_q : std_logic; begin process(CLK, rst_n) begin if rst_n = '0' then Y_Reg_1 <= (others => '0'); Y_Reg_2 <= (others => '0'); Y_Reg_3 <= (others => '0'); Cb_Reg_1 <= (others => '0'); Cb_Reg_2 <= (others => '0'); Cb_Reg_3 <= (others => '0'); Cr_Reg_1 <= (others => '0'); Cr_Reg_2 <= (others => '0'); Cr_Reg_3 <= (others => '0'); Y_Reg <= (others => '0'); Cb_Reg <= (others => '0'); Cr_Reg <= (others => '0'); de_in_q <= '0'; de_out <= '0'; elsif rising_edge(clk) then de_in_q <= de_in; Y_Reg_1 <= R_s*C_Y_1; Y_Reg_2 <= G_s*C_Y_2; Y_Reg_3 <= B_s*C_Y_3; Cb_Reg_1 <= R_s*C_Cb_1; Cb_Reg_2 <= G_s*C_Cb_2; Cb_Reg_3 <= B_s*C_Cb_3; Cr_Reg_1 <= R_s*C_Cr_1; Cr_Reg_2 <= G_s*C_Cr_2; Cr_Reg_3 <= B_s*C_Cr_3; de_out <= de_in_q; Y_Reg <= Y_Reg_1 + Y_Reg_2 + Y_Reg_3; Cb_Reg <= Cb_Reg_1 + Cb_Reg_2 + Cb_Reg_3 + to_signed(128*16384,Cb_Reg'length); Cr_Reg <= Cr_Reg_1 + Cr_Reg_2 + Cr_Reg_3 + to_signed(128*16384,Cr_Reg'length); end if; end process; R_s <= signed('0' & rgb(7 downto 0)); G_s <= signed('0' & rgb(15 downto 8)); B_s <= signed('0' & rgb(23 downto 16)); Y_8bit <= unsigned(Y_Reg(21 downto 14)); Cb_8bit <= unsigned(Cb_Reg(21 downto 14)); Cr_8bit <= unsigned(Cr_Reg(21 downto 14)); ycbcr(7 downto 0) <= std_logic_vector(Y_8bit); ycbcr(15 downto 8) <= std_logic_vector(Cb_8bit); ycbcr(23 downto 16) <= std_logic_vector(Cr_8bit); end architecture RTL;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; ------------------------------------------------------------------------------- entity rgb2ycbcr is port ( rgb : in std_logic_vector(23 downto 0); de_in : in std_logic; ycbcr : out std_logic_vector(23 downto 0); de_out : out std_logic; rst_n : in std_logic; clk : in std_logic ); end entity rgb2ycbcr; architecture rtl of rgb2ycbcr is signal Y_reg_1 : signed(23 downto 0):=(others=>'0'); signal Y_reg_2 : signed(23 downto 0):=(others=>'0'); signal Y_reg_3 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_1 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_2 : signed(23 downto 0):=(others=>'0'); signal Cb_reg_3 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_1 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_2 : signed(23 downto 0):=(others=>'0'); signal Cr_reg_3 : signed(23 downto 0):=(others=>'0'); signal Y_reg : signed(23 downto 0):=(others=>'0'); signal Cb_reg : signed(23 downto 0):=(others=>'0'); signal Cr_reg : signed(23 downto 0):=(others=>'0'); constant C_Y_1 : signed(14 downto 0) := to_signed(4899, 15); constant C_Y_2 : signed(14 downto 0) := to_signed(9617, 15); constant C_Y_3 : signed(14 downto 0) := to_signed(1868, 15); constant C_Cb_1 : signed(14 downto 0) := to_signed(-2764, 15); constant C_Cb_2 : signed(14 downto 0) := to_signed(-5428, 15); constant C_Cb_3 : signed(14 downto 0) := to_signed(8192, 15); constant C_Cr_1 : signed(14 downto 0) := to_signed(8192, 15); constant C_Cr_2 : signed(14 downto 0) := to_signed(-6860, 15); constant C_Cr_3 : signed(14 downto 0) := to_signed(-1332, 15); signal R_s : signed(8 downto 0):=(others=>'0'); signal G_s : signed(8 downto 0):=(others=>'0'); signal B_s : signed(8 downto 0):=(others=>'0'); signal Y_8bit : unsigned(7 downto 0):=(others=>'0'); signal Cb_8bit : unsigned(7 downto 0):=(others=>'0'); signal Cr_8bit : unsigned(7 downto 0):=(others=>'0'); signal de_in_q : std_logic; begin process(CLK, rst_n) begin if rst_n = '0' then Y_Reg_1 <= (others => '0'); Y_Reg_2 <= (others => '0'); Y_Reg_3 <= (others => '0'); Cb_Reg_1 <= (others => '0'); Cb_Reg_2 <= (others => '0'); Cb_Reg_3 <= (others => '0'); Cr_Reg_1 <= (others => '0'); Cr_Reg_2 <= (others => '0'); Cr_Reg_3 <= (others => '0'); Y_Reg <= (others => '0'); Cb_Reg <= (others => '0'); Cr_Reg <= (others => '0'); de_in_q <= '0'; de_out <= '0'; elsif rising_edge(clk) then de_in_q <= de_in; Y_Reg_1 <= R_s*C_Y_1; Y_Reg_2 <= G_s*C_Y_2; Y_Reg_3 <= B_s*C_Y_3; Cb_Reg_1 <= R_s*C_Cb_1; Cb_Reg_2 <= G_s*C_Cb_2; Cb_Reg_3 <= B_s*C_Cb_3; Cr_Reg_1 <= R_s*C_Cr_1; Cr_Reg_2 <= G_s*C_Cr_2; Cr_Reg_3 <= B_s*C_Cr_3; de_out <= de_in_q; Y_Reg <= Y_Reg_1 + Y_Reg_2 + Y_Reg_3; Cb_Reg <= Cb_Reg_1 + Cb_Reg_2 + Cb_Reg_3 + to_signed(128*16384,Cb_Reg'length); Cr_Reg <= Cr_Reg_1 + Cr_Reg_2 + Cr_Reg_3 + to_signed(128*16384,Cr_Reg'length); end if; end process; R_s <= signed('0' & rgb(7 downto 0)); G_s <= signed('0' & rgb(15 downto 8)); B_s <= signed('0' & rgb(23 downto 16)); Y_8bit <= unsigned(Y_Reg(21 downto 14)); Cb_8bit <= unsigned(Cb_Reg(21 downto 14)); Cr_8bit <= unsigned(Cr_Reg(21 downto 14)); ycbcr(7 downto 0) <= std_logic_vector(Y_8bit); ycbcr(15 downto 8) <= std_logic_vector(Cb_8bit); ycbcr(23 downto 16) <= std_logic_vector(Cr_8bit); end architecture RTL;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc961.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s03b00x00p02n01i00961ent IS END c06s03b00x00p02n01i00961ent; ARCHITECTURE c06s03b00x00p02n01i00961arch OF c06s03b00x00p02n01i00961ent IS BEGIN TESTING: PROCESS type T1 is record S1 : Bit ; S2 : Integer; end record; type T2 is record S11 : BIT ; S12 : T1 ; end record; variable V1 : T2 ; BEGIN V1.S12 := 10 ; -- Failure_here wait for 100 ns; assert FALSE report "***FAILED TEST: c06s03b00x00p02n01i00961 - Missing suffix." severity ERROR; wait; END PROCESS TESTING; END c06s03b00x00p02n01i00961arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc961.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s03b00x00p02n01i00961ent IS END c06s03b00x00p02n01i00961ent; ARCHITECTURE c06s03b00x00p02n01i00961arch OF c06s03b00x00p02n01i00961ent IS BEGIN TESTING: PROCESS type T1 is record S1 : Bit ; S2 : Integer; end record; type T2 is record S11 : BIT ; S12 : T1 ; end record; variable V1 : T2 ; BEGIN V1.S12 := 10 ; -- Failure_here wait for 100 ns; assert FALSE report "***FAILED TEST: c06s03b00x00p02n01i00961 - Missing suffix." severity ERROR; wait; END PROCESS TESTING; END c06s03b00x00p02n01i00961arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc961.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s03b00x00p02n01i00961ent IS END c06s03b00x00p02n01i00961ent; ARCHITECTURE c06s03b00x00p02n01i00961arch OF c06s03b00x00p02n01i00961ent IS BEGIN TESTING: PROCESS type T1 is record S1 : Bit ; S2 : Integer; end record; type T2 is record S11 : BIT ; S12 : T1 ; end record; variable V1 : T2 ; BEGIN V1.S12 := 10 ; -- Failure_here wait for 100 ns; assert FALSE report "***FAILED TEST: c06s03b00x00p02n01i00961 - Missing suffix." severity ERROR; wait; END PROCESS TESTING; END c06s03b00x00p02n01i00961arch;
------------------------------------------------------------------------------ -- Testbench for cycledncntr.vhd -- -- Project : -- File : tb_cycledncntr.vhd -- Author : Rolf Enzler <[email protected]> -- Company : Swiss Federal Institute of Technology (ETH) Zurich -- Created : 2002/06/26 -- Last changed: $LastChangedDate: 2004-10-05 17:10:36 +0200 (Tue, 05 Oct 2004) $ ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.componentsPkg.all; use work.auxPkg.all; entity tb_CycleDnCntr is end tb_CycleDnCntr; architecture arch of tb_CycleDnCntr is constant CNTWIDTH : integer := 8; -- Counter width -- simulation stuff constant CLK_PERIOD : time := 100 ns; signal ccount : integer := 1; type tbstatusType is (rst, idle, load, countdown, done); signal tbStatus : tbstatusType := idle; -- general control signals signal ClkxC : std_logic := '1'; signal RstxRB : std_logic; -- DUT I/O signals signal LoadxE : std_logic; signal CinxD : std_logic_vector(CNTWIDTH-1 downto 0); signal OnxS : std_logic; signal CoutxD : std_logic_vector(CNTWIDTH-1 downto 0); begin -- arch ---------------------------------------------------------------------------- -- device under test ---------------------------------------------------------------------------- dut : CycleDnCntr generic map ( CNTWIDTH => CNTWIDTH) port map ( ClkxC => ClkxC, RstxRB => RstxRB, LoadxEI => LoadxE, CinxDI => CinxD, OnxSO => OnxS, CoutxDO => CoutxD); ---------------------------------------------------------------------------- -- stimuli ---------------------------------------------------------------------------- stimuliTb : process begin -- process stimuliTb tbStatus <= rst; LoadxE <= '0'; CinxD <= std_logic_vector(to_unsigned(0, CNTWIDTH)); wait until (ClkxC'event and ClkxC = '1' and RstxRB = '0'); wait until (ClkxC'event and ClkxC = '1' and RstxRB = '1'); tbStatus <= idle; wait for CLK_PERIOD*0.25; tbStatus <= load; -- load start value LoadxE <= '1'; CinxD <= std_logic_vector(to_unsigned(9, CNTWIDTH)); wait for CLK_PERIOD; tbStatus <= countdown; -- countdown LoadxE <= '0'; CinxD <= std_logic_vector(to_unsigned(0, CNTWIDTH)); wait for CLK_PERIOD; wait for CLK_PERIOD; wait for CLK_PERIOD; wait for CLK_PERIOD; wait for CLK_PERIOD; tbStatus <= load; -- load start value LoadxE <= '1'; -- (should *not* be loaded) CinxD <= std_logic_vector(to_unsigned(9, CNTWIDTH)); wait for CLK_PERIOD; tbStatus <= countdown; -- countdown LoadxE <= '0'; wait for CLK_PERIOD; wait for CLK_PERIOD; wait for CLK_PERIOD; wait for CLK_PERIOD; wait for CLK_PERIOD; wait for CLK_PERIOD; tbStatus <= load; -- load start value LoadxE <= '1'; CinxD <= std_logic_vector(to_unsigned(4, CNTWIDTH)); wait for CLK_PERIOD; wait for CLK_PERIOD; wait for CLK_PERIOD; LoadxE <= '0'; tbStatus <= countdown; -- countdown wait for CLK_PERIOD; wait for CLK_PERIOD; wait for CLK_PERIOD; wait for CLK_PERIOD; tbStatus <= done; -- done LoadxE <= '0'; CinxD <= std_logic_vector(to_unsigned(0, CNTWIDTH)); wait for CLK_PERIOD; -- stop simulation wait until (ClkxC'event and ClkxC = '1'); assert false report "stimuli processed; sim. terminated after " & int2str(ccount) & " cycles" severity failure; end process stimuliTb; ---------------------------------------------------------------------------- -- clock and reset generation ---------------------------------------------------------------------------- ClkxC <= not ClkxC after CLK_PERIOD/2; RstxRB <= '0', '1' after CLK_PERIOD*1.25; ---------------------------------------------------------------------------- -- cycle counter ---------------------------------------------------------------------------- cyclecounter : process (ClkxC) begin if (ClkxC'event and ClkxC = '1') then ccount <= ccount + 1; end if; end process cyclecounter; end arch;
------------------------------------------------------------------------------ --! Copyright (C) 2009 , Olivier Girard -- --! Redistribution and use in source and binary forms, with or without --! modification, are permitted provided that the following conditions --! are met: --! * Redistributions of source code must retain the above copyright --! notice, this list of conditions and the following disclaimer. --! * Redistributions in binary form must reproduce the above copyright --! notice, this list of conditions and the following disclaimer in the --! documentation and/or other materials provided with the distribution. --! * Neither the name of the authors nor the names of its contributors --! may be used to endorse or promote products derived from this software --! without specific prior written permission. -- --! THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" --! AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE --! IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE --! ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE --! LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, --! OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF --! SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS --! INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN --! CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) --! ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF --! THE POSSIBILITY OF SUCH DAMAGE -- ------------------------------------------------------------------------------ -- --! @file fmsp_sync_reset.vhd --! --! @brief fpgaMSP430 Generic reset synchronizer -- --! @author Olivier Girard, [email protected] --! @author Emmanuel Amadio, [email protected] (VHDL Rewrite) -- ------------------------------------------------------------------------------ --! @version 1 --! @date: 2017-04-21 ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; --! standard unresolved logic UX01ZWLH- entity fmsp_sync_reset is port ( --! INPUTs clk : in std_logic; --! Receiving clock rst_a : in std_logic; --! Asynchronous reset --! OUTPUTs rst_s : out std_logic --! Synchronized resett ); end entity fmsp_sync_reset; architecture RTL of fmsp_sync_reset is signal data_sync : std_logic_vector(1 downto 0); begin --============================================================================= --! 1) SYNCHRONIZER --============================================================================= DATA_SYNC_REG : process(clk,rst_a) begin if (rst_a = '1') then data_sync <= "11"; elsif rising_edge(clk) then data_sync <= data_sync(0) & '0'; end if; end process DATA_SYNC_REG; rst_s <= data_sync(1); end RTL; --! fmsp_sync_reset
------------------------------------------------------------------------------- -- Title : Testbench for one SPI frequency -- Project : ------------------------------------------------------------------------------- -- File : spi_single_test.vhd -- Author : aylons <aylons@LNLS190> -- Company : -- Created : 2014-11-01 -- Last update: 2014-11-03 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: This module tests one SPI channel ------------------------------------------------------------------------------- -- Copyright (c) 2014 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-11-01 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library UNISIM; use UNISIM.vcomponents.all; entity spi_single_test is generic( g_width : positive := 16 ); port( clk_i : in std_logic; rst_i : in std_logic; --master spi_sck_o : out std_logic; spi_mosi_o : out std_logic; spi_miso_i : in std_logic; spi_ssel_o : out std_logic; --slave spi_sck_i : in std_logic; spi_mosi_i : in std_logic; spi_miso_o : out std_logic; spi_ssel_i : in std_logic; chipscope_control : inout std_logic_vector(35 downto 0) ); end entity spi_single_test; architecture structural of spi_single_test is constant c_cpol : std_logic := '0'; constant c_cpha : std_logic := '0'; constant c_prefetch : positive := 1; constant c_spi_clk_div : positive := 1; -- service signals signal clock : std_logic; signal enable : std_logic := '1'; -- master signals signal master_req, master_wren : std_logic; signal master_di : std_logic_vector(g_width-1 downto 0); signal count_up : std_logic; --slave signals signal slave_valid : std_logic; signal slave_do : std_logic_vector(g_width-1 downto 0); signal slave_ok, slave_nok : std_logic; -- output signals signal ok_count, nok_count : std_logic_vector(g_width-1 downto 0); component spi_master is generic ( N : positive; -- width CPOL : std_logic; CPHA : std_logic; PREFETCH : positive; SPI_2X_CLK_DIV : positive); port ( sclk_i : in std_logic := 'X'; pclk_i : in std_logic := 'X'; rst_i : in std_logic := 'X'; spi_ssel_o : out std_logic; spi_sck_o : out std_logic; spi_mosi_o : out std_logic; spi_miso_i : in std_logic := 'X'; di_req_o : out std_logic; di_i : in std_logic_vector (N-1 downto 0) := (others => 'X'); wren_i : in std_logic := 'X'; wr_ack_o : out std_logic; do_valid_o : out std_logic; do_o : out std_logic_vector (N-1 downto 0)); end component spi_master; component spi_slave is generic ( N : positive; CPOL : std_logic; CPHA : std_logic; PREFETCH : positive); port ( clk_i : in std_logic := 'X'; spi_ssel_i : in std_logic := 'X'; spi_sck_i : in std_logic := 'X'; spi_mosi_i : in std_logic := 'X'; spi_miso_o : out std_logic := 'X'; di_req_o : out std_logic; di_i : in std_logic_vector (N-1 downto 0) := (others => 'X'); wren_i : in std_logic := 'X'; wr_ack_o : out std_logic; do_valid_o : out std_logic; do_o : out std_logic_vector (N-1 downto 0)); end component spi_slave; component simple_counter is generic ( g_width : natural); port ( clk_i : in std_logic; rst_i : in std_logic; ce_i : in std_logic; data_o : out std_logic_vector(g_width-1 downto 0)); end component simple_counter; component slave_checker is generic ( g_width : natural); port ( clk_i : in std_logic; rst_i : in std_logic; spi_valid_i : in std_logic; data_i : in std_logic_vector(g_width-1 downto 0); ok_o : out std_logic; nok_o : out std_logic); end component slave_checker; component master_controller is port ( clk_i : in std_logic; rst_i : in std_logic; en_i : in std_logic; spi_req_i : in std_logic; spi_wen_o : out std_logic; count_up_o : out std_logic); end component master_controller; component chipscope_ila is port ( CONTROL : inout std_logic_vector(35 downto 0); CLK : in std_logic; DATA : in std_logic_vector(63 downto 0); TRIG0 : in std_logic_vector(7 downto 0)); end component chipscope_ila; begin cmp_master_controller : master_controller port map ( clk_i => clk_i, en_i => enable, rst_i => rst_i, spi_req_i => master_req, spi_wen_o => master_wren, count_up_o => count_up); cmp_count_gen : simple_counter generic map ( g_width => g_width) port map ( clk_i => clk_i, rst_i => rst_i, ce_i => count_up, data_o => master_di); cmp_master : spi_master generic map ( N => g_width, CPOL => c_cpol, CPHA => c_cpha, PREFETCH => c_prefetch, SPI_2X_CLK_DIV => c_spi_clk_div) port map ( sclk_i => clk_i, pclk_i => clk_i, rst_i => rst_i, spi_ssel_o => spi_ssel_o, spi_sck_o => spi_sck_o, spi_mosi_o => spi_mosi_o, spi_miso_i => spi_miso_i, di_req_o => master_req, di_i => master_di, wren_i => master_wren, wr_ack_o => open, do_valid_o => open, do_o => open); ----------------------------------------------------------------------------- -- slave section cmp_spi_slave : spi_slave generic map ( N => g_width, CPOL => c_cpol, CPHA => c_cpha, PREFETCH => c_prefetch) port map ( clk_i => clk_i, spi_ssel_i => spi_ssel_i, spi_sck_i => spi_sck_i, spi_mosi_i => spi_mosi_i, spi_miso_o => spi_miso_o, di_req_o => open, di_i => (others => '0'), wren_i => '0', wr_ack_o => open, do_valid_o => slave_valid, do_o => slave_do); cmp_slave_checker : slave_checker generic map ( g_width => g_width) port map ( clk_i => clk_i, rst_i => rst_i, spi_valid_i => slave_valid, data_i => slave_do, ok_o => slave_ok, nok_o => slave_nok); cmp_ok_counter : simple_counter generic map ( g_width => g_width) port map ( clk_i => clk_i, rst_i => rst_i, ce_i => slave_ok, data_o => ok_count); cmp_nok_counter : simple_counter generic map ( g_width => g_width) port map ( clk_i => clk_i, rst_i => rst_i, ce_i => slave_nok, data_o => nok_count); cmp_ila : chipscope_ila port map ( CONTROL => chipscope_control, CLK => clk_i, DATA(63 downto 48) => master_di(15 downto 0), DATA(47 downto 32) => ok_count(15 downto 0), DATA(31 downto 16) => nok_count(15 downto 0), DATA(15) => slave_valid, DATA(14) => slave_ok, DATA(13) => slave_nok, DATA(12 downto 0) => slave_do(12 downto 0), TRIG0(7) => slave_valid, TRIG0(6 downto 2) => (others => '0'), TRIG0(1) => slave_ok, TRIG0(0) => master_req); end architecture structural;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block eQ6nhT7GLP5MtXb+fBlbtE9CmT+npnamn5AXBYnTqfyjeOq6DAIwn6lQgTicnJ/7b8vS/pIqFxJ5 z65AlaBqqQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ePvgcUCXHdPAno8UEDNV9Pww4PHTFcoymZ491nBzb8ykBBL6o6NnFLuEgwxxviKgq0H7FWPEEF5y 7ZLIJXzda1ao2w72+vmvWH2EZiuCaN2z3rPNz+DrfsXwAzGb1OH4/Iehy3XvXtGI+zucH7hSsj6a Sc9vBvA8dBIKfwHll8M= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dQdlOZYXt9reqa5XybzLiaJAnC7PUoDuampMZ06ce/L/c63q0Q1KHkbmXMSMS6lB0N1ReSUcbWpj LRlGAf54lf/vI0hCDUKC9qOMkfB3es/YMzriqQ5y3aqWB2iF40eOUGfvVNgW3SNszF46OzwxnUyy 6s7ae4HTuu6Oqwopmts= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ulzmoAatkfiB21vOKwcHgYwoa7BgjojqhgHDogYEawtrOHEVucioVeg/09JBXSV1+1CMAQE+o+xo TYQoBFTxNbgb/B+5EnCgrZE1BiPORtAC5hOAj+HPBOOVm2mKA+QWDGunM6eHx7nJAgBSZg9T1kSP eDygE/deOV+bjrO3rpg4lYTj1uDBc/gqNdTHFpKqxuyoxNx8OcaKnKuxzrW35ZhUKqACkp5kC+kG KelDgsp53UW2XScy3KdDdEl006PI5yNCmgbk4S5iqeSNRQ7MMmIg6hix2Vt3lFOSl5HmwiP8A5BE 3f1x2AvKprXs7WCGiBI+NJqQkbQovB3T0ml7CA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OGtogh+d5rJyPeZ2theFRbW3DATHEGeseww+Pf16AFQWeesc5Ps2gR6yhoEW66CSwrYkGfxk/+bn 0YrsH/HdQo70gaMKCUlaK5kI+6BRzwRPhe6gK2gUTfsBGgUKmCYt5HOyc6kfC3EA07RqlEl+KnDn Z+Vweg+pNPisU/C5h2GCwOrJBgyua2qstCNZXCViH/oG68/+0B6OVtP6FsYMQ6Ffyj9IhOPe+Qzi ntX+aTvvTuNEKh9H7VaMej/Av88br6g8iPHrBXcroKOfuGf4CpdRfQGJ4hrUodXcFZY69Z0DvEuI tAtNz/BCE9leHmEs8edC31wz/asz8IjBnNwWrw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YN7C0KuBvDQtiCpi8qP6cS4kuAQsZBZ/QATwixLjifIRlVF4p+2Wq+vgTTFt5C76A6zV5MlkSu1P De/LheUyN0bIlepSnrBXYk5bHJ/wtCKf2eL52S5bBQV4hSrTHSUf/DuCmWsO6nYRhOobBBh+wc+B hQWuxi63uOR3qpe2uUP0VjroyoJ8au72wQAUSpLYpOGiUdHScchVkm4TZ481JZSNyPMnPorDUQZL jGs3VLfQblegSlnSPlyLBb+vrttOFNzLspmj1i1Jv+DKfUhvr3MLnUyGGg4iqNgBY0huFW8bIOHG f/mM6bayCz0lG2m/RFEyhjemIQSpglaRAHB99w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block hatu1u1ZcAW+FJ1F1qIzVd8M+jrmpd23JO0sQ8zKAqrAfC0aTwA8JxGlgNuDtl4dBtHwl4mPL3Ei ukxGIC35Vheg5Tv8KRq3KVpRUJ0D+I07Zfu5iZSP73RgPlR5umqeXbcapJ10PYPrVHlAE4Gnshyz +CebUS4gcBdkkpfJYIfEckmylDrwGt+FQeJdkNsFAWBLQszh9Inb6+tpsiHQrlG17OrmPQZnzvVy UuSiuxJTj5TEorqFfTxjR63TpGOqBkqOX8Yo2cp0WVFWnDbTd/tuhUbgGinebdLp2RbY3cQfWtfo pbfom9sGNKFME7KjcYn8afh99AGrEcQm9Wox/VDBiSl6W+C96ur0fJB6AedAyIclLQzOshQP9/PW va7N3n7eY6P+TIyUTpZWWvofhx5hURk3b7PAlBtYfmApp5v2pu/qSrDenvFNOOmaEvmIkNgqHw2U g+va71Eqc0spz5PAS9cTC5ipVbf0fCLhRyIwhr9QpmRJkqDzwD6W9+Nr6Rm+FNlhMZm/hmpUoFhV w19PVNGraBy28H0eqHcuf8NGhAje/CrfD5XQAyCkD1mlbmwddwhHCDkXwmsj65p7yT2gNLy7bAGV CMga9uCAoP7M+YP/kSaAFYEZN0REjug7BCN09kn71DzL+idq+l7oNkBa1Tp0ZeCVDOpdqGj2kNNw XzM0Q+tu4U63XuK5fPLGEFyJy/BHYSsl4j/ox6UzimytSMkvF10/nacuK83L2DGZTj6ZOessuNQY GNw3F+kBSZCJcIUcKm462c+XifZXUm9LTHO3iDBTodK2pz4a0pT5KNBHTw72mbc7+MfPkZPtz+Aw km3UzPP6cX/I+s8RIGQiJhZy2wXyU+FOsYt+4cu4G8sMOAMlNyX1OUJrjRN1V3Ip7olYSZ2ZWZNJ 582gpngwHypkR1Q3q/RAmpH4mvSSp9gT7dE5Pe2fSZw2Bjo5UhhpzTFVNqFHyCsOH4EIwau9d1fa 3yj3F5Dyk36moL2VpEqBC81ZYAOICrjqlVmAjVkD9Rg4IRDK+BgfZpJgUFP+i+TdADgoTt4ngU5W 89Rsi6onDiRhWUQSMCbdc8dzvYd/U1Beqk6YcA5oUvd2KgjTj8ruQq+uOwA5rohHYRqpfEojxEpF eiIkrgao20ZwsmxBGuKb11K1Ps76GlsFmljHMx5Lfgljd21/lAKFa4/4XXqAeIwXRlLlGoSTtXgQ fU+c56ltPrOgQURlVR4eVVtYaYdocq69zy2rpK5NTvs1Wh/Du5y6BO6FcUTFJyGqqxr1ljyGhlAM pzZi691jEY8Ck0ogk5GRRvrUOipqBWwzqbzm9p1t+e5Qua+LVouFUc+SSV372zw4Jk7XVPCxUA+H B3ZWK2LfalyvlHDtOBheXAL9mysRu5jB0H9MM2CPPzlX4OXZyjhMdxRzcphHUZV0QIhxdZ1pN+hX oB36w9/9ib0cmslRx6Ot60t3ivfqTKzmrsY32d2/EXTthgbVhBX2/rXNTuLLAQGJMuGrQLgVul1v EwrIwJBE8HE9/S8TBmddP0lHLrzWk7Lj8nZFb0VURWoqwz+oHFwH9i4J2yJap/2MpH+Wpq0duTDS /UYqt1BfSI7yxciu0S9tsi6BmvYpQ92Nm1OOZ086cEbV9vPOJzg7Pd+oB1UppyVY7zdxGXNpc6+b M++F6Qc/iFM4brEKeJUVMM75Im9XzmiH8UMoB1k8p8Q3pu1YO6YV5JtOFHREVHnXEsuWxkwUfz6D kd7R0w2z57kUmhBCl8UQ2Gl8jyPKwJN13p682wf6o3Ah900UBPThNMySiu091hNByVz0lrFoIoxd wNYu6veB5qX7IFAF+HQJiFkvC7RfI3rjYh/TwurNBfXQDGEy/GQvdVCi5BC/KyAG4fVuEnmpp80i SBBKz8w8Vu1zyiYRN3rf7LCvalLZDR33HUgjoHiztEKEeNGy1tdgXSJEGFKT+U9fgu6vf7vDOp2K D5dbqaDzWmXsmPW/5Isyl7G73gtu5zyxqhWVUUmzxCilvpIEm6WnHRR04KldrvRVWf+2xUH5NAyO KROR7YGAVRIwU8FNfTM9WQWIo9QQiAWcfdC2WAcJ287ycgYj07RyYfzhohZ/Bvl5pFTKpB5bwFTF 6HcSPuVDL7ade4G0jD4dUSQCc20kVBPq7eoiJl6zKXHrYsPosz7L7MHSWhvHfmGr0WNPxiBvfNTP VZXikghW6lrAx7+Z3iCtEq6RTk/Rh2l7zuR4TQsjoV9yl7DTB10Qtl5nx9/vJXF2CIcoV0RdTZtO 9eoCvxV8d0soMR6I1oe2kYr6UyLbtyQYgrZsAqtjTGOkbFfLqWxQnI9ooHyidT5G6vM2KTN6FwJn vXesayVB6hGMdB9lladRLqcIIXoJFNHbxLzlO+ZB3hbc7wtoEw45wrN5tXMktBD5Jtn6OrWWbqT2 WZvwtxzWikruLG0a6m0XSQVya6DHNT0oRzcD6MxzkmVgKg8YQEfwVjH4v23AWWBd4mcl3GsMADlq iDK7tdS3TIpacVsWhjZOXw4xmBqWbGV/ystel528hquS8Ny9ZG/vBDi3AQuqbF1RaqwTE7Aplewd 9vNIGsrvsgX/NQ4UIsEgoWFd+sF1gIQag8dke7h/fQt9wWLhZD9m3UnTdsqWWD2hurg8C9wCxYb+ 9HnyLdRNEbbC8OnJp/dIrGTd0Z99kEspEFfBTDf77praWc1UIsMCvUjn1rlOWNfV8Kv5krAYK6sA oEuFlIznWUcn98u71kvUAdQ3Gwx7pdmHy2IsbjhuxhJi9thqbeIbTZMlB47onhPYWN0PONc8aAcf NEUTaUL1JVYFQsUQw+aS2NeitXBVAoUiue61nMeeda2nAa88OFNDzYnCCKsgnwyG5eNGxgYS0UtF XVgsNqAT7tnNYiCUq2c0fauJPDT/5by2r4Sa0LcJhivXcBekEe0BMGME3uzG5Iqd6LT6Hf+A6ijf Gt9abIA8UzA8y66AV84zbmeRWupsSUhqVvhcD4uiIHvO9f3Z2RRJag7d5GQRzvC/1n2rn12XGvyG 90NGI+FjAS2s5BH7qR3n0g6S+9mowMsWWQ9HaG7ULBgzuBo73m8B8IsT/3Dg+sM3WQS7NI88cbTk gGFEB6E4/OWSbz2azeTdJ1NVcNXdrArqSoezPAjco9W9+psc5AR3nEI/MESAbejCIkpeApRc7oQP do2pbUM46436OYAvJH8tA5K0ZdTyyE75tgusL8kkgju9wd9IhhNCfPxYnWd3JjsBmXfa8W1AzeNt T5yUJbsLcLJn9W7oM6NKlgZW9poqodkdzdbjeB5Qwh8RFowX6oke4Cjs6S4hzG3Z2A2JyBqhoXYV 0wOa6DdGl12P8UGNrYAy6gnKkSMgbQ9WFbm4Ju0v+mjLDO0DCCWBZKgf3ENHk5oGwcunf01DrsZK dsKyqITLuGxwNum64KSk45ptl4XErbNRcMMLKNk6F1iSd44FqgHcaq3+V7nHawyGGl/UicwXGqf9 TYUHaSyBARN6oZuUkeZsOr6BBqCpWUwMWBLJZkNON35iykZdR1Xb4sf2IZ4PgXvlndCiMeGcVsfN 3YBlHxac9930Gk/Lw+svfMzta8JO138q8ROBmnmYRsOyIPhQ6o9gt5cO3bOs2PCp5FEd2hG1Iwg2 C2wWAGknXbIv4LNbybLRzRNpDMx8sxjFO6DN3xagbCnmMkQt4NLyiRlZWdt0/0joNx/inEIIATQv hNMUytRM2SQ/B1/Z+5buu87rjaCOxk7VgZRgrsui6UpMr6Qc68T8FwzDhXwR6V/wVfrNyAeQ9g6M ogB6JZrAo7WWwc3LkAGOxVKOsi5LrmYpQ/VFzQ93tEcCAyxLXinkSpaBzTc1vTnA4fBljLUnvrbg kf8JdUSFzHOxWMeGci94C6e+R9GHb8zk9CIFw81TEiVTA+aY+9DvbLATYNHbTCZSfubSnBABxNBO dArHPCDw1w4UycA6N9rHsr/fxw2HC2s3rsfm0dV4eYHyEChW3JdJ5RTDvPWykPxpcIz0yZHdQQuj 48ThWjT7mitpX7NHjsgJ7+sQCmMJ7suWJpzr37SIKWQO+KLKQiRHcCfcSzepZpfqfH+ZFUf5yK4Z zBJATxksdWMakwkJMoDasC0WlrtM3/P6p44FQGbpngbBOtaFYEKBcMw2EVIFWSnzN1L2nzySL6HD fBjNFLuVEKkh3IgoCVVa5aWSAHPx7dexgRnra6pzQh9Mw9XVoFJB7lUUdER1w/a5KDLsQEw6gWxS MQG6c6/T3xB7d58sfbeR7Nxqg17SpbLnJiuaRAwSnWHcJavpr8CEtBD3ONQbJd2I4gzfTcVr63QM w+Nkxmi5dJGqulzS+v2Jbnf7KFO3XbEzX3PX6B6EurnXiz/L2PBPG4s0tXMFfez3KJDydBJ3nVGx Rwjt2sYHIDnoye1bNYhdfrT2tgS1COiraWN6l8Bw1YKG4isNq7KQG52vx+KfmreL/DEidrkO41Li d8osaFTPjT2o9OyXLQf5n2V3/+yPDfFMpxjEEcenUCMeMC1kZaAXPddaJInbfUdKJ3cgFPsMNL/I uqy6RLAEZCit63iZbIGn14kKnzwpeUrS0fL5F3OvpCmqvveCdzJscx0x/eqyEk3gWPEbHBUHqelp 7ZUGoQU4dkUIbfsXvN1OVtiLWJPDSf3w3oXwmo6dYOeDemVo4v4b/kNwbI3/MrbKzDE5jMLHQ5Mc 6lBdixtTwUuyppwb9U7w2Rp5qxPRGTtqXs9FQzwDRmBxCbIsmueHYysjuCtwMERioWpH7QxHdc0B 5p6TaSRlRCDF9jbeSftZPTTmWOaB82orBXz9JnIdXwnP4Sg511vXeT0vRz+cn1p2qoP9U1eyxec3 o9LQgWtVGN7oabOZltNNVeSlmdyo00I+ICc65VQeUYJZkMoKwBJEksl1ZfEan9IBaeLjC9zVz2vP Z08V+/vG8jusojakwnCWJFznrmYQGjSiqlRAwPxhA22rf419sbn8BJATXjZNG8LpD8KzAVm8wEjg XWW3k6HVPDVc9p7aVaBFDtjag91uPxB7rHcWBrb8aw99sGmAM/bA3sHK943cWTejGEY5Sd50toUc dyjGus90zUeiyzDTeTHYcIzuTiOKByvoVC4KnWadWdcD5m88UI7H6dfCHWmds9WVLe0Usr1lDCJ1 ySiZviePoN6i4ftctju+FnuBXUd3fgUt3ve0C6iPaj0FodbiCeFmjvF3d3R8W2UYElONuy+7bpS+ cRfnWaF5Efw9q6+Py8PRN5NDwpdHYuJY7/9oHY8/We4tVTiitQ9r8N0gkDmDq+qK3v2fAMFVhL0o UpN+Fkyw0qg65ph8cohQvsUeHInzFq1UFv5LLVd8OHZ5UR5JGxFd1nQS3S1K6UWtSDdJjBnKzfeB oO+2cjjvY+FLZ2rLC8q9gCwKnJ6Qh6qRubhZDFJujqOcBrAxWhQuBtVle1+7I15n0pX5V1dDBSCS 7OPZxOBINNeqC5yHNw502K327L6ZyIL1YbE9u9Qn+nbutBfMenzC5/dzz+hF1esBzsvHwnCPKCbx +pboxmfjuuG3tuBcFWtCVofAH4OrNpfURN2pi2V3VCVZYmN5oq8jiIE7KK1fKObHnVzbZpiCUSGf T2HCDExtUNOqAmA2584Nr7d8o3P3XwfhECLP0p0BSpDdGlXxMOpOXqWkceEgpyntJtebJWX4icEi ne0KMGgMQj1Ouc4AfJ4uAXRWj75iulpGZW0++cYozzI7VOxs4w8oPYHVfvk7zdB6+DAnkRGYKxi1 o72sgnUsnmm3wekKep7xZZQ5EYukIJ4v9OraSVBjBt8N/4DcDaVCN0QKSRc2wvnkOzFXATXY5P0A y2b7yhDU2Oa9Fy2rNEdMlW0e4tNGLdY0jOZj5ot9ZgxzWxQ0Fty/SMAsb9reBHY6pjPGUdRVUbE7 c8/jqNcAwaxQTzIPcFfdRaRVFVUJqvUMJOJ00HNW58A7xH//OS7JqAv6vc7hAJkzEwnU7k/sreUf UP9R5UzXwGxWtjDQEYsW7M6fOom2Y0RjbOim8+z7j79sSeH5G4j1k4H300FjPQnZ+n4ReRCyzGpG 9IXFt5CST1BPSElRhQxTIpmHFyPNbWjxTdGZNeq5u7MeRNNF6b4pMnLTqwZ2KINiDry7VLZi7QWU eaOCKF/WheX//W/QKNsp1u71e13tR6FbOmY5jLrgqT2QgUu6k7dRJdv5hgwWGkpE/8pjk/m5T7Zs MFf4I/Yvt1btPk6K+XbSIZHXE4zZmT7qNgm7EWzAqB3C7WFgIZbXWR+yoF1Nd0s+x1DckcMcCD/0 PQIdw9dpyoxrtddn73YneQkcxlDoUQKi7Z0EiLmtV3NLyECGTf0AubBr0H2Uicb7UAGvNML/Rn2t AQpS0aHs3rpQkxVOUXKwARnJQpI8t7Rszj7IjdOaNUuHSgxEhDXl7eRGTpMKNrD1zmTMJDcaOZ7T jDsgfpts5wmm96hCOY/8Gr/JMg3jE0ELzov8O9RHnvR/bds8NVI6k8/XUPN3uTyemAybD2+Tx4M6 XsUMWBJpTQM2dD2u8hbSbCnoS0GR1mlari3W/D1ue9gRoFrl+dZgK3Lzau5DERRaFZI3VeqZVjkA KCWppDWSmEiw9FSf55es2CrL5uJ0olKRBIc2m3WIKK8U+8hcgIU1RMJEDWH6vLCcIED7NtcUsM4s Sc4axZXBEOpiQC5+5L5aCyy6Di0ZN8xmfbVM2PjvmSDGocmkdrhyjZYaytwyH0Cutn/JovJA8OrJ jJqVFdILOqq1bctrFfI3YLH1PRZVvuNOx/HPBrn8/SRIk2MJdQnENquF2APwvIgJ8H5dYTKvSYOM A+CFkMcFUkhSPYjjhsEs2VMQKk3Osb+i4f3wbso5LnnyWyDPiVMpahVg2mSQWuCW0W/mgdT7GiIz 3z3jX7qboZiJxIaV+OqfjNbGk5S5G0X4qKqqyauX8dhzLVFw/oWwqxtJXVI//KFqQzeZa25UyAfL mdn7wbWXgSeniJ8wY/WPczLquy25bVkQzy8DCiuFSoG8YSJGnvQ1MOGwSNkF5kfXCOdLNGjA/LQj sReoMelZzzbIL2FYaZyywb4xRIL4eww6+N1+QbKukx7I5iD1W1HxPfvJhTAVzSNIDHlBOi8GEVWy Ke8vjfj8DrgvOouYfj3oNPuPjF3Sw6w598xPeD+Gjx4U+muFHIYVoZdB+bFK8KKXaVRhdqtMq+7O TrYYp2KQIaZBCkehLRIWe0VrZk4E/hvG1joc6/4WikUqPLcG65YDNoDqU79IBIBlA6hm5oSU1nQI o/0bnC1/AXsgJLuTdvEhgtvYPQq20M3DZz5Fsp7n+9TV1G75z8EkFtF6ekPjIfR18M5x9SkpKj7q hxrISgdlaxj517ncJT51BhMNlZBeKtGgFIV4T+56EcaRlDCk/5XKDgKegHRGDD2gY1E4uTCtzW+Y rarTQ0oSW8R03Qg+5QMttDB5WGFdDZSAwAgNJ+MbnwLx6Id0h4WJczmn/kEain3bWjjvHLOBEtDi 3tSEFMJrGtFngYwO7hhsfs3UecwAtsSEk3H170mUd3oQ2vLl0a0CI26rXbPmgrzBhVCnfuNiudyR glbn82eemq5U3BqcdUGHKGyML79w8rFOm6U6L0hoBxL1nq4Smx4biZ4owpP/EL2ybVxMetIYDO9j nd1PHmjY29lrCEtDweQC7wxRd8qMU8KE3ePt5T5nNkEnlW/RBIIl6ae+Qq952Fvm2s1p5dmigVro 5Ma1WHisnZjwP2OuK4zzsWwvTNnbUUyAF0IY/6zJUIrl70vHh1upjLH0098pgh+6h0vJo1It7Usd aziE3cLYkQ5Rg+22PkqnJ+qyZzywmvzN+LvdaxenQIQsfgkfaqQzym0qYhR98q+rNzSG0k7iU/bT MCppUB/X6OlND/65I+RSxgLHjF8gt7gcNXNi8od4dVwYhxte0Jdtjvd49rvOP8w7iXfclIMFsmKZ mf/cCqwK9+P8bZidvOeuJC8fiDqc+wgAy7gOhC+63r8+tb8HLVXBnNn4NL+8ub7XTuhkFOBdbfUD z4tFxBWkqaFBjC6agRtbkgnSRAhx2l2OukgWMO67aG3oMPH2MGEKuZsXk4FF0v1Gxu1FvlGneYrS sY1qiRWX5BuLglkjZtyxJv49vLa8TvishzZV9ygWqmoJ+jw78lIrTXORIDI1xwy6hUlar6aKJIiU FlHvjlBh8myPV+pkG/b6CSlNSNErZr29KabXQUiOaYkAJTXqT8ot5XRoyAAjlgJaXl1MM44zXsiE ii7TfXweDBcBdDUtboMqJClN8eO7zfsYN/OcN7Awc8lqhLZjL1sDYeviqpflwfHAK47WVVySGz1u ahRgVqkXzYja3uU9N+FDhtyJB6CCylX0FYw1eBEW90gfHEnxZYTNYq0osQVtGNMt1DSrauhEibE3 XLMwucKHDOLLAr2kNDTU1L9h+U/BNe+588xD5re+azXDEFLrtahp69n50gxTfIcxy5Dq1i5eTVAk K6+PZfbC1qjwpVLnazPdAhkKzNtvnMnsUGTPg4S/ehVnoqDNfvrYjFLy44uMkbbeEMKHxyfPpsfc TuUlu4C3160m5CPUuSE4QSwhsia4Yw4Dzdvruaz45YW0i42RPYF8PHS7RU+y7WU9klyhQKl6CkVU NDqgu+EzsgxKDtFUaRmapjePNVtyd7HdJDdUfbx0N6BBJ9NgmM7XSOUlX9WVNRzKnksnnhogYHzn P3wkyWuqlbnSVovKHrx4/ECC2EhuBwAh4GzojwzvOsVtm4x6Ua2J6/DiQsVO/GZ36pl8vGIzB1eh AmnMOrQo3RMLzJVMDn6uZCWONZEweasDi1CCvSN5F1sAFsvimnSHDrcVE54u5o931B6CvB7wcgXU r1+hVkMfm2wC86eV6AopplPL988uS1TUZtXmbHpZnzF+s422VszwYag5FkjkeUzCz9KraVPRlk2c 9WqmVRcELTEpEzIACtlH8cNPvlNyq6Idbq3Z2E4FZCNHI+Sxf8h5i/yP6cHHs+fcC6NIAg+0enGu W02N9u1zOqXIy4bWQOTeSOtaIFN5AenMKEQz34FD+8cTTVvNvtvLyXGVKXZl614mxPh6OcExBmST 51b6x4QQwnc+rpwPc/+CCEn07AH1urp+Bdn5kFzKw4uOqUkc1ZmjwKw1HK/ln8abL0coddLovcDc F/reGzGYazDVS0QNBx8PE6uDRhSvndmTcsJKMk4L7akeQppNpVPpayPeEb3nVTz0GyaPPIW0gXYg w4AKNfhcD5uy7oPJu/VSYENrfyud58dFD1UhK14DHReNZDohrFS89hU6DWm722gFNewy8iwYjuY0 fQZJYV18Qta2baXL6WBOlAIck1elIOl/lQQ2ek9pMCQ9iTJ4MmMW4Ff9EOLa2APo1OvkrkI1oGAW od947drlNdHGG/3MF4xcNcvoHNWpg/1I+FWvi98SoKNXTP8IkyygCrEKFdwNKcxkMqMOPHl6zkxv ayPUNQnA+szsc7G1oNsd2htFJZNHrvO4R7FKcc2j8YsfxUuUV2K6k8mVQaoPxBR81UnCaghRsEe8 smnKUM1oBlIdy/ZYVznvO+g7kyDqkNEFLw2b1+KkI8dxNrkk4Y3XjZhHFTZr+6w595/irVKvvq0N N3OIvuALhYKT+ALqXbOYchT0Xmq7znRGN/IMAJNgaHRfYmoFJ5RoV4x6ZjwzQ6gXmzRT+2IFoK0G nlPmR90HaU11ToDdceLTkyPS1arbRE3VwfYnecQ4HldwySQLJJKWGdvG/Bpv4G2oycG+M4gVpDo8 RYP2YJxJNFzOtpr7W4A1524QZ2aLOBsVGg12An8A1SPhEZX/OqkxC5FwfBnxhKkAhCLzths4r8ri k9ivlO6Iq8dfM/xGDaRxXlQeYRskzaNRmXl0rsbGuXtoOneJKr19oq12XIv469/q5nn/nP4TeLvM paM2uBvNlnPLn1Ft78xq6izjbHj6yrOveSDGNX7zvbxYE/DiW/4mwAYmnodeFwirTHWdLTT++LYs 0F+bcASYi7J8aR1Quzsc75TP5YKC1x6VmU2S/l22/hjeSFrzbN3X8NBg+Da4de5auA4tkZYANbDo 2J3YUpif6KFftjRzv2jFBtJA3T9zub9jkQThIUoVcm7z+OVyQp20molcV80VXhv8NnmMt2NGR8K0 i5uRFTqmAdgYGFdhzv2dnuXRGD6zx3+sluQ8jXIMNyURdb+hBWrqBDsLyy/5mXQuTbW1Dl6UH6Sm YZHrZvNiQ45fk43spbZToTBBJ0Y8iZtVaAgFozkxcQ0vNv1h0fD2+xi8c7KZUikbrzZlceRN6ITY ry4u9c5zxo6pVx+0NXxNGVb7vKC4+MpIxCPynhcUKx2Zc8cfWC/T8/HyMpPa7L75Jipph7l2fSLp 3OuqDGe8mypF+DLDGnfeOi+ijvg0vp8eUWIS3gVeWQgwUIkCT3SpD9A75eGRhbmXiLRmCSeHJE67 SJ1cN2q3ve17nBPOmxHrGS2A/DaXH2rsQdtq4uaQtsrMsOLETpCfyhQ1l5JX9QmZS7vYNEbCWL2P PmkrR7+J9Cj9Uk7T3Az3rH1HvTn/HToFABDaUsQ5F3uAeQHVHoRc6HxI2Aat8yoA2ruSlQwt245M G62ru9jAdGBmcYUDNSnimsOgRE36TEiyI/OP8T5UHIU2C9h6hizpa8LuZ+yg+RCZ5VBhSwdWM2cV d+C3YTeLBP3oUIm0InxYBxF2t2hKtjIvWawNducYQ53JWK+CkEFaS9b15uci7jUij8pgmeUy12Ft g7WyDq8YeMxhVF6fWSK+53kkY8h3GXGKBFb8zHx3rVgbdZZCONKN44gDUGqrPVLKfT0aaR+HGNU9 ESUMHAGgtgU0E4Siow3N4lOa69B7npu/7ogFens3o+uSzfMNFDYWq8vd69+LTzwOZPGURfT/KIIY Gt7UPek+ovfsKmNR2IbVzZO5WiFDcnmA5wWzRRya/OBe97jk6uwdmuwUCjjtbhVsayrzkl4PYC7A L2zGesmKTRX7KqHQZMC98ivw13rqJJGydQqw/785T2Es/d5qTHUwr/Vbdml2wb3dLWNkLPJDAGTi vtb4Ys7MQinTRSmcdhEOpOw4GYRa+fO20DmmPj2pv5psxMCtmXO61K80EuR+kVIPr9KD3OFB/hi8 dPlniXaDKWBPy8Cs4khmreMOZY50LndcQxzQnvUMe2PMcOJQ6LhKa6H1OsSKDzqIFSVJV4Sds0ir emogBKgC8lDnrSuoCsrS/qdtSswlHvMlNgt42jn0T/7Jz84opVqk9jp6bNRh+UTZ30GLKYEpCXMh YZjqKC+cOFETH0HvIP5vjS7DEdfE2F0dYVir9ZeJ2SeffB8Vcw7SQ0NWc/gQ40y5mfSW0sLFirfN fbhAlBDVTtP7fxKwt8DfVU9B8DZA1RKZePZQLbEXcjG2bwJtwsVtGF7//9YG6lqN5pM6lGrym8ge qxxQsdlRCH6TK/ct/g7cKWCB7noMOQewBIjKmfxOCEncZWapCyEXvRPEHE4en/zuM3L/MieIPW6C 005ADVbCteWe+CqEIj8zmoZsTxZpmmR/E/TdH16UnhlaJusa2BZORlKfCw48DchLNQV+RsMDeU/u gzP6UhQfe7moPdczvGbOZsJzx+Z36p2DbmCZE3cmBJuu8iEq4sQEV8YOhOOFJDTDeFvJJw0mQhkx zSQRooBErKa+HqAfo4+wnw0aleUKtbrc/BCqD+A0aqAxsVdoTsNvzhY9cnlskZ7KE+GI3dNREVp5 M3Fak68iZ88OffL2u/D2IouSUToqudIzv7H1HBzIik42HQcgI6szE5DO/EuCBxlGlwCJBv/CesNw EgtPtglYx3S2VqqBT2td292ysqxi+wQ1e8yJZhNLi9aPjOtGESlkhJX9Xv4CqHnQFGREcOzM1Az/ 28F+xGAmRKvXb3ajcnfNKbvAyGkYL9yxnGhJ69f712zXyvQB4Uk3Fc3r6G4LNhAvg7XIEEzcSc2T JyDCu14Dosj1/dTj1raTDRqexi3aurIl2cvFw4sDkNAbLHe4tJXDwb/Ie2Mboj7Y4Vdiv1nWhwO3 TAzFxcInSF5PvulfmI7Wu23JaeEV5B3sl0nNokbe1bPZLoGm3WkgR8weNyL+J9JBtr23sXbQLsvl K0xlIQmbVhy9onx1f0uNVSFKmUxTpTFYa9KKmy8kwHxOWb6za9eGm73ghmZfoJRtIdQXf5QUqcJ0 9q4/4ATa+PPQOHetzO9zHVw5xE+7QcXIEOCrNLgCfQ9Icsymta7vyEnlfVHuANiT+s8XG2Bs4CDI aj/S+72rMRQTZNiQauGJAEfCrCvupE2mPdG198F7Gfp/jw+JRjjjboTpIxQzWTcsLJXkmrp1DidN hZObCXTcg86cePZu/rvZ7icGCn9R7ToxizTyRkxCxI9naUU4RPixfnNKWQEqwGenJlIk5d8/AeZy L2OO6ry2aGKmpIEtetkYjI5gI/VFTSODrbyQ5zuJO1Hooi07GwsxciYp2HG31vjfbvxWlVy7vw7q FknblNM2BYREPXDI7ZQPrNeyS6FF7rXz4W1S7QR2RkFURVhK0dSKpH7Eo8I1ePEjXyfE4msaL1wn oy7Vw8d303+JvtOQL6pXnrThZ9GHGBRwC7Eu3FLQKvU0a3JerJ6BxlUKeKOSLnZJC+yuCE0ygd1S MG7x0BNiiln313URLUzREmC5VTFfkV2DzejCg9xHoZfE92E95SnvAb9t8ujn1KgRWOwZDKexZRAv rXqR0/9fiXTcvRhCk9Rz2BleIWU23DEpKQrAc3HGt+eoPbihDgc+PwGqvzDdSOAE9BpDNEs/UQC+ 0qNQXBMmjSgP+4YTn+7sBkPOTKfgWX3CUFJDGyES7vT+pBl6q+NmtVOPGk2nBcGNMEpKZqfvGfT9 N4LZt+10vZj/TV3d6RSibTQKEXfpKwACMcen1Dmm84pHNJ7Deq0st3TgRRMBGaWb9WWaW+8tLmLZ W/fRANUYRHjGnJgMkWYYOBHrt9qbYe7Hx/h4Mq/9r4Kp7n9kBcnDgaVXByLgUxzOt8EhqcYi1TCr 7B82S1b1qECELuSwv2SLds9/I8f2gwrBlben8Nx2DSffzgs40bABAwtF6q6LZg/nnpXMTSoXSHSZ SK4ftMzTyGtnQeewvUdyxV3PZrhbBU2grMsY1JtIZ1q9TYB9P3gm3NGTcMEm6WWxHNMdMtPDPnUT +OF9+3s2EHi6Hiy1gGcpB9Hk2O235751acG272iLtDNmBYRYmZ5aAfWo4B3YZtW4Mopd8iBYStgm T3VOLaYy+LJ59gRSCW9JfApZPwVF6xK7BNRphS6DY2/PrSgav2PntCFi7Mvx0ClGE4uwkfJNMAD+ Pe5RjqYQQpr1ThgggVByn3YhiEup1GRWySxNULA/+yiUHDYr9STqQQoSavnqBZ1iZhaJjfCYli9y ow4o07v86rPOO4vXOv/ohkaI4+SE9rq0vgkSTRiQ+EWIA6BcXzA/QfvtzFgLSIv5kc2Ic7emRLBF OumCpuGZFYV61VPdAPSMoZ44cwh/qNB1zmObPAWBlwVxa966Jh0BNTPOQN2UGQEUBGU7j7f0o/2t +ASM9yhK3QeahVWSpKqEW88A1baPvKgTWqHvwedkM6p7TgOTY9A2ql2Hg+uGKFdlkzsQSG4wUiRJ TtVDXVGa9xTfR7lsVWGlaRbQVZyGHuYxngU77RHp1ummSH5/oigOiDJS2sDS1hDNF0Aa9z10jLX0 j85YNnRmNNe3TKfJC1rTCnwaLs6jj7VQwRhNdykIQrcCCcnAAYxrrdevs/eKKoEFJ+WLZ6uUZpak BZQ5r7iGlk0QpsyeM3YJLjrxpmFrHjXtZpeo9+cP28se7zpyF6LbzXxhvuO9NtAOz7hohi8M9N3Q jYlw70JEiULzFuFOj6/wHwhGBBthLWdcyLY/0i/vCRmquMDvOYfJhHO7gWeZE1e1xoIR2JwQ8da2 LhnVk+hp1rqgvF/RfeY2KpdNl+dwj/AV+Dq9O/2b2fFSzKYmJkCJ5fBLXRFUyX9qPt4oIcQSt+qb iKoDPsqkpAXesGBQZYLTVXF9QgkxNwNNhzkslzydzjYCmzslQ8HUuphgpYwhb7r49RzkAY1Q+eva dueB50ABCJTg8ALnJy0IlUibVNmyzI9eb+jx8bUBjtYDoP2OnyBbfaNfz9MMNgTsqXvtHquMzxCT KKwDQN4QJnUnyk290gH+kjD3pDMvxsDCzdzfmGL7zkf4SkOd8Jkf+tjwX160JBvCGLZC4X+y2KTS KBH0HUs3vTpWo7KcTZALv49kc02tSzEKYu+hrp69pn6iEa9A2sybCCR9SNsihuz1rAf1gx3PPLUb VMvXcqicg4hPJ0jxKpT9utfa7rAobKrGufx97qJauBEXEaNITLB/qwG2ehDDLgnaSV3l41+rNS4j rudPSH5z6IVLC2P3w/nftv4Zvz3dVma93fjnKjyRvoCTCgokdUvC4EBMXJURG2TAuWnrOUIDiBS0 mvdNr1Hi7hf7/h/pG6hdUJZaSMT8GVaMEKi9utogM0Kyssv0jTfMal+5j2AfGwvUAHG33xkLEheA bnI/qgw7t99/ukbzs/Z5UEdIHFf5tDuDv4zbYFwLD8SZ+yLF1/S5ALbeSO1BgnO+7SBhTdNh0ZaN CAuGvry29lE9jWAM3XgnKEEJbIbwJj7EIDYiJudrA66nmGpSx3lNdwBpLTPCASB8eYzvhLeJoT87 fOgNbIJ4p8KmrHHiBv6XKIB6PhFGVB12NsYrtcv0OECi4j/etW4DGkEfbbv3SCUi6nNuk9lf3Ono IHCoEjOU83aNGFXYbu5ouNOPX3nqP71IJnG/weWfXusLm6NJuBYCeKPd6yE3bWhcv+dkajvJ1KCb 44Cl31f9Ivn1mGOK91dM+awAW3ncodUulwqSulV/B+Xo4jykSaIdIjsreZz0e2GPxxPKXENvcdx2 HWKStJaYjLDNMvW0ywdw3jCCwLBMSBg92rNHwRwn+SvpyH9osY3Q+aG3tvybO4T4mgGvGI0zwDCA l/lMq5TX/pqA0gPlakPnRHc+UJYLj23/ulJHSq4bAYlYNuVzY23vaKlL5q7ANd/bQgJQOOZPxymO 1AJzkwbdATO46eOxK0wVi4UioVB8OpqhpC1M5ItSoudLU3BVmtfK24S4Pe/QLCaAASzy9bjuu0xw ENE3W0B1Da8qTiHh5xYYoGQ4Tgqaf1H8VE7Adxbf0zEa7YghrR1LFvuFbZBgxbd0dhqL7OAbY2EA nWyA+bsyh2S51x3b5SSiWVCqX9IEw0YK9A24VRkgjkpw+hBcURyEZot6Y65ST+oMHbOImf7+UIIp H1D8Brx1nW+36x5cc699nxrxpV6xjFsMe6kCfu5h2NCEtGpquNyFLcvc7RxmbaNf6kexMQZhcqDw Bes2HJqt/Q32kdZnjIFj7dCNcFKk34g9n3BRS9TFXeYG7mLRxntEoih+dOSfNnRi22Rpdqey25Gm ZgHrSGp3nlsvwMUx2k5N79QLfHy6oQmZKzXyI1KFKlHIkqMkqQxHxUIFHv3NE42ZfIEgMBL18OGu cLI9OjM4CQ2DS1RHqaWbvZ3dEawNsjpNS1zezwlWYlZN6u1MH3EigSh7bM03X+ZsvcPxElSJkJ5z CZoWf2/cokV01cfvrIX6uxdoP7nVLZ3goLU4IJKldf/fMiFAn+TINTzGUj35KhSCbb9FTTWuoXGe YIRUuznHLMnJiN7gK3KbxfqJBPJ9Zy2yzKdiO95IxIllg1DpvkE0ZiHYXOqANoDfY72aYQGPigY6 rxU4P4m+BUTqqqLijoPOOD5ozMEo0gmkqKMnitJrES+7FsxykcssDhHqU6yuUk1XT/IJgmike53E +jrquTRHrjnApoqa2jD1ZgGNWQqdaOho3mR8od3HurptPAbF72XEQQL0f3YNRh77uusNH9HfGUKH D+nuPINJMS5cUZEk5rHxwXBfk1O0gl4YmrBLvFAiFZO//f3dSTaAsp0fV49JbMN73UoXS33fg0YC CHwFf3fvErhbGTfKIdN4aF999sRJnw/De4p4ziE1YQjfM1Ox3IDWbrpemgseJhXnbV9eUhzBTanF 4SCkLNE5aqwli3qX4fzkhvi6QtYUsdr1V+38e3PmAgoaOymBt5gxWOylEnkhROFIMmj0uQZ8gL0b F3/SqOBYc8hDDyM/5PAUlBGwzsGVJq4FCI5ksMfnp/Y3deipPT4+41Vg3G7JSnhqMM6hdcWsjNHQ /IdUeQ42P57AADMrfZYpseRfn4Vd6JyAZIUllQUjVD8kAVxbkU9vwIqPDfr2mdW73BcYgw+loK2r g4TJTS1MG8+pRVtqYICpSnmlsoMe5YSTJHx/MPQeDLXpI1K3/ACMX0nb0D2wZLhP1ZvGNRu0cZBL jSHVBOIh1WOlhkOPbewUH2N196mognXplz23hMrJyeq6sZTpTBX5fR64WTouEoqHma5GwW7KktQ4 6GUmopNJOFKM/qQUnDUKgwmIXQ9pJCt6zSsNhgRLwPNWFXtb0jhNgF774kusKxBacMQ6SIDMA1Vj UP00qUG6vMd9H3wKEspyKstmoIhPSIatrwtBqxrj9e6UxMc5Tp+Y7db5++bpnPZrEzr56jr3QiWm KC6X5Krszj7mWmuyZjz2nGYiQVavoPeNt3FSK1b3/4LXsq6plnjjSIlQ2wkWfHuMy8JcmzPoxQI1 /M8Xgy84ch5voWOZRXe9e6KJf7Yq3+H1ymd6tb0CZs8FYmL3WaFAoTCbFMZRIMllUdgPANhrO7kO /hsz6O5Sf5pezNH2XsL789mu6BSteD92k1CpgY4sLWreXFrkzLle18YLbUJH9NjnawOPv8Stu36F OE+2IFoQMl41IGrg7H7iveYMOLhAmrpnnTVnNlTok9l6H9MD4EzFAf9Q5iyjxBCPXhShwOd2DvjT bZcr96Cl6+DChRotWuxkysx7q/keWYfg76EgF3cCengtGXCmHz0fFX44i0EZPQJcBPYghVybycd3 VE0VHYmcqh7aZ6ITRwbp3FWt+PlysAa19VCiEtvyioc7r0LEg3N5nTkaDMbIYk21E9/2bQkfzYSS U80W/1cRsdxaVmmOeT78/1AAtI6B4rwsbb6ALOM4Z0haT0ulzDDXSzhT5tZWUqDkLHHbqBzyHPWP D9ww+qeRjhhFYcAv3bZyStPEnvKx52Af+9+Jth5OcOcZkyezYDRopHMzQwidBqL+Mq3MGnYLTkgv hk5CnSqL78ifcXcmTS+qVgx4WtMZ3bMe3+FGsz8bu47c5A079UW1VC33ToiYOJ4bR3mjNVKyNw+E jJCduRBPMVHDdAWgMGD2sOOeFXXW4q+YD7qoCoSmYP2XjgEbW5Maf3X0DVv1NEyCpoBm7vwUhaX5 DIdF0hrprjipTFeVwqAXDU8LgdXTDFsMX5BxNB/BXfl2Pw10QAfrZhYdnopwu5IVa50bc7fFdxzp TnO2PWky4QMDdpjg4sSWwdrA1sEO2ziAKM6Zn7LHs28NdSkgAqZwSQ37B+mFJ661rp71aTyyJmOl zi0+MmfDUy7puezINaapgPbcpmFKOWTq4QFQJipQR5Lmu72nmYujMgOVUnoZmO+Npd/+MFpshsgm eFpSG7z45PqRbZicrGOiT+VUqlHtwF8bueIOzErb6hMZcOitdpVQep2XgFGYWwX8dS9e79v6jCCS bbc07cacBPZ+x7VUd1l7P8qbCQMZ/t3nEUQ2hXtzLQkEbgj80ETD7Mevotvug6gqVCQ0SYkofN6G MwD0UthpUpD++olI6jJWBg2V/ovQH/JN3ZIjlXJgSuDCtFDyIbH7IRd3q2Cp7QiZbhnku97EmxA/ qR+U7b7+V+Ep6ERtRPFhxPbeJ4GfddK0zhpquwgou+O5FymJ8eIlm13YX0EeezP5unlL9SNSBcyn K3VzBTwN8ibMDa6y6y8UNj8UXIVXJXlmqu7T8uJAfJtvWBRF4LilSXKFqOEoJc4DpQOxmQkoy42b 872texpp359AWNhH09AZT0AnlmcpXBIk/cIhFQFsFiOMq2P6EHmlgqVINAyoYdXc/rYVoSEH3PU5 Z1b/XxHBCEFvopnGh7W5qiexaZzZ3Rjc6MrzJIH5H5gFP2BBHSdMBku01c+wLh8+jd7DqaxLcRmR Qd7/fKd9g4mY7DcEWo0hXNQDTzDpVatIfeYgHhYXYO9oLvV5GkiO1zLreXIQezyUHsLB9r1syvgv jY/jJzFv8RTl3+ncOvlRl4ONd2OePxBEecaCTXwK3BdQoTnVnC0K7vahx/lsm1xvlPvNrtVh9qmZ gElwiz6a6J55c1LoLQrUlqVBGgBs3KxoqiSdTR4nkISP8KHHZzAZ22i0mpK/ajDoPWk2OLsIpXeq 6jGi8j5OWhGLlUQOuoO6e5S7GK6W7mC7H8JPWXyiW/V0EdEExmXlHS1stNaexTJYUDHrrBa6LI08 bamb2cgj8/b3kUz5+iQloG09Dhv33edA6nXCV0EgbvOw3jHj7/GukSRwLjPq2aM2W5Asa0w/al22 +eGIDK2n6+o8xKFnby/HxWUxWon1ob9l7ubOJtz8k3N/2fcW4yAzNm9iYB/7LwOBav8R28Q9t+bk Fg17bm5VcE5Ss8iyzalheK3dujdAQnOydNHuWZ8RXB0mFDVXO4ug5sJQxeHZbCBGhxLCZ7jIygbT 010c9rY5Eh5idS58C1XNJpEMm0Qu0niZMzgW1O1iw+Z0b2WSu57SvGQNET0jAPmC0+nJj+s1sWVR fQySCbXtRdfxderxCqixBs5N/VRI40EoLG/JsdvppEyQuaV2DZy/FTBF6Dq13R8ZTPpFRedE/YMA wbM3w2umNhwkxu14xlmgp0ZmgddG2nAAqWZqrDsXWHNSK4SnAcJ+GuDkz/729v5/bI/JJmGu49kT wWF5C0SxNyUa/Il90Jyja4/a74Fp7c+n3L8QQLHTTSIBz0InKUnK1z2kSLLWb/w5EEhg5VdP8LkF 5v602ns/gqkTaF7um/4VBh9OvOP5CF+qPyVUXVS5zJj9NtarmKPEHJVwA7xBQPUBCwNoJFXSEyvs eOiPjD7UvZ2hTkyTp36J3kH1SlPS0tKYFprv9YZu5uhOBS+GGZVj3QDrP30YJzDxm9M8Tm0xblMJ TnGATeTScqKtrFCiOD8QgSAkSN4B1PqYIzNZtifCrRXQhRn4cRHxy6gPTGoPCzjaJXXan6q79Q+b c2A0XkdKfccTs53h9Dm2/MYs8z1cMuzCpZWvdm+ujdcEiohHHMmYPay6qgqlGdwlen3Qs3/CoZ/4 SrUmCUlUavuh503j562msuRjkpaXOQ/nkmq4L1iYW6PPjva8kshJ+jVOShxlLAZThe3fcl+Pf5Vp kXFlSr/P16l/HuhDIZIdK8LMrDxWBm9l3svNeQ8gCPwPnEV4UnY2q9lS3t/zSiZmUUxLqj78Vkcy ddjl0mQI5hlD4o6ZQBXD3RJIH3HAquYSsnq4ZYKuX+y5Cv6DWuWkMfZBCAttp9VeB0D+rMaFrGyF MHHE+2mcEDyjI35ZXjsNo42X80FLCdmIQbrjbWSUU82fNTmABofVBuJ6JlVY2noWNbfDK/VETgxp gx9cZ+JNPUHj8LJK61b/8xz/Qx69wckrJXBsx6rYZpzQg11zJs3fiaFhhPLMXN+sgaMz+e0QcZLm K2XM/leCJ5xzD3vnK3EAsEH3AWoS3t/DeYX7iGZY4+gh7nklOZR7C2qUb90Tj41MC35cKFyJGb7k Zt0flxrPR177xxBMo64tjcenXBE0NmSoJ59DrXXI0LTGBd+2p9+8e27wXk5c3dhwBfwfmfEAKeGc 1Q4x9bjPbSazS3haGHgDLLuHaJPlMsZKCXzHRR8SuM+yNGEBsgML5gBR0tHSTCm2cbLm+ivuFVuR ncSBY9q0I+iCgS6K6lAqZ+IUpeYlPh1V5/6ElsSsFul+EvKqNt5Itgd+0OokhJp7MNM8QZOOME43 6qdgAjJ78h665ST6YsVW7px17R2x/IMFjqYLB9V0svTuipRmmRknHdaZN+X0qm3Qtd3D16iZNyuE FR/3GclgFXLEIqLGf6rj0iGH53Y4pUK9aRH0Wx4oYCcavl5EiQUR0oUrCorlY8QulUUCzRiX3LsI b5lLSC2UT1OInp0YTBSFY06+EdiT/zguuN+6sk9W62hOXPwieFUSgBr8VWD6vYfAo1r0B+rJ5GGJ ivcOl/OFNLZp9srKEdVlWpADHj2nld9eFxztj216pWZ/zl+Fgx3Aw/ii6JnACMPjdqRyDkeoOjA2 Y3Slu2AB/tukScn/Fz8ByNI8NSFuBOxd1NqBPVj4JhMGUJzluZoQU9d18yud18H/Uz9tYAw4yqV5 pwTOll+YEOJ408SBHK9tQzjZSpZyiALLYXrJiPJhg6ibnog+CZbXNjaFsEc6v0kt7EC0N5p5ZDOL XPyYxl57DBxH9c4UfvVWYg7uxrEkQdJFKBeLFCtsTs44U9H1azu/k3bZZvGMSI2BoJ5FswkUnQSy VlTWiEaSrCEMQSWZIRLQmZF/Lfh6Bgy3SpTWSbAXdRk7UvQM0EQgvje+LaaeBRo6JPeW2Pti9/rA atnsq6URNNA0UG1fX7grPxCB/nAifF6v26aZvmkxnxWArHRTaKMuQrxQM3a5NI5WOeN5pMxxXVAe IvEp4DsKI8hBCv91eN8juWMRuAWJIz3AqA96msBlfiBH9IY944okTEIcTqWerAqm+FWe9hj4jCqr NE30lGMzj6nxmWJkqTaDbsCn0l0h/o5H9TCE2Ba86I/nFeL3tsSZERmy8Vs+cD4lW866YgpRAEda o8mL6o1p4UhV8HqL+WhLoOeJFIpzYc8kmS7qSQQQmews6LLvpEmYVfKpAGsfUgqsYvrAUhMdRsgd 8CkJZgguaaQdYNqqycPT/znOBZgofDI45VCwi/X89rWbpt/duPU4BGfpzQMNYWC48rmpICHXlBG7 t2LVznhQW/XhP3BefAM83R3bfBW2JspuWvmhuy9OECK5dmt32aSmq3G3DrPiqy4+m67ux7lmArVm 3XQczzwenCHDGasIkQVnKFP0j+wKyQREq3LdEmHrD4stFext42q1xpN9U7m1lYJNL3RpSFchEMJk a6+/3aZ6v2LY3zPikwBZNfi6/R/plBc9FJVcL7GWTu4Y4fzDqwj65WMTa/HUQiw9MYXboaQTHGyy zOgBnBu8oCtKTrKSR3/BDGFbIADgkczL/EhQyQyxZJyRPrJScMBTA9ofs7dQFzrLZbbwUjEC0DfW +6LiZK2HoG50UV3F8IvSmjZy6T8+Q0t3jECNoVkT+U80vhfKYuu+jwG7pe5e/DQ01moajr0+4Vmn W7j5KXAnAv0yNgVUgQUvL+g8n+Ct9Patmms1XUrqXGgCGSEm0Z+8auU7Qq9pyhMl3s3HZPuWq33X k92J+TxEWfG3JUIByItFRn8hMPajXEWP2pa0SZNObYRMUAglKK0sHqwl22m1qzJRHoTOMrl5qVzj pRXFIdDPnZeZq92mABASCvI2tNS1A3Zs9HsAFoy00NR0c/58BEF6+Md+yMmNG7VXDxsNuVUaOfJ2 bhd8z3cISzSotmKxfEu1241TqlydhYZpAqFR0cWOSleqYjArZ3Z7pQGF5cIbeL79a/O8ytpKf0bc QED1PudzyTc37zNDE+ciq0/KEMT7MepInllPAQ/7PvPFbq9hnb+77vk2dt1xiuTOrWIR+9wfj3Hr RlukoaZItzboDR8NAMnh6IJLrs4OcDJ7kzjqlX1/AiiSra7yJlYoxlBN3EghqTFmB9EzeH6uzrkK WXWumV2FZMzDQKBoSziEwQpQ8YytS3U/bEMt8/5HkaHSk8KYKSOTuqBEJ1sFsLNGkZTCbc5VQ64B A6az8BjrZuoHIoUcCNKl3AwOfiXGbHXTdPYHQn1hpLQvIpxV9nBVo/W1aMsPq74ORH/hiQFPmVct kDrCuKC+JowyOJJKs90YHVNFJ3eKbYzWre8CUpuRLeX8z0BfedDw5PHcjzWu6h5gMcQ0/sJnsz4N tnVwqIoSWEN3cpobgK2lC3n+FiDGED5rXs//wyGaU5eEoSKYk+Ha7BDVCl0MNGy1/JOK6eFhEOeu rw7MJuMbQ86Bgd3eHZqhfj8tIVI/O+oDYnWa/w1Mn6rO7R/SVLu4AMKa+h3StM67UWXSOf+cNodj zlzwEsEQ0AjorL2yDe0slgm8fEBk/VfszNW3eYosz08n6L5njMXexbL47vN1FI5xMR8DfsdLMZf1 bfGni4R99jarBv6t84k4gxRIPba9jBi6I69V3BIPjdeNWAb/hoc7c0ldyRxo5HFIuFn3auvGD4z5 seBjxPo180nWk+imu7QshoAYwQb9p0QxiR/sLxVHZERqJI0Xf9SngWvmRngE+65W7AK+ezDjgwWp f40IvTx2kROk6dUfLjrd7hFTmjFU4vYbW3HHnoxCZ1udG9A4LTDxCMnBHbFq2Wufqh3etygYXP24 cFS2ccra9wD0O9BWku+V3YzDaNslF7KRj5vwnDCXDnszO15SQHGJk8uS8XW8TghPnFkG4RADIW8s gGCj+HyZ9U4o9Q9ncHMIAhPY0LxnMytVPDG7QdDPpMsHLuKH9LJpYO4742OQocnl8EHFw/1tm/El +kwLZM9LtKr/8WqLqyvPK6igBDgMMEPNfZD7r/A+etwGUZsaxtyzWnvyxpyiGdkekAwCYqHPULF7 8D9Gy/4SzGaoKF/OHslRPraytT+VQheJJd+sZVXXoGZ4/JdqhdTEYaE13/RmplEw1Oz01m0h6HR7 RTnWy6ezVhVhvhpYT/TBCBxQ1SH2ms30OcuTGyAaP5ipBO43akkIoJQR/iwbz382+ho3YrZe/QiN SL6i8a2oBGoFxGhnLgGTYev1JI2nnz2LF/F8q9uglaM+wzMJaG905fHP1cScIFpOJcmnXFDlVw/e J3sbUtMjhucIpByfHR2wlvC+0MOR/9HPpjw5vnmiTXaKDSilF0k991XFpPuwNQxZYhw4LCVklCeX mn/fdE2NbFcX0Kqej+jCIbvimFJmHL4JzcrFiRo3nwtwfssRo0GBC0E0GRfVbx2NxkCe/Outkjc4 3QXcLLtMaxafX6fMLHSLLbSiVjVNqdu7PL+ALx9/Ak8MgY+YtZiS11D5B9U55vaVzCpb1rIqUtDU U2aC6vctQyd1aAy3IgttcSJRJGJrmcniaewDcrVnwfOEVBonbmLK+RXuQGShvNlljOIibGM+QF1q R5d+8/1Um568Xei4Xrlb1rI6obOfrVwgARDtHl7jhQtCnIkEL90/M4swCtIWqAik9GAHBBpozVR3 SWKRcpLXMd6+KWaiEjm5QnwyRbMgwtn/HKFr139wqRNKnMe7gxVSJTkdQeaKwcwVT+C3zZRDr25o uzOVhQHFFzHk0TkoF7lsJlb9XaXC/LflrjnJF1tMcaldR+Pir5ehSC6KmKrHodDc+2QfwjOccvPH Mc7Xt695k+fO3LOyJ0ncOHoDHgzEVVL8swesHi0In53mSHhQZSUlOo4CFtdzI2p7Nz35VCEkVogp IU0T0BDadwJySy075XSWtUmBnlPe8TErOI4dR5Jmk3GYjU6CbjOK1LOLUwESn84AP3vifHzYkeUL TFUc7OqQIVWVuVf+YLmeQI1VyswyfuyQhmSRYI27Ke9kKNDTopU1oSex2jWYG4yc/BWsLXYYP/te tc47RXMSeMKAYdhfYbocCs0Szgq8hZmwp7dkZgOhdZMOyyhkKOLVc6wpE2xrdjFvLog6VJVWCHub CEOboYiaQG8kJA+OTFlCj1CeDtbyjzPbCS16m9uOYvHTIQ6VH1VWr8V2gruU+aaIXIafSTOMmjJb gTDHE4klKG7eHB8nBU7YHDeHrbZ/5TGONjefesuv7CFLysyPfv00ttpLzCQw07I8ndAzeiiLGwO2 gmJBSeAUQ0Ui2CX04OepRlZKdlxcE8qZAprtOmbXbKL9++FhzIN1aTqiCXWIVFEBgvUxwea+lY+I O+nvRvGT5iN3oNQkBohES236S4amttAj+PB4ptIBtZbKFw1PA4pzxPSRbAeSFjpUlIhev37JRNq1 TQ64yv4fw2Gy3EYW2nw9LDqRfl+lQSV36lSrPOJlpsDR4Cac1SuwXYeVvt2885N+bnWC0Q04MKin PxVG7WEhfquK16Dmreryae9l2R9RsQmrWq33tf6UNpeYEKzRuNJU3lqpOwXnDyBPQYrPcI7K4sef WSfxjtTq3oDDz70QhkNCiS97oTvwPIUEsHOHR+ptNi/xX4rOmSLvNH6hMXi83dioDZ/DyRz4Id7K +A7oQPdxh7MZT82bFmn+mTrCnSE68tkX1K+6rKp42dLMTCmDfZjOwkfYRS9+Y10cE7lFYbHpGOfT +0JnJ0CKDGD2vSB0TJcnJtDXmNcLZMayzQxG/yHpwGeS8GouKdXqhentVw9Q3L8TBnmIkLSiq82L 40a7PaLjdzO98rLq+2Y6bqCr88TO/75eDrAVJJyLlEhHhdumx/dqNEe1NuHpAya2/XKoLWhDB5m3 95HIojplh91gFcYC1qnrqJa1JI3NtfsOzj4KCTTIy6poW7bi9BJQL7rfolBn97VzpRZO/kiei9qa dyAjI+MD4mwjEw2TKOKRUu1qN/9Wd1A1Zy9V9sqDnY38cz3EVV0UE/sb7sP79RWmW7d2W8hx+n2B 1d1SjRb1IQObbdl60R2RBF8xmogSMQ2eCiBBLWvtSSgrCrJue55oPmJ8uk0Er6oQ1wJKt9ABh2Pn 9AnorRwTo2Wrp7Z72pI/xHowKprpRvAR3c1GaxevhfernUYt4159aQRTUfqjQoem6rHL0pf03rPO p/U/g+VUus5IXLIcSyL4xyeFoe+ujodtE+GjnhkxjB+T4ptvfIQWNkbf442b/1hEyTcGAY+GDfe+ nCuW+gJBmu2yrm22VRzQaeC9wEdo+E7kqlt12cers0drJ7apiakn59YvpYrQaZmyGzXaCibrQol6 m82CVpHzZ88yY+bbHLy1N81PxGjmqMLvVHnLPkMFACQZcLplUHReuiPyFwDG+4V4nS7HX4VyHYHE Nzkn3SJX/1WAYWvwXw00ue6ZubU2Nuy6Ax5vKMsDGxjGHHMv+KJ8YpYnwH1D5ZwF1zvdo+NIiaHZ 8zArw5Nw2Yel1RqmAG4zqS3+wTm/ejHgd0JwZap7GCIX/S0hBU6hFpsaIFFC5/ejedhTEUeS2Y/Y r43VaTmA8f5AxZpNgBBbICVmlDhV6tIPmN5pfimDOcQI4w0LnTQkpIMNnWY/iwridgov7vH9IhmV BRftQ3EfP3tcJ7wQWM80sGs2jTEM1o8aa7OFkn5opofDbWB32gg2f6Bz53RemWd+axtGOMIYCFSz Mf1TrwKY1mbQV/GrwnS3+TsVY9jAGwC/+2OtEM4PMJdnTlG3ygvGYDnVUENQ9MmhVhJ4micnpBFl 5aw8mWkCjTZXYhGJU+N6jxlqA9O2jq/8uUarYd/KfEi4DxU8ceAhbgDpknStkNaCejvlDuOmg3BJ GYkQ3cGXouQ2+/pk/9HenrumR2jEU8ydNSqlmeVOm2Dnab1+83dLdWjU1EVWqD7WHWEl74Dg2yo4 EwHo3buhduZXc8SlKEydyfgx1aTEvnDJCB77JTqWuoaSz5x67Kr+Soywyk1w9bzLskZgAJhPfXzS HdnJ/Ip5mDEO+L65iRHYTzZ/lcMUuQ9Gga90oL5N2xSRY1j5BqdiuQjaEAu+xVYyalwkJXDT9Pyv /qBGlzyZO3ma41BhkRIHyp1T6uGGvCIDIB9NFBsD4tu5JYD82LNDXGQvnsxkY9QX/WiWDVi57xVR ApfDPgmlGlvKL+H6nHJTaZjUyk96Ihp5NNU59f/i1dlyxmU9oL+WE2sRs6xBNdqK9G7YtGh1FlOp wECfQR5iGVnlQkjZ9qrwtyGku6yhQ72uuOOtBELPJDrh3TrQO+P9eh0wNlaZSsEKXmerEPheFDN6 4bXkHUunR08D//3fFrbTm6jE4fjZYgUT/4MaeSLbh9c9GzWecjjWSVPhCf7jZpPHoIOfq7vtFPU9 rTga4DxecRb9FLPTbIb6o8/Jeq5t1ESVklOz178KDV2LGfRigy9BxU/JlJPOT9T8PkjA6oJZmlCp jf21OiCRtoHtpmBcvWh1jCXThUxIcAoWpg1d26Q7nDu7LNDGNmjMdtCu7x4fJysVR1qKxSdSBasS FvMr9cW2qom1FCUEhV6SMPpswmaI6/KLOCUh9FnC0srZSA3ifa16tx/PS/bUUOqmaaA+x5jHcg7f 2L5zifmJZXz4uksUL6ufk1vK1gpSQHSEV/XNklFUYEw+Gh5tQ3xSboBC6b2g35OtJNEclVriW4g6 gkQlfFNZm8VfaWXiN8oZ6yPrbVU+ADUaSKyMD2cI95g6hu6HUhC+PAtONrEmqOUnwSLGPVCN+v50 kFJQV3k9kJ6AfKx5uolbD4Ni1m8KBXocij79Ix0516T5Ly+sZooZhUuZZzJnMyojSeowQJKY2TvE +JsNwMxGvI0zskZ3d3BaxUmnyyp+KLVauYJF6bOggycZP3+m5NosQzkOKOhZt5QMkMlq1v5mLow8 Ci5MKpoZ5XAukQM9T6iR+onv6NY1eotb39i9UDD20yYs4PoMom7bFESzvYGsiStcFma1XN83j7GD hO4rKMvsyIOc+UBvqUQpoyscTF4Binvjl2rWIK9xPI3NB/2xs7RXxJ1gZA+X5ZNc9dlQR39E7qI0 FPJU7b43XGqMZX55bSnAvwW3hCQbC7hsE+Hta9QfrtzF+7LkYHpeNstzZLx1VjvUTQxtDV5YDKGJ vlEtpptL3rTiDQOXvZBVZrpNE0i+dERhtsxshUY3ckLaVPP4uCjBds3Cxh2QnGhF335+pfAoLy3p Q2SL+rnED8nY/YOmSnG4zoEpJctOjjx5ZVhPfMV0b4YogaYZHz3Xf9Csv/RBXq55Uf2ImdTdmCJ8 M0nqYxCJm9OPJLgHZgAhRZNyHwJ0+DfXEaPQHcLdxKNOY67kiOlV3ofZM3ICP7cQs2M6jRoqYzsW swEZlwZbqXzsFzNfVhkLi8n63JLqOfJhKndzFyCiHPxQ/3XAiP2JPxJyFfB6NpTw+IJfgsI0NbEi fjWFdhMuHf1Lj2QUAccfFgLy+SJWtfs2A7K6L3paciE506rCLD9NNX8XUwjeMXoheBUk0nSK1bqX eqp5k/kd+e5n1nFX+WUg0cN0XQlTyYSnX3Qzl0NA2SEcckaUrSjF64BkuABJm4+bJ4+9QQaR5DgT GO5kGPOcymmIrp3EBnJEl6RbQJMPot7miqXN83IVZ3j1sGUjMEZDeTf7ke0q185qjoIGcwTr6pAS R66kSbHt+QhdOKkt5JC8wSVQ1Uz3y8JN5+xguJHJ0iNuQzRwo8qpFgOtuvB4ny/ac8nygBbEKwlS 5frjlDnVEHnRy7CzXXuy6DQ8BWYeV9qFfw3t+FYIpP13P0vgpZ7fuVS5J2PprHOVoarbcc7nkI3T QEjiJ9k9Ss6EHQSs9n1QxiiFM9PZgwl4QKEb1r95Qits1LJzvyKQL67Gv0N7QTbh+GoJcOjdfZl8 vSyKJ1IGZTRiO9/MM/ElR5mztwNRKaQvrTuP9/dEn9rrLFCUheaeJz5C3QU57lMKirxy0orPooyo SPL5sjAGNEaludFkN7/BW/tYZZgj0VYFiWZVBKr+G/fEpky7sjJ5qN2meiTHVLFNeOMo+xqj1o21 dahhCBf4Oko78d1u19/fgxwucEvrmAm88Wc4qzCSvwi8hj3cM/NkaXBlBzwNWxh8NgKokNeNJC4d ARi3NXtT1mrTZOakbv5sAGx5ioBh3+9h3qbTaE/nzNR3vFo6pmzrpygs+5YiBcIQdcgt316O6fUe +oaUCKyXM0sXDHMCtIAk1YdValPs2dY4HJo3R1fCgaO+dC5Vm3vYhuyReP0DbEdJD1ZXDT10ina6 fwq/yHkuBojQtwYTqW6OMu7lTXtwSk+GrrJEIDa4mgPq6ilFP3qDH2Ru+MaR1jOrQyCOZV1wa3g1 zqWG04ZXeIf8/eLBSTWyl97ul07cEN3vOtYFBzZd+jpM3xH3Y4ogsTfk4/wZz9YXH+obdUXiuuEa Iw3q1Z3XZzZ5PkA0mFNfHK+YjA1Mh6PGjNpZ6KU2/9MftUCLHXjRZGGdx4uniUQGMX+6kRj23yj2 SrSgZWM+DQGLuDaEz3lMShPn2qediDzUNFRY+fcw4H3NIuFxLPQhhQwwcacZgyhZadj/8EAya8lY rwF2QnA2d4+8vZ2CCLBglFqGG7J3Z22CIukBerXu06DrcEWnBSumS/bRuMur3J3hl2kN1E1Q7SWw vBrCTLIrqEaoNTKDaLytO9VGUwWa7RCmbM+zrcb5kccjRv6MNXILvCQ3qLPoIsR9Q2nt+bmdecvb 7Muni+CpRT1AXt6pbm8fKGfJ4e4xiPQk6jZWdORz7Nr63zwMKOf4bAKpUtUaYQhL5gyyEleYY/0c mYWf2Vv8O/xu8qDWwr5qB3RznPOKC31pQpRd278pKIzbUzjTu8RxIICpt5d3w30EKwiNcEC7WcVn m5xLUnZAJPhSwpXMihJoPocFt8oOBvVWPwqiwUIyfTJmWn7EnmbPlf7MkMGVJknnp1ooEvgu/aXb JaWon+JANK9iOccKe4G2ovlf2bjlYy+W65r4Lq26ywkarfykNKUyMqR5XFJChsw65T5xSaFoTuYA PtweKLawYKbLoQ4kWOWSAFXLjmy22wJ0/lHoHno/1Tl/zCn4Z4ghDdEJSdujGjFv77vULAfguMHI BpGWIUKT5LwN5uWkmksJ1/s8be8KM9y2hEixNWLeX47OQjVm7ZfKkZ1ClBGKWYOudishxy0++0Bn N2D0AWCXLkRKLmEEL4uZlNMg4ikpH4UBFik8/v3ZLJjdURKMvUZ4s1zGXQ4vIHh32ERYED7b8vCi JIgKr/63Wj3cN1M4ZOeIEHDpsJXeUwurI1MPrymzEN6gCXDwj7IOJcshma+klq3uUiHjyz2PSp/k wI1YrKVjzOUQHdq706syT1/tjJk8FjhphTs1t7QzjCMNLKMrsrhxi+FOaHUohun7B1yK1l3dEeZu o+N2SgFkEc0PWlzG2vaEfa87CFDFXvI+iJMnQt0cUEXDbokSLnss2TV366ukNZt7pKKjLcergU/t aGtkc/NdzvSDo6dVJEjgYZ+qAcVnHw45Sdrxd04y82DjHNc063gMmZkgDIaCH8o0T4mheH4k+dXe G3bgp5g4L1NORUmVXk3ZMJsrEl+uJmIMgom43FCHMFesMK+tJU5ZQtAJbm35FuaRag/7rE32jMG3 Be/uOoQfg7bMqPUBNyoLg0isKTjHYArylCQOAVtDZS5QJCsnXS1w+4NI5C15+ZyYKYou+orBGVRc ZHhsVUKz9+P8cCJ4QrbYOhr8VAM9CMZIhntgbUR4P7vRm3rHho/ky9tSY6reRDPwgOgXcu8bSxAC tVxp0n30uDFu3/w5a6K3qKuwAJ+vARuGa8lMSjhpgQ2Tmp6Q0BefM5UHSGFocSnZR0g+nHoBCvRW s9A1iwKOt13L9QOy7E9yuXPEdfR1fHdyW2PqxRXe7Ow2awY+e2qt/2tsfd5S2LUJiytZqpjZpe7E RI+qS7Qcg/PnXlbee6c0n+KdiSHH3heusstYVM1HWQ5/dWKI2dI9GPlRXMal6dDYrA7bnCb0Ospu O9ysGfgdfdqOYVnfujNA4/R5mr7wlaN9t05rUmNfZLwTXH1NvOh0X4rOcYTve3hPSL8VizaeorV4 YBRfHb4g+thip3K2eBL0jBfEoBTgrY7EVnY/vOWOOgFtqi6eDBSIZv5deRVmsqX9zyX7qi44gZre mgDqc5PWpFv4t5RQ9o/g5R//GqQZDSPI1oYTDKqaK3wQ/aMKmVp9kwAvPgJ3dnlbUPKGK8is8Tb9 H/yqkUBr11aJ2HV0lU94Abvf2h2XniJgS3JMVsXcJ+K6g1m/JMXYjwpTHgnIEJ1kQjhIzD9o+CEa Fclsub/4MNI63MDUvdsPj6Q5I0tYt6B1M9PNqlvHDI6IwisU+SevOW2k//UstusVoE4l9p8sJHKQ EVPnveuyxFtFuf8BL6LZWr3tY0cASPGvtCRDSr3vhQ56L6TzELbwzts6tf76uKL735euzPqYdB8T 63+gbVmUtLTdtNlTKIlM1HMpv8C1NpajKX3kiAsQum5nqkyZd6P9LU3241Ev2VyUQ4VfcEx0WDiD XMO5QzFnW1aJXFE1WQZPLA+6s6n078SahmnTiLOmxUuEEvWxDzyRPBmh0s/izlwH51xhl/2EI+PF XPs+aJIAAtxL55HefUdc7z+sNpuzBhVLrd0gH/3wyZeLfIeLQjbwJzTYC2yRFQwvVgF3rF6PUNEH gVk81+3MaU1vyXbPW7DdCvOTIvtSdG+31D2wE1oyYvVDxuAb+PmZEO2exl3YtpSPrL+eSeAHscU5 gGyC7EgMKPt6+m1vz5qmwIYma/8+vGs1opbQHRiG3CCnyLZ+dUsPkjnQKQIRMCwjD3kJogDVUd0I dWLtDBuDfM0KAKlaRN4W7NH/p3DJNuzFoGjHNJmyjiwczZSzXj+POvvzX/I8Kxs06oDfEYKXQQl3 e4CIk14q2oXD4zywhViK4HWp9mI1diOWDKCwGCkL8a96bG5sWLmpn6VrgwtpcY3DLQd4ZH0bImLe HtIPq+pHceuLd41VeIkSF/mehHqLPahjVf0eFzMbD8zOCV8JCIywtAucjoDJc0xbFhOzA0PhyBAY W4VRefmUXMUBrK0ChaImfoq55/za7a8nebXCJ7Pfjvw6U7LYoE7GQBs2fLxrbMG9ySREoBtRDzkd yUfAohAh7wBExtaM8m1V9EYv098umDtrjnXCyFbiFaP8Fq13y/3X07kWnWpbFM+VwJs9uolTck5g fLjIeGZ9JQbH5KkNz/ltaxv5Ktbh3o98CktrCCqFJsdtMobXPwz766FFUIGOQljNV67JJYGiRf4c 5EVei6H+z7Kb71Z2xq0ALqIdti5UKbHZdVdQ/AUAKfDyL7yMleln2YVo4Iek5LCm8AcJUEmyca+0 ePAhbVAlPVgb5yVBQ4zeeF1l7AZW7lXWfytHJwVvX7e8lXZH/Ty16bugBv+H1hriUqDiMBf6glST dEhSh9ziTbA0TDBFAVZQxB54vOp5ELJuXJKPB8Ba/EW6iO1UuSrhxN2gWW/JDRlKoradEAPopjyC uBjkzrCsAiWHaHw27yj4/7OaEla7Fb0sd7aSD4NQ12PHedonGFGz3lCL/espRe5HN7fRAbjtuhgm aGNA7l3oNHqoBpt2jgUVuUE4xf5J3OXHP5h82EabuVweRi7KmBZeVzNvkGx4HYpCgyf/Nx8CUbyA V93jZIKYjczmbXucF21oXrLnpNLh9qI21KDenmClpFvPSCgr1e2w4plW6cxLO2sv4BzDzYKqdOAr AygyiVAzrZL7eaTGBuf++zrcrfznqpXp+pcXm0Jp+8d37HJ5JT9AIXBzailrp17u3fInPh3TtbmL ICltdsJzCIlVAGeazbMM5lRlaLD+D7Cttv/S//mFsXtERPs7A2Jf8b5FjEKX9ccw4xWChxEsytld EnkWktIRN9S0N5rIX2X/Z0MaDxhZ2d4Ym06exJ1HOX2JauRsuEpdKUPd62/qUBKk5SasJZh4Ce2N 4dQVSnVaWtxUtKYMMmUDabTu3p9za0XzJiPgJu0h3dHcbjhrAgBjz1AEtbHYEJHIPdJNejZqmQpq h07RychOpo6ObFycYTl17z3cVxXDRKRoG2svaw02Z7qDHiGS5Y80Mkv3M66VfAVMTMUVBHzaUkNH 0X3u4EhB2Odx/wgNji5coHhvnw57YhJMhUspIfqqcX1UjHUYiLeWEwsq9elC5AWqK38iuOicHsaV EIzsVriDErdx/LQKsbAPydsrQI1SLRR87NwcDYnPuCZT6Qx+FcJS8rm13Pw6XvgH30804ILRU8uv 96LUUYOw43hEXdhmiMCr+kpZcWaH6J5Hpb2UVBbbzmqb8StqffPnZc9LMP30K9dAmQexd9oaw1q6 GPrHaWUCjA21FwbVnQyMpjUTcDWGSY1xXWc3xynRqSjdegljt0Hslq1P9CsnBhfCZ30ONyFuQ7i/ eupXRwbUx7P34vuw7uJQvvMNeKcfi+b3+U/LQwEE1woog0i2XxGdG1N/tI3YHpFCXy+BybErRWI1 uFowlzgaM/GrwKjiGqGBspwEIjOlzFXPESpdgykC3ZOs0smsfDKTd4ifVeEquEOGKi1LrlboAeKn o7fsgMiAgO2HXclpunaetFOvSygT2Sx48vFlruF0GLDiJixft35+eTzPkaB2In79xxcV6YfPhyrC NCFZiukvSUaRaKotSySYbnfxEs+jFdQmPdBCncXgQGeObW7L1vN7uVxwFtazASmbqLHayFd/rjvx P+nKmVVpqVuHRq59dffYfZpYwyC+xk6VRj+9L+NdFheDSA/5fh3VrZ8PNrqF0VtuNV9P+asydNVt 6mEPcODB3IA54s8LsuoxjVYhC0mre8P1efYstY3w9T7F+OGb7KTsL4UuAIKMYPHAWDHOf5qhNR7r MgTP7k5JH9TuK3drskEscUYqAMlD/J7yvfG9lM9HrrM5ES/43i9u4YMLew5kCpurC+FvJKBqE+bW KumszayP0ImQC35Fxsm6xQ2FsJi43A+JJvz79sUovZ8Bav2tM2awEPF/qtiKZ8djxDVrWIIE9vmL K68ArqHrU5HpLoFsepacl34ixeKEuyFRYWYBgg0hGYmxmuxi7i3hSd931V9uKC3KH/cXFj9tpx8m htbR/A2NjluJrWWYqW4N+IXNOovNDHGTp2Kn8yN2JZUITqboaUO3QZzXvqMcwTcFXBNlUGlUELX7 2PJfKNdyjfMxNX6Y9ROHXAZk0k0jIeethqb1f0ivrF4pZmbb0Ua7U3u6kxLH/MYFYmhadKQmzI9A ieHtvaYroNihV7wXDp3LGDzITyy7dX6MeVP2f10vHDiEB1ByZ3xVkWXMxk08E/306BD3DR/dhnN3 t3ktz/HUN1cVVvt01lPh3WSBFp+coImss9yuUx5vFma6DvIRUfhdALPL5jZ6L++xlrhlgjkYTY2y MWKzE8wy/HolHlLS09UZD29cYIMo2YQ9jLP1+17U56RC6wYZcuGd8l8oK4TNXqRWhOPBWDeMMt6X QuiTl/ZgZOKxvDEUzsrxjg7yj/WnLH1r0hU/ecFk/6cB8pvoe3maTgySB9XVoUiwYgHRigrwEMt8 dDBVJe3e36yuQMp7lbx8sgIlrQtk+SgPaCdcudjq9ta+nAbv/vZdXTwODLU2Z1/zrT0qeky/24Ld oafRNYWAtI8O1NZn6N6mO6wi29wJy8kMcNm1QebN5/5yEIrs8QeL8iuMzm0dUFqjxsRrSTOwCQwn YCEAhMKkMf1R4RjYjOt9q/GCBspxCMtCZG5Y/5F+eNXp29OBtfVWESOl1HUIdDQ/pITZo/R3+il/ /tDryr5Uw1c7iKFHlQt2juaZXyMNQmH8zxGj5oPIowgR5d1dzSuAjiR436taU7dMA7Gy7nlSnXzz umhq+XGtSJYld2t5h5VwlXnjGJmnla1i2cUKlqeAObqBht2nThq4fUYzpwVrPOUDjKowBr+hnWtg xiIhbU9DpaWmzAFfeOJON5N9Sc+PgdS1gnyZSJSJqE5FlVLpntqAsEHp2DWzNgQM+auooM0/f0ky HXSnXl3t6gkIzp0BA/wFLDoMOnOG8oqpvSCc3ts5Z4i/13eLb+7qhFtdZF/dTxc6aeqZ8dwb5fdq 7MwU7D2CLGEzynXpHJHn2corwoQ/7Dcj8SNRZ3P3V+GInmOVQGIfPzZh81KIrHB39j06kTnLiIDy 0AYC3QCHNKfm8L0lrc/+5rmpvOzCSQLiW2H/JTVZJpX1LbDS9VW6tZH1eByQPGA2DqLYuIgRtqPY lFR4ueX2/klVG5H9F7WwkURYOQEtRETA+qm1xgXDhiYL1r8/kHEansQfXcNchXCdMNfJGSJCnI1P i1nUdM2XVQBIPEEjbfMy8cTZoV8mDYES3vZ4gYZLiQTIms0Oc4P502uR9m1hO3F/ldetSnkUlhlE Mi0KKwM2Wi1ScQZcDiZJfnWJs4DkQZzTib87wF8Zfamkm6YOpXWnCo9WkyqbOFXrwSYOZ9KsnFpU vQfiloOMQ8B/DsiZ2ejy5bUXZMYcY3ct6AlQZ3FBb6+25dWAPSI5H1jbYvVfZyVLOAi2R8JkWo+I QXXtS7zvfgaSBbaJSgPBe5VaudhAkcvo8Yrine992kyo5bgT2pUg050dhhs5N8ywD2VULwfRv8EB K2m/38wcs4lJhjwcMo3sJ8elNILd19HHY/C/ngi51HMGKD9STD+f+e1Kncptbk2SAzuNulyOXJM0 qmjUtzEb4yjb6aK+49N56SWTUQ0NtO29dPbKJ4gIEy9UTYIlASvBVqzlyOf+cAPGFNWo2UT8YCkR o3TnlZ3513yzgANHOP493HyHaWv/gskIcycYOMfXJGVI8GPy0/GKOftLjxgzA6jJD9qVGQUAsBca eHn0iVUZ3mqdMxdP08k6gRNYwshkGveaqF+CgUarTmXLMsqaUdImJehqrgfi0fYI7yIjNexjVVO2 MCJzOdiA1Cco5KvTuJtLRC8FYotzoTQU3d4//65Kxqmwi2KQJNteDPzl2AzB6K0/u2XLwkOwRkU9 b9Y32oTzbS3x/8H9yNb4rDWmuPJQs2/dqXh6kHiaMo5MQXZBX1xt2Yj0xCIPsvuBOoEysL//TPyF BfU+e4rEkhecKvqPjAm0qxVNgT1DOjY9C5BbUNw35VfFsqLQFlPrEgA0sT8866NQaW1msWpi5Sak 7pUye0+pB8bNg/oW3jGhTyBj/dipYrOY2i5wDLq5eBcPZUhtm/yIEFnOlh+SN6tLYEukX5YRu208 gKj8pQq5ex81VLh109u7YuKBcT/ttz0jDaYdbGofT6nR2iAPNt5Ryn/REB+0dYAULDd2qHmIdc/c thNBsqfPj1EnxDfyiGSaSpQWpQoRlJr3DMDYUkcPgNa0Me1HtvIdcanFUmsH0R25A7aLWSi31oX1 h92cuifmzgB1nA/ItyBYiwXo+2yUTLzmz4q2RYv0M0Q0OLwsYfS0brWJVQ/mjdoF5KW/6uJiQ4td Ty6Iro6bqTk9Thexs5Spqtw/ck5KDVjJQrS2/dLLToklNSddnMLP3kuUVqRK2xC1cJMLj4UoRLz1 ABYzWR2Lmk9MVV8KK6mmbMJzCeMPQ1DpucGOy0NtWyjZRghxgKacQCVECMu0ce8jahdYlPrv+Vzx Y8B+AvmZIlsveu/giSRmVBVDKhDvvHr1ZOB5ioGxbp0gLHguyTJuCGs+gfeItigq/A48jhQ5v9bZ f1qXN6xXxEtTCi7VaVpdO3an9Rda0Pxs0Gg03WhzQpCxukdoxfaI4egtOn8dL8YveFX7hLwrqWF3 8NGIjS3tSjCK1uHvv6KMv3I2GNv4Br0tdcfMPKMgEtJarm90uanCNCVZbnQigP3w9Bh+nh4zcO3o ugSR2vit+WezMoOLnehllj/6woMEL7LRSGZz4O4EEx3qrZOkuqdEdc3YBK1TSnbpSCbezVh4h0k+ artske4esVk/7mrO7dABABQfeFFNSgrugnR6EYn3to+e7ugv2PFnURbGLl46VKIq+fMgvUdan0Ph f8h9V6vzjyAMmdH7AASB/Xa/wDEmwSxG7rn0RwnScaGG/LYXOrz19buv9pHA1/5xPWA5elYVmViK OO/PaW5Mn9rg6FDrMNlA39vMpnn1OvZidjbs+dgPqrPDErlrcxt98Hg1tUAPQOpQI2SlofYL92GY MVGfYQDl+KI66M8WzDKL3yl/R3VkRmmwXJudbyjtCu3RCyItQhkdbMUGazp+QD3VBBPjJtAVI1b0 M7zj/g5EEooZyS8OlvzqJl3JL1Hb4BEg9uuJkByC/ArVYL0k9SkovXum//Adqh0jTw4CZwxA3CWT vawfTFBnxhKTEkFkfxlk2lMoUgvtHwKbt7O9T1Pek6hQpOEBjB8zPAQg5ola74A3lUEJhxaTOIfz ZK+giutc7MTjwxVeBoxbHIX6k0YTdy38EJ4HZIjd5DX4NjzIeyk8RgJ2D34voriopbDybbtn7RUD clxKL1GLGKkFev2gtZ38fE8y666xlgePlNqYoBeIZzKP7EmCoSl7acoKls8ylu+y9xWXAx5ZF7xH rtuVyWXnSD2yWDPabtlepW1ZvgyovD38wK950xe3hjDsB5TdFfZEdhiWL6paNIisgqhrjXY9M/RL s09lqjI+Pi8vCWDVB7e2+gVS9p0E5b/DuVb3qvaInOlyx9o1wpx5EDd4MPWsy4mJOEhlAI+pr3/D 5zBdRVjXyycNmgTk1IWKSfTGlR0TGU5xnaD6SMTnOGsF3yJpLAPfBN33aCPUxRw1mQJ71XdO17e8 Mdsipzb06oMzTjlA0HTvjXgXX2a5tCUyT6nRDPDsmNaJYDQUsNjtI2z5lkMnqsPuBEZzHjh+1Ael vn0MYaa3FTmaGT14FmUAh+iyqmudbgDl2RpRWvFVx1KxVsSleOQK67/YU9F0GLOUzXXdc4ilXm3i D/4JSnm+jYG/ZetkjAEQkLvbd1rrshvbUSZbeOHL5cwpd3Uf/jOnlOvVR7RrhHpls2+2kNx8pcBe 4QW0AD+/jjB5j0QwtAbmcAUXMr8OeqJ2quiyE5y7e4AiR2wJmvi/NlBjf0fhUT+urduayCYtsB56 jH5UncYnGp2on8d2ERYaIiaYXRzhlYGFmx3iVWqmjlpX70pXZFcm/gYQg074+nKvdNeYaD7Q6oIh Skq+TXeeYVGOWzvoGxOP7Kckkjuq74WckuclFYfUSBJPAsmwu7fbmzrSXS+lvCKW7KUeEONKOOTC 485PfhohrYo0QUasluJWaUptfMjSN4Y8Vy5rp9yKgAf4r+lWcnfalePbKn36A3GaB/E8h53+SMZ0 AJ0x+soaDZOFmHeXIT8hKTUW0mDYs5krcgd/AFMIwNF3N93td86JzA1vpPdRz4YhnP1iEX+pQEvI CwKexZfxcLRqbE0OjJ0axloHJQ4KsLxi7MLp2xBKCWn5X3cbphJypdztvH/vOwIzswkMLTuF87iB BHoNMVzOe9lya7OxfWXN0TqDe+wgi65Jg/7iHuA+WhHRT903werlm60Jd3LR4YYxOegEvj8EKhpW hiv4mHhUnNLASXZXbm/CphOhWzNkVlGPn9qK5S/lS4fEOz6rFJ/a/foO6eKRD1lynIwjw5VpCqD2 0YAkMMfvxoPGJrHJAm3MgyfwCLEKeqim8QdQUI7IXlqrIYU5GdmEqz3Y0HBEuvhbfZZ3Bfvhq6M1 IRPp8+FpxGnLFVJ7BROTHX/rDhaQxi0mkKfFj/oP1vKHOS/S4+QWPtRjHm3jeqYZu8rWBkSRYvJO k1jo7a8fM9VMSEs5KM/Mt4DnA0utBTrGBEaUelS+UR3stzfGMqaKzbfRAoN5TUBsFQR1CE/+Uqxg ZBrQc4XIgp5n4lFG8z+jK1aLBhAxWGd7bZs7C2i8wfg2ur998tZ9Ee0aBPOkSqYEU0YO5byr3rfh wk65euCfFE6oQ5/lG88Fy6ozWah3U4xwBgm7+LOuEOGoUoqwRCQMeCadHvFkgtxFO684flgEEo4Q MA+sEyx6zEsyOpJa9GhY0QcpEXxGVTqCTeV8P4TuLGkAq2BGGU/59hg0WraCteK7sVf5kVigGThQ bGanTtNoLzfcp+fWUsJjR+Eayesi7KSr7dTSK0H0QsMFKPHQKecjYQpLNCrKRZWwAlG8K0IMjEYk dMVxdzt0Sd95WGRZvxumFtgpSEtjprmxLSLCTEi2tzTgOnmmfN7Cs+QvNqp3iAypU26NUGuCxH2S FqUOCh3sSESWJzh6o/vW8M/EpXzqAYCik1zCTyPkQIZrnT4cz4tuC6fh6mj0rW6DRq5FsO0xFzAK knwwFqmMAMveVbsfLb/+pFlyiEw+NxNK27tktnKnQOAO9OeBgqpoKvQmo9VIUTtm9jOvJVrcaotQ xnGrUnd+b5pS7cRfYhtqcPexKX/OIWhg2GF8ao7UbDNjg3O4SwzgrUbDgPkb1T/ABw4qN0i6YsP4 n26K6gMlAaca6fp64+A9jPb6+HI+zmY+h0HN/jB1do3QssKUbW/H5jPw4NcH49s1yqzulhoIAlNw xo0dvuK76yrJuSAH6hgMLJuwIr6UB3R8Z1jlURkrgN4Z/asu3aTiFA5yTAF4nQEkxXzbcLrZPZWX nLRPUXML8lW+0QGLWOYwtWKyfxSxhdKp+DZmASxpPqBDPwnonxbgwbZSWVDwBA8ReAxty0yh0WrB HMZO+E/1RUfqLo/4fY/6rf972oqgT+S4xD9hSpNGZno342sEj8q3HPoLwk6Y3kUuZKscUlCSBFo2 CkRhNyQnnw0YKxsQTqaDDmpifXDM5FcaA3z0zHm+WtNmzZXnZFDz4/WxOf9UhxQ2+v+ZbLTlgOxM vP8w/0rszWbiRRuNByv5skcN43/y4MsusUM6x2mv/WONwMN8VSGQkDq8+9p/yZ0xIOT6M4eHvIZ4 HauRHwXGZpLANDjudBaUwLzKn4Bzmlo1iZ7q3658bubVhqNZ/3TYumKohGIDOC9YX2TyEmVkUfJy C9uJbKbv6QGX2H3wO/2dk9mYwhrh6ahRCFVbHgCY4flg+1tUSjHPRZTUnN3dj5BrWzUkSHxO1M/T UCO9PiSZPclpU2T1TbcScm4WnVd7z+/Mq64yxcf+fVvE2qzD//fhicia8moXgblabRs2eFFZNUCz C/rr0c4sTH2Fn7kkQDK5/GOgGW1lduD0bxPe94yB9L63PWV78RwAxNWTv5iwr4HPQlGNtKB9df0+ B7Gz7vJcIKzAClKzkDxcZKZQzkIWs0fSdtwagmL1tMO7prxw2OzRADG2mfYn3c6SlwkRYqYRYzuw iTtxUvM2Ll36YXAMbZVtkwgcVQQHkpphDlfiehN2u6UEUiw9nWBIOmdYjnp8RLNWcMoy3cn38DRH rXvfaR/V0skk89IJPeOrXi722Tj+/ffTZvFqKERahieQQArMfFA1BUL7fa7RTD+AWHBg22tkH/9P l4fmHcM+vjdHxit5UyDDZ+ne86aLR5TQOJ8n7H4RXjAKI7Q2FT3hqh6lwqeHOR5I7jqPKUl+ifxk PVWxSiLqa7njtDv2TBLptzXMYR1mYq/Oi0B8uNRMO1vl+lrlCAknRpCvQoifxwoNWavF4YY+mZVK fjJOmsVOEfvcmApAmmd92xBLPf5AHOWe33XSzcsNdj6rifrtP+olFzHuby4tCzd4ichRvhrZSSPT O6NDS1mKYKllSkDXTqN3eVeQOsAme7xLHBFyUNMfZzNlnhial+i53tkVdF8Vqx5f0vTj1o/mlysY n3cepQ3AU8VxbRfmN1bgzINN1X4eVRNMgqy8PdGYi7A4nPb8YN/sh15WlJI6mfxrddONs9sOAykm GcgHZk2Qyh8vHmTxdYF5I9OFsy3z0qHzW8+ybJjDC6DpyxfUJ2ibOO5XmKIe5jcrThKGSPtXLrSM KBEDk2ahBdxWZBk882VT/mtHf9gG1tCT+qtYOXSZrlMWIrpUf23NmIYmGMGqzWggr0UdTopm1CSM 6AY0yBxyhV6/4MF1EHTHmudiwxOZ5JsHXiAUsddAHfDzrwkYgH/az/dQJSYYZ1JTMZjqsaGMkKsn d6fWiGUyER2UQq4Fa+EQl/prSEyzc+4DntOd48ZpyeLUrkANIKypkodSCAEa4yF7GbGWFC8tIuK1 TkYCd0Y6noOxCZYGm7ksbHHHtD3eT7HMoS/+B4plvSi2/+0oSL3KLUUqsqJJ97LBV49MLrrQ0mpk cSYI8JRFhwEjK1o6pfQqOehWlftvkVNnc2EUPpnGWQ5w2LJnUiQ3pScRF3IhTcsM+g9pKy5MqSit SLn5WcYxpnoqc40cAfoCdYexFtmB8jEH54cry7GU+QRfRn/l1BhRwJjiTcOthjV4L0hLcLIPgbE4 a8le96nlzGHbmfT+lnqY8axfMC/rFX4Rs07xFQ/NLVrJSyeWEthemFhRv0tTcE54uj46ByEsSj4E gAWMxu3pOJLc7FlxX1WfO7X4SaHT3LriaaXd7y27166WpLo1Wo8X/kNZnsoG+lkBr8bucwWjhgsQ hfI2EzbMsqPk56gRnSlrUlHLaN6/jJ4uPAKUy8+itLlNw8tB9tvJQjbYWMymR2n9WWZYUHD+2gF6 LTM8sGgBuyiap0xN1BVdpdQWaUYWSh5daUHuZVYrpzuedN+f/xzVKAFzU3t9bYcPuCWE4NpQwJ9X 8RFbUNNLRd/wZyXsQYfl5gW4xSGdzvYZb1E8EbU7xUD3HhaZVAvZpMZJTr2Ng1+8HPy5uAqZ5h/G iTALZphhMDiZ5A6x4Ie1Jm+RlRCGT+UdpUSpn57M4shlFCq25pZG0p8ZPWxyl2WjhdFPbn+4BtLx tCRCzHUcaBU54VpAColIJgeYRb822+IZMTjYT6KgiWBoHbHdrPEed9sBefQtDZ5rS9xBJo+kHCcr MCKCBY65qVuDtG/toAclQZzu0hrp7m0NTgyLpe7UaIGS+0MNMqyrm0SAsr47edhuwG5Gq4LVpHGS ymLGS8BhR7IE5GeDwuBt5DdN1oEP291NUSJG+KNJwDdeNREenBsSDCVHwPRV6Y+M+hgD2b5+X/SD cVVHY1FixZE9macDlROKRvsqiEqvfJ5nEr6CfDlnLSTr9ZXI/INzigtyHNUFkVZGVb7xUlLSN1ly HoFUIT6Xdy8gm5kS/xXVnsE6M/QfujJf85EfSu5/PVg7HG+ARS6mb/Q44FyHrzQtS9Ud8ou09ees cAZLk8fD6ExOAnJYwjUvAdWMHiCBw3hUgN0wTRrWQFQWypuNWF2heT2SpFIMqpASPnrni5mlNr6c MMqQRPoQl1o9dehh9uPv5L9WukQYlBMjVpRY5/+aKrP6SWH3qOHxSoTYyEE0VfbxnsBNkkNdV4Oy zklKev7LxdfJyUhvBawF0AjDRW19t38TfsMrPwZvzUGST2MqFgchGOVrP/+YW5z6+MmPUfeS63g7 CjB77Q3xmyCjqkRfw4q4P5VfrkXebprv/zg9KvBU2nve4KK2GXfTpjyx1elAsKufOsFYWPQ6v559 4cLW1fJ8QWatr2P/olPdl0X01ppdDbaT19LUH+woVjYGBfj+lOXXJ2LL7F8NEAwgz+R19XKbt9ss QsqPUVjUn2uaWA4UqVnQKlU7tlqqzgSV/qTkfnDIgmDniIaali2jx8oqykXRc1QV15VgdbLDVRTg 7MKFAM2CL6eF7F+E4Bj6NKd/GwBpGFOsgA7fLl59FCLT+s957jYbvx9OGaf+sq6rIjpmFD2VIWJe 3m/7VQWlgfkRRtAjVHuJB13bRUzGd1JNrzkBrrCrzo8jAnufP1u2O2LJVZY11Wm29XKYhlB8ss80 H4jviq75EiG6ohBILXOyWfOm6qO4YhSRIaNN4WrT5B+uuwgnqp2j5NARZwYES3y9ii/bVaQYBr+8 qMrh7LHBClXXJhUDpORT9mTPggfIJJa388NnlG4I19F0ou/ykhrPLcC0KSuu90B3+ddp/hV7tY2n dHZ877rYSKGbfiz572jY3BWAqg21NNASxG/k/3iiTC96/3iueebwKTRglmjaJleZSvZVH26JPU9x Xxow8Vzr92RYDIjM1Cxxf0FUE8kD4/fPBUQhUZi7CUe7ylCPEipuueXcYUFvp6vOdlX19RGJxcnK AJ3xPpnWW7BVWOmFiR9sNU46J70oSNksslVKKzLz8bmRakCWIPVJZAEHJULXxgqyElbD1PjKzEpF INFPs/nWPckn1Rx6HKrct+jso2a5U7oin0bb2H2yPBj3xJ+eoIvoArOfiHHfCuW6+SS0QC2r7fuF a7vClTZvnMuHs1sQeWrKfufiLdMcwz8wkHH8C+hr4/Eze9KdY9h3osdCIJRt2JN6AXRpo9NuAZ5+ hML4K5Dra3PkTHuLvYtqGY/88Ex60UPdn/dhFe11Wt9fd03vU0M3n+e93qQyUnbxJ7yKHP52bT1m bneKA15WG7H9NcShxpkwI1xmFsvo31KAOSJri6u2PkTyP9B9pdius3ekeMp7YcuwrNtqjzoboNX4 NNihHvcW0eLrj16YwPZCn/f3CqaSYPAK2TB5iqfSqesKtHWc9iED5vaByIVPhbk+Yjjc/+ufq/BH ZDBqZ0y6OeG9gKrYjGQX/RL4NBLvroN6F8vYkeVEqrxRftotvpQ67DmP8SSd+Lj6SduFoXbX7y0V rm6unBvFqKSwSlVoZHnjJJvZkJspg7VONaaSHTlztOImhdUB4O7mAv60gltL611mZ3KXqPZOS3+O 8/eNjoXmIyWyaYxoI0kC/CKad1WFC1p/HT4awSIwOY+P9BM/H0uFaA62xT1lrBNBz+ZjPazvKqGC KB3AOvAaGypzgf7/tKH6cPUiSkEO+4ZiF+HHu0N+/5S/UC/ViaVvqEk8wL49a+b33a4kih+5x+nl kYUcLw+HCM7nP4RJiv74UwazJvtTUdyKzMyflLZkcteGO/OmKMNvXPjJk9nW/Z8t5FES493DkRgO 9AFu7gXPaAkoJrcqvBxLQ28fCcPhE3EsneHNDKJXgbqho/s1Fz7RuRNDi4OJRvY9ZjmXOW9KRLwo q5Uck1ASjgvx/gb5U02Xn9h6usyJSArYG57udkoQuaWrhIFGqSKSN+zcx7OrX6F+WvdO6ooKGagE thv8DIMuTMKdMLnnEQL+JI3YqlLfEujb1ikUXBNh7mL9MGFM56KZshS8KFDEuIGP+nTYZrra5wP0 5XRgQyD2IgOMeavOvjsDRHV0pNf/YD5Qvu1FAp/MFCvXW5N5khCvQBzWAT+OKc0QqStpTK6m6GqF 7Qz9ANk6PlLg0FNRERTPZyooRDLZ5q59LaJqnJmcpL3N1qHjdRrq9PK5ra8U0c2juR7Fjf7KgSV5 fYzr/md20r/U8GytI7C6DBn88Z5GDLWtQ1ucNsHP3ToSXc9vI0BV+GaRX9CII7TAGkIBpt5KL8Bg hzGliOvzRs0itUmw0McbjMGP3AV1gP3+TAI4dKRfualkmFauSWuz7ijs8upYG82tHpFkz4Uf9E2w enVL01bcuwkQuy/uWtltotn8tI29IAxw/xebkUbH6ZkQjYRTbgqoIDvCWHHjIQ8HtLIDeoB5yTSD mjYJQq6Ieg7CRcnHZaaDjXrG+Vgw4rHazDCfaE/nx/GW8YaV+I+u/enwxT6zV8tdSyDzZ5dDsy9c bKc5SFRGeoqHPCoX11BDnsNg5aBBdDuLp8Wi6PXvm92phEXvwaXnaZY/yIUfxAFOBXd47i9bu4os mU4Hy6Jg382IYbDalmPvZ4v6ZuxfCB4lSsX83dtJ51QkoaJfPu/B/p0qoguqdRovb1eG7b7Kb6Pl Ocac/qXlfPWG+IYE65nfVn6tQ1/DtTY+idBALu7u4yaAH1Ln5B3HyRsW5TIp8T3IJrIL6HAYEb80 32c6ef3Tyr3+/67dDC9qENr2Kz/uGDZS6kPSs7QPkwRZzE25jVvuxm1AA7AUTEPJ2yYL1RRGUgCB OZFEVAmM49ENUL5mokXDRZeVHct1ASXkhFrlxbL9wnpX3cJm+ZOMHZwAZGYFu+5ZeR7K6fOqFVs8 /B//iMaDDFHXRZJowjgjyrbEEdN4kxCbnWNNpLAvD2rGf5ICF6VMgDBY+2lTfScQExIFRR3Uyrdp WpwwqlPnWPfTPFtanWcac7evZYbcVbw1XZ6sfkwxAvClWlDaR4mN7L8nCKqL6eIuhOI1lfGRaXEp 0fwSlr5GNDBaMt7OKZ31Xcd0AS9G1mp2YnILFLV5JDi/eU6lFdfpn8RYaYb46qrPnyZ0kAX9+4TH /MVdyDy0Egpzd5fzQEYRLKEElsgRmyMxwBwOar06qRDnTMbTnwXv/ZshSOcREw47dSuEDNHz3e3h leqOR3SQBwEfsiFcnKG9LUAgm0Dyl41FnYsYUUanZ+nLd952YmeV/ovAO3oFYATQxswSob64Zl+5 qcHZO/gDbd9+K7p0cA2ppsQPSQwKsuYp+uOki1wtHsDtTy8Feq+Yg4R0HKekNjmULSqImC5idzJN W1xN+KGfD2qgyCI3iVefd0A1U4LJrn3SdbttiUqLsJ+XBPvPujBXFGuWMlkelEjOVFmmcEgyOr23 IDEEEJjQM0cnZ6WDCVDjN0ouB05GBq4iMMYFe3e2GzkL/hH53p1eSDBCOyp7JE/qndsGrKRnSYcX leDODwiXFC8cpdZZWbx1koeZ39vgt54rVS2D72oBwSOWjwUAcML4IyI3wTYkxXC7sKQi3G1pZxjw OptY8kNCFXp3ObK81d7MEDxCx7EgHyBEXHkou6/himXiGU/rIwPEhyRMQlmjswqTrlQIUGWByj/S c3IgUgwfQRnJPjQJbT2FBqnLb4Sj15cM982/XNihnKbO4Uh2jc4ZdThElr73qNqB3K12jO9ASLZD E5N/kfOWPVf5XtZ+27d58WdDNYoD2BJRvjnKUjYENIZl293mVOC5AS46qQ71gztm1TT8BBuoxJr/ icHIHKmyG5yozyo4PW8gy66kBgnxp5LGVgc41mnvbzsss25YYUD5HiaX5difXuLdBzaSnTeJxSdk 4KHRVJfKTM45hg90QiK3aheWdN+u+SeQbrzD3IdzKh2APdMrbdNA6KBL4NB6qxvTtkHa+cxUhqK8 v/dxp2bJJMVhV08Zu3X2kYNodw7BWlkwykMTXJJbBBMIlED5LJOe12+lM70YvJoAmIOrZg9MgQ2L rn1as6IC44brdJDrsblB6wwmEdlapd/7rEGr8laefFU/1nsufuNM4C7XT/kJ2pmNssqHIo43MN4q 0O5znmhoPpWwIEHVEsIy4MI5my/qulDA1Cp5/wFbo53y/aO/ah77dAeuF+Upo8TJs548zEG7FIx7 m2kb/inbSiOovE2Jsk1fiPB9NhZz20a7+Z57eYYCjxS5QREiJuaGWPjFQuL29J1RvfNFs3M+nGrd A+M40blI+vtjMkw6RKvuvOMoHcG4fhONFDa/Eg5h87UbJG1WXpK0Q3BE88wCqhqwG4jtNMp+IOeN MuV4V30N9qVt7MxE+CsX0kNac1FyZZksGy7JqhJh+5YebAFkGuyudfESGq+vDn/z0VABklVXRXsD vhU68A5X5heNw3mpeoSQDL9sG8QxQneQ67d6riFM4r+CQUnLuaa7dkv5Fz7SWq4QpWf/ZOZmn+U7 sQ0Cdi6PZmDK8PZNakSkOmua73IeZ6KFI6R1aQkCjs/ge3UY9PB5X5d8demuWZ94ZxRJo10azKWW PAL8Mx3nt+iNDofk8Nx8ibDJxBZTYBLOnFjGDzQNoyqeWmKhnwA8mYODeQUjg7LqQHVm2SGgm7XN KjkvSHb2n/eei6o4zWfqeG5FcHjAe4SsBnkiraMJazVfjLgX60HOMBLSNbGs7/vPQnK+jvt8u60Z zXSqaL84OtV7UKVdVtL9BtZk5LSbHGeEzZccI4nw4S2SUc3B6V8e4tdQNqXr2u2A8/wYgpq9ZfKh JaQModrreiG/R1UeNIf5unOgXI3Nr9GoyEq0qUuMDKnBN6HUrIJCwkMQQIhA0x70497JxpRTfiMA ZAPGuPiZyD8cu5rlPN+iqApHjmE4a5+ohbpIZ3dObT/01srCN2lox1DYKZQG6KA6KTiu1jhM0WXs kDfh5vPPHElcPNhvLjN36U1RT9IibMl1dV3Cj0odynZLDGKE3nZ/Q5RXC7FXHhgvpqTAdezlpas7 xlniGoWrDhD4C5lIClAQcQoX2qk8UNxGFaCdIFdIZ4r2iQf3Nwf/xuFxq4yNEZPtcjnyBmy+hsX3 fpnL8UYBj9nTG57PFEiRYAk4gnBx9qEA15AgtfEM19IEJlyEkhAz9BonjEGhlZQO8w8sI7VIGKoh kRQ8q7/dCVCOIo/h9y+Kw79v3Ajbrm8hYiK1dm+P04+b9LIg6q2rEpO+ql9xdSxUxtemPXzvJdoa DhGtsoaul1ZswufKK05ZImIxFLV9/nkBbaUjCul/r+T7Wti58uWTvL+ttOaBnTq06Zy1s1/xHyWm /ZsKCNmvj2TrPZ47wKcNmUEyjb/JMB9vqxdXhGfrhO7kjhJxSaIMJCS2GMr928mX5LS9eUEAU0Zl EXTontz1UwVrnVvOcaFLMXvE1tg40t9DXDBd61Cb7XDgWoOLo2wpNyZ/9MbDSC1MQrzczP+Oeeis Bliji1xrVC32jKJfKWg049+ApeJApOxhEfICraEje17I0qHf5znuxRbn7Dm9M7nKciNH1WgSUlOs SkT5Cobv6O6dF8wzDfjY2zA5C5MylSnFjKTHkiBBC5tPr6b2IWVpsC2oKs+mD0HeBr+Wk3ffBEbX 6TkGOJwXvg1IoDmYFX3/tkW7ARPP47a6U4N4uBob56LJ5NKUsL/+T4FAh8cD34EreqlvU6TG78AR KdC5uvoNjxeMh0Iv9nsdExBtXTcGhzJpcN3plr4iQZwBqBuKjBeHhjy67yvVtHxJpyAOIR3I9KAb xC9aCqSJ7HxIS7U+VRHy/Agp7xI3hmz4q3/vd+XIr3eDwAWPgmZKfP4JFn8ZwtzNeDQaC6k+ABaL phTrgD41EwhyAqA8ieQwMCCKd4ABmXRFpg6aav1chrKcpwMIzWWB4Dom/vSFD+8WOGWJqMk8lQxP yf6Dyd164HbtPFshU8VfYHMVbsEEEKtN6xIwHWje8e9MsVru5sP76MFG0i9poOa71zfVhMfHgLmH NsOsrCv7yRw6q/ZeKmlTfsI4yofllj4wKKEk+ZAvBxkYbQ4YZKe3ZNaFfyZrAmXWhEsXc1Crn7JF x7FyxSpiXtILGOzH/sAYrsvO8p0lyG/oWTLpHG7aHz+GarHOWT/cqvSK0o0C4MiA5CXe37tzJyuD F+NhF28pIYq7uz8oEpJL4KshDIu+65AJSMc5gfJYieP8PBQjBzMFPfEbKwg7Mkv8SteS1wS9wnOM VOy09I5zhWEmTkDiZZGMLAxaWUUSkk6AOudNuJaQ6ADBLj0Nv2nMUAghF32ZrYjJx7X8DvpyLExM NyUdZdFN/sIS+nYsWL4BrmYT+Ykh8Pg+/dVqIO+ebyQpYqkJXDx1W/KvByksfDgLOOG7cbY+z8HT ZZZxBzAdLt8XjIzV2bHeQUxTyEY9EFUNE61Bd6M2W8IedPVS+/b4ByazgjGiCP5gSWoB/e5YGqgX QcWVgDne+pTfbWl8YBFrQPUfSwxfQ4gNRLNh9RsxxpbTwgw2pmGzbLLMGVqO3Xu5lZHrypVf1tgc /PoJBjNEy3AL8mAF8n/cEZIukHjtICvKgGhWNZxLoQ107XqgUCxRL6b4cMC1Rn8Du0LvQmKdF9cm UteKwOGZkumYo/OuhNX0O5yY266ivC74Jjgl2C/FSN6Z5JjdDK2ly7YwGHQaSou2d69jwR+CuVcG HFpT+b48DOZdYDqA9pGARss+xskjRWr0U/wF8TZA8N9ekpudGz0qDIPm3BIHCDVi37lhniXORU+P VPSup8J8DNLbqHG7US+ym+E3DmMVwsI+x/y/d/8yY43mAPeV1hAMddQAE0UMDf5d8Dqn55vTAHQ5 ykKgaOjeLeqq/eeY4uTa03hnsSHcNN+OJxxAVz7qlJhHkO6fUlTHk2riPr2UUlBtsjK+roj5NHOh HUXSh4hgzBENRtwInfF1hwn2d9SULjQApqX9y61BNHvWT3OuGPkMZOd/BYAQ4dKEU7SogknfgvBB O3tjMX1v7s/hS/gRbsyvbnXG3VjN+vkF8GadUHC5gUiOM+JLbiHy889ij1aEHgTyYmwaMPHIf/5N +2tKEY+Wanmq5GJmeMPR9YPsv/DOGAmOI3ALr3NBct4ME7hwfw6W2MIrqWP39sIVQJ6py9EyZ2NM yGsugBmXoy/Ilq43DMk/jJUKH8I9v2IxloKhS13a5VjNPjLyPuqrSDT5MJDwtz2PunfuV8Npuo6C EA06CLh8VxSynFqpQQ/qNCIwUfsk39cqIIqNuuLrqoG0zfSE/J6JwJjHMdpH/MyEkkMDtnEYTXvn Ah+lYFtz3tND/xWG008II+Kj5/9XJZaUdbzGV0UpM2ESlUbR4B35b0G+0ToZIq1lgVY4asCkgXwW SbdBfF2FO6yGzKMzHhvOp4pBGZZ8zfKP75NUUxzwPkyit+g+2LJSzR1iqVqbk/2Ndc07buc63BoF sMc+PIAJDV5kFQCDBaocwo2AQslZu6xA6z6u655b8wJiiLCD/d7Iji2zsuiXma8iYjYjJOw+59hB peyY0Ikn8pmPhEFVLPoN/pr7FIgxyAnqkBGwAE/yZpa0E1yuvrV8ejMpRpfYNaYIDvIKLvrYMdUQ LNK4x+ZMjYfExF1aZJ8fxwPd3HiUt96gcAbyjoxqcts22qtjbpTKrMy7UJwXR2Z2oj3qX0wD/Wnx MCZ+Ix67Q+IJC5h+qnJ+e+Rp3YP327PPmGe3EJA5Fzr0nmUJlAUiBTOPCRf9stvpwrZqxZE3Qgaq jzPNe3sNMAmd5d/tv8q5yvlJhI5LHOLLYPdIfxmQOeD8jPOn6ms7UOKG1cxGe4j35Y7b8ekaGbuA I0Y6CJzitfy6n+yGBeyIsfv9ovlk8JgQoYl3SuZw/3uMIWTFWPyXP5gCTECL9AzECbOrhEzODjPx Wu+0xnwNCQrfiIKkKTyCu/KzhsZrwsNPoM8MU8ltW2sPwLp4WYOiYS0/KGebVQ64q4P116Wh8J7p njIWEY8MtoN8N3UqEt1zAIh8Z9VYD/3m6ilIdklRj0RCpldeCgsWLqpAXbNHeFt+EXRTDHhqoOZP To8avHLOgULm4haYYgZOK0lNXErwV4E1EOAqD5t4h7bvOhXwCXF5UPtmABP5QW8KadI6yOmIp0SY cVrYG3iDpVkfa2u/abYVbcW55R7u2TKLyu45WgL8p56hmoEGsGAz8qGnn/LstkOKRiiqh1PuOnxZ WUN3kEy7BHHXDzNJM3PKrmNNVD5LiDag0eh3QfOIGvKSN0LBRZmnshoqalBH+OQoCk5hz9VtK5an LoUBGeWL8dus4ndEEIgt0oMQFosXr7Yzz/rlo+xoV911uTPgvPFnjQ+HNJMYC6hdv/SAqrxAuOFD 9N7rJP4/tmBysOJ0la8Lvcdq5GTrZcLtXhd2E6B1+GtrLLbjA2yuU4fz/0QIXIuGe5Fqzd+sPnHw dvMUXsnBAjfCrYpg/WCNM/SW6aU5NFjpcA0HX5YJDiaq5u9IVuOaUdlv1I4FkQHyJOkwXVz4+zGm H9QRdVLfZUzgpetSPYp1qQgex9hsK67v6EZsO8KHOOu8RuYD2hJ8uvbKT36pG7/4KIv1T7ecgm92 J9AALTVgfc4JAzbw9tMpH465CKadCtD3QSdEsn2WH2+45YtF3obleslD2K6BL4Nub61XnrjWbUYh OzGi//50aPoHEe7+oeCGVNxiRzd7mb50u1Nq7QcxJxMmHCBBUu3IVW8KqDjwphsuH6kLXLojIKaQ Ih5LHbzP2Bl0Ec302zM3/0BBeK/0YR81THM5UXbi6OqPAp5CdOG3BbijJUsk1EvlXAtkxMzOFkz/ T/6R1tANOsKjruycxvLP/kxaBkOVCo0ClHWa4Na/gJrxLwByI3C8cPPK7qL0Owpq0ppgfirtvK/t Rf9RVL4cCuHzSaReayIct8OppxHu9WyTvZH7xID8+QGkODRYU8AvXRDSddtJOznmmPt5vWnS9VZf KsL5SJZQ+G8Nxn554lHadWd3A5Gh+9tKB5ZkrSypH0egize3ui/7eT9SXA+vKgAh/90Seggb+PBb QrSNc4rwQF4Gg2pNSL01qwBJPPgfaQVFfDW740lG9Oxbc6Q03HACh5JmL0MX+J72zKJjI8u/4ZPn py/7tZzbhhVTybMagcVUw81dl/oOrxG6GS3cHyiGAa9/4hD+GTCp3DhBofqeb0RVgXCpGoe+IXbK evCHOr6b3HPtKm86jJeFutEH3oNf1sTAh+ppaOrusToL1z2+wrDGYK4/6VL+68CqSqycsAkXGGgP jqJreWVRL5oqNFfQi8cOy+ZqY4P1Ft/mqwhY+qyPGVEfIwJmOYzB02Lh4tnyP1BnyBExBRz/Owzh OPEGbQ8MsMut2RvbPT6IYBkmoclvcm67WNvwzSIxh7v8rfFbxNOI+BfEpy69056dG4klTHnb8JZ3 SskIJcCwA4Qk2otQq9skBDR0Mi3oN3V6O/kg39r2MDKd9nketqblI3onuKfy9boChYTIJFmNBLBL BgPROuVqqyW6Wj5jZupsKpYMgibZ4jNMtQ1V9nIPuwGduuk7Rj4rrTKjv5pNWQedqpZZ6nttMdZP kngF37xgsvzk/bWkBwcLkoTVtWy/V8Vm+G16HYiW3zS8Q02Vj/oZV1dQWLTSdc0wqNGPh8K2EHs/ A9ccyPXjnnAU57EmtG3Htfdq0PSy5bWCSfVlPhKsCYV6x1EaHYqk/zodoOJTBvLetRUjuC5iC8Gz hahxMpUFXLkOpeUjnBw0p3j3+TYTM7NIAWFtT0Wdx1M48b/ER8FvIHKU/oP2jl+javpUjoQOY4MT 3qqN4q1QZ1ewZ9X4C9FNPj3/uZMTvQHQ/kmElNnX3+gkc6DbDT3CqSX/4Xk5WhxpI+xPRJAqUD4P rIh2WqqlX9sd6giSYkLJxdXppbo3HRLgLB7IRDNFl2H6Gn4UYhqfqyvYPyK1SFHVhegoWIhA9Esy wNC9w2es0LTKBx67dVgHJpfqJWTNQjiIa662Fwa/sXWQVOzblOQVxmplHH+MM2JIqCRaEaou2gH2 xK8XvmteI/SvnN5zg4E2xb34FCsNWuJDFVHd0SOck8vdxUBwZ/KGSOwMfXPXKDOfIXX0mek6yxWk JjKn8/KCr0R3+EU2O8QM3A/oievv6TzKZfuukecqJ3F1QOIodBqMYsojN2DZBFNd+HoTwhEj02Ni Cop/lu40EtlZG7abfUkRnYzxBGpC8dNsQfP1CuFnsniYJRSfyEbGED9IF7QjPnCveJn/x2BRQO51 CWEtg8kshKtfSWfLyoKN+ZxzXWazvxHmvdEUECzbuV7Wn1ZsKj2qPkeSIeipKX0MkiQMVuCJmiFS OINwZZUX1N3FVsCIX0YfMzlk5paiHzuNX+stVXIRLTd14Ss9YcOaTZcuhdHleKz7WNlbba9E5UtP CAlnHjqZ+PcvgG7uJkG8hbEIXOQefmpufF7+z7c8A9mD+axA6mwEv8BVEyI37uAygvRkYKF8aKAF BR9jZqAB0bRuP6WD5Fi0/6MtR6/2Rv8flkiQwYf0bEBxMWuatXZJRBvVLdJw4Pid93VEVMPHfgyf Iv5bi6fuKyzP2cMruVPlJXITtczg2VcJqiUQ3DIWM1GgQLr5pwDqLlfQY6wPEPtytg7Q8eXEWfpc EvqSJGlwu+9Oiw1qSBpz2xKxUoORdwTiGbsB0HeF1rDzwE74toRs+WdDc1RwC8RNewipVcSHdbhL UMYRM54QC4AARPDQKYg65vRpyPbbccvnZBdv87ZYdv/tvKH+DO3ckDr0XPe9Q4X2+g9NUZA65vZH qVHdlD9ZzCxrqFbiLO3bhIY+Mzuj9MpU/uYwvLQmsfVNz9BiIT/J3EBbR6FpZ/eJerUEUIMQGg2s /zvi4/gTIgVkcPLIGYsKcf28zw3IQCBaVP468ByTDhK1EZhqu2MVH5C+JXKQNhuwgAZt+nfQ7lVI qoQv4VYkhPoZOFf6iJJiq5s9e0SIo8IvfFvJdM9pdRAL/imO3042VPa2f2dGKg3uQDwHjr0ZztHa hxLoSdPBBQmB94BGdBdjBVxQ0Nq/UGsP7TU62YBuPFaQ+IzdvHb6MzGrwBiXNPjVAjgN2RGoxJKS tYfjM3LCeDSyNRZyauLFVLF9qgiCvExjRUo/3gPE9La3KyvlEUkEBzvA2KHePtIlOypdtLPtCmBb qHmfnSA7pXJJOJxKypWFSxB8ui5oQv774wgo1moHYtbZt3o57dUZtXXkg8gXKdWZY5d75OWs60BC 5+K6vHD59qsdkgeiShYmvFe4MXT0L221hC/y7bjahQffgjuMmMA1z+ielmMFJZSneyGONlSqUQnD oDWF3B8QyebfLNCq6Eq9GwQDgBtCeeyLBHhXmJ/0y0LaRyFnn4jnNtwa1lA1m9HwfupK22JqlWem YRzSN1M3R/gneDNwxt1dkItD7skV/CVoE+LjnBCX+Z/V0Odhbyx9mMWlgk6RUgCSuZFkbT1qzJrw r1jVX3i5U04itrV1sgiHNt6Of+Ge7XGqGtLifQbYKOX4UGcPYORczdziMDY0JVynnhBbZieq3FYq OMBOsGkIwiP3Orj7EyU3u6KcLe0Dna05DHreHMxqOaJGdC3XXB42sVxCRncsDXAZaz+GAc1yS7n6 M8aOU/vMqiVIG0OpJATE0FsYrrx1CWSEk1IRx6GVQczeRJjDSGvkBDDepJdNz74JtWLhfZOz+Rld 8ROWcGTnnbBgYNO+DGuilAKi51CS6OptrvQ3MLVgXUP6/RzLMkGrdwKqLDuyx75wzMaTOs0iTSrv JbdnJOy7YoZuxmjsCYHkiwE3kzUi37cM7L0BleeSI2muMWQvnyleDLufmDftnd2epYxmLMFYmPvE C9YMw14FsQg5BRuMPEZnriDlGA1FnAZYh63pkqGYemJmng2SUSaAbI7cVRwvAZpYUROnPW4dWMGi M9hVAphiEDt1/BluSdr1UAu9+O5ZXfaBytFVvQN1WKknA3zEh6V6iDElBvOEK5N8sXuqxFqPYG+8 Vu1I9uigTBkjZ168z7YPzNFciPksmrjtAiPDCr3G+Y0QyOxNaarESwz+6188h6PCWgLFn5B3Nn5p 3na0C+IQs5MQpfCU/nmflz5+FdrVrKW6TTTr5PZ4h6+3nlbrdVW7vQlWMn4XW0AhTx+rK384/dVs VycZspzr3CPQutYLCsK3Ie00sn98AaIqK0WU2PN7To4cJtqkiyVQTZWLx4ATwWYvQT3iCsEj9gqY oyZxnE2lAJL9uH00tIdOYLhkpJL7rHAh8I1ARqrlgP+DbA6a7CxBxjLKsibtfQeaHFuhcivPRMj4 ndWZq23oUCKS+2SzIQUUErIU/qAz64dg/afuZ5e2Nx0HvkkKaPPJ+iE/MKkWR9dgMZHjPTXAdOCF JDW6AdawlKORI5TZPWrQPm4dPexR3qzW3axbSP5jCLaNl+fhzfR0tJW09u9JH4HZsNqilGxy+BvD rbImg5RT2jzowFAiYUVaJOdHCEiWIRqNhvpayJuBFcldhUr4OM+CvefMPUHihF+r1dKxh6Ptxu7N H7D61ThFSeyIqAWtGtkm4G0aeKeyOw0piUv3F75t9wAg1eLI4hqutQ3nnbsOkpGt5tGwCn10ANDE n8O7WITgQow+90MkHyJYV+EA0YZvptxQXho7g3YXgTXeyF512ML/nRUZQyBA2+Ww+wKbCbgILLQ5 z7HJQ3T/Tf6Ber/WfMJHsSq5fs+wR07w4r1/gSfbiYcAUTVqH38mTFxec7a26Ghm+T07zVRpH24m IS+JWRPuXvcMOSQHCxh74Q1ElAn6INvlOJ9fku/x4oL/Yk6IqtKlt2Rpz6duDJWg+wsIbpv+tqmX /QmWMKmQobXpJHpNjhiz4Ufwejoi0Pgwxd+hJtUEUVErJAnMPk36aon9Qdb1bmFYsGUEYeVfcENn Tk5Ts6oiryMgN0rWnoIAGvswXVJkXxwz/fSCPtacndC7PV8W8rhAFrONm9M9kG1aVNTHyytEfFWk y2AHcD8ySwQnHUtu7EVfZfSOGuGCQ5yT1of/uyg96P3qkzS2qSTpCNDrI8tXtTw+OmyiWm/ey822 BQoODBqsRFYo7PAdxp79ZmkVCY6sW0sF0EhLU2DrCRGAyxlX2fNPmcvYgri9V4/XG/nNN9GBtE/w SgOo+FMi6ywrgWedUH27BYn21uExmzPajlvd+MBTade7f2hhxLURMMDqqiuCNgHwHMlMIN2D5yDA rrK3reKrE4CCuChJ+f4m7GwtTWYCvyyWhZ4QeTtLW5ROjkxnoLe0nbwz8z/DIq6gtVfVAFCJjhfd 1Kw+2+y1hinq527GPMb+Ft/EzyU7nDXRRJD6imdnK1rU8mnBWt99Uaa7dh7Y7NENLTEqPyeuQZUn HtcisoycnhbvfHm65PluRB325rtZMZL48bh6o1ZsBzkHm2BM2YcFihqgvzQamuIILtsiZbDrttlD filNzZ/5jd+aoBjMSLe1ZgE3f8cPIIpgNSnx1EoqDlKsHj0QqCn7JKJfnQ1+58OIP1lumbXkLzji gzYbkc0rGxyscUlgUidQGajnxZrMGHUVZNeUi53Iwp1OonsAwh92dkoaCaqhlqc9aOFEoR4jBhU5 A4pSpBDy+RRIuI9qN2K5qGo+A6rbBxAlfND+mgN0KsLvPOIKrF4gDgBailU+up2adG5ibGCQOzU1 SYkKbhAMQBj4dP4ZteoFXh1Sr9uemrXL2HrlpB67lkrU6PgO2nJEMQuy9OJrmQGG6fIuffaTpq2V MKC/tvYymP70H6xqKd8692iXlaRn/e/tfydUEuF3/HUPAu4CG0p+Yhp0yJXKF1qqnMWBwWuSW3Fo MaBDBcYFHDlI/D0rQGCq/5IiSD08r7dolmMqO4O1/WHrrmyKsBeUo2kdxZCCR5Eq0wgjotK5ZHF0 NI722gyLUAg36mj4qeNI7nDznd+jkn7LJEnLC/xqgN6M97ro9z6x8akkVNeNsMK652d/rwJ0o0EU Bq2wS/bnLWPAvpqM5af664U9Tc31CwdY9/ziM/GlOZnrgN4D1mQGRG+2y7i8b9bn2LYgz3r88ijE 8P1fq2nqd/eFxzibS1wiD8HEXqC/SB8oYMrIhE9N+stbO4FqcpeDUabbLCAaz69bh2G2ocssxHbA airHUKRKpR3uofuh0KMd3GlIiPIuzfSCxkLMNumAPa6AdiXwVB7OkjwDeGvLz5ytoM1bEdsoKBdC WgHXX0PGhMpMPMuoI4mN8iLniiprNrBEwWFrJGth0NB6GC6rAx5nQCbNjAusdqGpfFC0f+gs+REX zLOT4otIvgEirqxd9P+BCU4zclb7yGXn7WzSgMOOfqexuAWj2wrCkyKWFq39a4CrsKPriS4jHJz1 /jgeYz/7d3YBvwRWpbzsDAxXh6ESp5C8W24QvaE+Z67jZgxBVzzXgKFDtZ69o2B6K++PBNAWp4Zw vDjPyUiwukPlDI737KWXJFcILTssyE8faP5F8T+72ujJrfS7o/N84IgNucfoRQ22Dw78XBnsIur/ fzfERzyul+RmECcvSiPy5gwR0IjpGaYPW8K+740zGX4yBhOckstIQjdJle/JYvdu6h5h7x4ki1ZU DxiDzTKjKBOAd9ztVWqLAeWis3S2GMeC7mGcjWTc6qyI9HpMHK3Aak445tN/P/dU9Q1g+dJ7chfA pGeazX2qn/APye2+g2CTEBjT1hvloaBtCT46TResTKglpPFkE4VMAfO1CGNDyEBN7CHUQlSHIqcn hoFnUPicIbJfzXYNdH794LRQpzgLIwEFEC79XaiKlp3HSDRYTciEkxxcXUkTpyMKPMpB8RD5CA8t sRGlkIhuyJwrlSL4m9GVazCmhHRWgwz079OwungtpxCZz8YQgZlizkMFmFQ678ntAsbQKBp22qqg Q0CE8wpeaXjjV2uvmUZJhP/AcivgOXhsf8WFJwLZv36I4s5v0cy3GP7azxLSkL/XM4kddOfsj2Ss 357tT4ansopjh8cs4Yz0rvMWekMlAx/4dp3hsKo50feIPtRjuEtru+jUKOboxLKz6TJOrcRVmGHx kSeO0K3TXJ9IUWlJ0uTNTU2JsIy5EfITy2qJE8w5f/IZEhC0mkdhXDYRzJAEnc2E5mjScEmw6DjL 0eaGEzJk44H1OU3wLbrLcENqbE98z5bn1x+QPnRndwqAgw44Jdk/bm28XltD9rYWh+0THQYYXOzm m3sQxL3ntclRq5H9L7MP7tQDr3pCGKIJobJw7NquKlDqhOoh94hSFvJxJJGFuLoZp0R9mcy1jq5N 3SfarFZjITz+9uWYMGf2nKBzjK6pBkc7odocBZZf/TyWB+7Nr6vsHjqxC4lIEU0Y+PC2sM04OK82 fjj9rWI1hHdGlXBTu/wFMyhzcDQ+DPLrc5bnLlRBzradVTLJx+IKcmlAq1NSorNhlSTzpxpousbf +QLoRQ1IdWDJYt2MGMSXjZIBnrLtL48C3YzKUTKapGSMBV2M+JsBQJ4S7LJJ261MAYjkKLDtUNdk psjG6Y2PmW3yfjK8xXm+5D9cz0qdEaVelARuZWzSB8WVzTdTBuL0bZNnQVP0GRBluV73uUOkySLE zy5u05pq/eGqY/VbJD4uTuL5jiideYlF6vzuMq3pYmY2tAzo1zoPaGyCxulMYc0ROuPd0dDGlS7B rTOs4jgzAa/2uzJABb1PkPTb+ttaLCOe3hWGpEoyCu+dA37zyh+f6dp5xZz8mDHTCeHYLwgDiaVB ZrK6Lp575cxaCbGA1x2X4YW6tvYqWj+9wqMssD41MNnW7iOKOGtmunK+SROAFFzhYHVl0dB/GsKQ h9HJPShlKS+KhswLGNDUPdVNuiPXQYHvcIhcuKbbDe9Nmp4n2hdFfG1g4F2OUzC3mIHUHHqHqkKz Q2C23OetVih4Nep6jrVJalqUh6Sm6LbrMOKXhFm9Sc+3WVxHPxcmvVyfsgkslqCnk5r+3wjdDaGQ RApYD6ynQA5SjRvbGIEgWGG6PCd/CQkgV0y8S/euwxVSmWqZj/vxmCSV4yQwSNXZ6qJyAHghHsHc dW9DwGFOLzOBI/VD197UTGesqdiTKBG3Fwg1IZpt5CpPEshHImNMqNR9sowlEbrtHqd4ZLU/eWrF nuzzwMov3dA/zhnDEjTvG42TSc0k/EBoHU+GW0OABzqFr+bBEaM0JvhmWEVH6opBwqE/6AVPA230 hMxUwTPf2+8GxsYc7kOYNNYeYHElR0Pb62fWidff1jsG+ZJYXhTdoG9yu8I6YkEC3cD0zXv00F3U kJsyOywApFzfHD0T5rRRLgTjNt+PW9/UZkJ/ORnCcgJB1ukUGYPBoUFMw9fFwChMnGB93hWiv292 midbSt2phxUL9GEP481i9la22kMnHrflVaUn4OUA7fSp9Gs43QzFQqFKF5vyGU8glESiKLQhfNw6 Lvbx8hbrvUONzQ8Kv5MrplxRwnYynNfEHPd7InB1JhEeRTTe0jPfLshoyVuTjC/ViqwisqLwu6PG 5UVIrpg/dB5sRXOgRqSgt/9uEmdvwS53zTdCzHCmKVkETxh4Wwoob/nRSmjiXDzVF35jb6yZNIcc EpCqiikDaJNaAe4R1b145nB1JvMV0Y1g4hnqoopHuI6HSFni0AzQMEkUg+aUhpykVJRMW9y2/EG6 W34vMhjyr2LFUeE5lwh+/h0cIbkFomnfBDMVUAgaXuYpLGOMD/eZDWnpPKDhggFWCYUTYpY2eEFr ATyMgqICIlggz6d5/X/Geab3WQUX6vBLqmBoPOGBrdoOLs8zGnumTEmpG+hE7ISBvAd9lN7m/CDb jhpMds8ir+yi7u9YUufjGlRXG6UtujjV0gM6KaxQJI2lEbx6NAXI1uGzTp2spX7TqiDiJNh/+PQC LW+sro2aE+ZlvuQevBcLdWmDD4RldCcldr4qj30ex8uZwhc9hlhvXyVVnQWLrTA563egoNaRDf3z U2Xruwl+nWniTs00yFhvGYFTOs7/CyiiA0ajRHGES0HUrL2/OBFTjDvuFNq+eknGwdU8K87T5a3Z jIyy5OfaTjuXzEkN5q9bHW31dazp8sULRRnhxApb2ndL3AQ+B+5iSHFIQvzEffuXd1up9fo9psf+ s6boOLqcV8uqVtoDBBFB1PPK9U4VGu7sqUNzh7MqphNV6xs2ooM+csjIxXscYa5U7Hy0rBE/QDXs lVLQrvhTsJti1dnx9rdpZF8YDcNSd1iLt+rP2ArC4QxYuDEjBBBQH0BLHtM4AmqYmeEg2rMdGuqR UiW1tsYWiKQH8LBpcaM4qCuBL7MojWoPF007GTOo+WBI0kGob1gZbrnaE+iXuab5sz82qM+FJYsT ANFJjsEHHsXMmH/BfXv/+PdsurocpnApdspv8WAeW5tJ8mal+E9KKSwCWdnMz02KN2i3s93DP2yh G2QyT8Jlx6C5XjRR+FVV354EIb2IA/V+lq8lD9iFqf17ldEoPTUMRg28fnWYkKG2qu1RVlPg9PWi xGSPV/mPHFHYekcedrzIDv2beR5eglkT4b5D/NOMuSVc5XP3h2Nu7HvllTcyjbt6fYHPOXh8r6mq OIiYu9l29endMWntcOgRIkIeroLHkSE2Se1/THGWfJZlc9BbE49QnD1xHbNJJSLSmBnll08ne5Lo mMY29kaw/U9fnw3tmhgepEhrjwiVhCPgI6OlKTEstkbrZAd2Z1OJCmR+TpJpQyVktDE4v4Ttb5Qm Hcs/5d6Kqx7wYeO3hNdLQ8E4wBguGWKHMgmZKM07DnZ5fiHiSExBGYRLJjehlqeV9kU6mSrg2NNq 5PypITIDI06yM73LVFwyPQXzc2uPUVWSGKyKpMhY8iPGxPlBSrZaAizco0gLwKSSHRnOa7chjKoy vZi388iEZktRMYrX/89lJdCdlgNuKU28SiaJuZJ1ax+zZ3aYn9zro8HK9zOmcB/ty4u6sH2Ops9q ZiAA9+IthGlADUEgs8waYnP1CDTYDhNc5uw7rMe3JiqDiR5QSOni5RFLvGP3VvlGnTzaiUmBa10u jOa39fGG1GY5f2BWGDOqPwmlzn4CvmY6nFaiow8BrJBVp3JxUFoCNEg6BCxavMzlAWBwxxnO2+Os EfPdwRewriQ79+jYDjxt7yZHClh+qEVeuY3hg+aiHScEDt/QTdj/7IevZHuZ8Uphdfb4N19vl4wo GM/FERNtwisdvSV3HjWzoLtd5MkbTdJTIEl4yCdb/8h3PX7nzIC3rOE/cJR1VIXmYOUGYD2Q07qW 1Vt3FyYblSQAaEEJwC0PaR5ysQiRRBQMeQKskkXLRN2GKt8Y7wh0Dkn1CfPBavPXHJuB25DTCwUO 2zsxemLai45XQv7GMTooyuk+JukUWJzpEAkfsRYEoJie3LLHkdwAT2oow1K3rXV2zeTIrHN9zOEc PLh3JmWuDAIU5gyFWRWm0mlfIKu0a9/RYhrqkLnb/utGvnI5YX1MhTlQwrZdkkCrQ9Tm6sxrMGYu OjTnDUwUY0btx9oz2/nIyqEx7Fqw1s2XZCTJphd9/+YR26ZRtM6q+ajIvyGZsekA3QbUlCadPzDr l6tKZplibUJPSKLKWmQKsReiHTuVIhWAwniSfqACfoLUNkOoaP7Wv6GCAwG4GAPhBZtExnYMkgJZ qEqszlM5+JXbqJ59LHebloaZj5T9XPfjzQYN0vnUV8RqsYYFWYS8C7LyTklfTAVh38EK1PsEo9EP TBhhneP6sSRt718ZtjqhAR1K5CY8W3x6ITW1TY+AuU5SE3wK7mvaGKzj+W8kfAYs4Op6Oapp8O2m Zv3ny09ahfl6mmheeKuLxILNB2v4/xHo/s8+uqu5JUowG+10izbzdwZj8PMuz4uEEHYLubsYL7Z2 qRssErB/YSRZGI1NUS1/43UJH8qtrgDMZurhwW4aCJ/1VLO9v+i+TENPCqUsbCVB6091TgxtsqvU qYVytChheKmCWsHiSWbdzc1kidJsXWQVl4t9aFxdhmRlMJmCwGR4lSsme2AyOtWohrGFgGAjZckR Qx60FKpbGQ8OPSUwq2gGXpITAPxQ9NWgulvlBKY4rhbltj5yHjAgo1O0m7Bwx/hC+QYaQguW9oFg 8lOjGcHp/KRmxbalSmdsrDT9H1asgXeJ7IbD3kwaXj8rbND1SkJ7ZjH4YWxmXliI/M/RH76Xwrcn NbNr9Ot1+QSIGEL4jzyoq98PQDPg5bxKWEeXEt0EyyOjgWv8WBvmffTa5DjIV2bxl4voj1HhS5Md mbwStOHtKixCGA9bAfqZlUbFBKYgnUprc42aFOUseSyMukJRcFo206Sm8B4bHoq1uz6+jgt10M6m y1csop0GTCPavzPR325Ittu4qD+BKDRMhdmoKq9ADhN7IYFRioEfe5G+dwCe874yUKPbz9m9owlR Zy/KMt0F46I5uSTWYjGFAi5WZ8PpliTJ7/nUuz72vz0N/JoFkkq3fe9Ku8Mv8OvObWflY9zayJFj NSzeX5ixZhCts/C/RundzjqT91tCPntAyVHKXQGDV5OG4cflGhmwrCT9feAegIYz36+tNnODuvW4 LejHKxnIytPYmRuHAXbImD86Lbh/H9UKJ92fxFd5yaMoSA1gOCJON5NEHBzIqGHd1j1gltaWKKMf MaNL5t3U/H+8niKDtAeinIHD9XQ2Sc/Lre7A+2nAk8aJf4zLNyOUykR8nxjidcpZq0VIDdD8jwUB LYyGEc+TWCA5J630JrzG1mD6LDxVUzuhspdd2mxGDYhA61jhhAVk+tvVTqUG+MPuiYN4i34l29ye 1xngiuHW1QUA9b2tKIB3tBvwQED2A4rgfybjf6Dy8rKA74UcMSUzDlFBJ9gD18+E4PaN5S5ph4V2 mwdpnBI3iWKfpCZqF901bXbOjT5N4RLM+pUcZ+cTgccynwmmztl136MDBtijYqscQAP1CF8DmEZQ xd3zFJT+e8quut199NLTQsL07ks8bN9MpBwcy6Vc07zNxnzr6ypIvFkfOYFptjIE13AlYH/BhNlD UHiSBCsa7/L0RgnLmtu1Hd8wkSpfaYLyX/2QhbnHYYLcQQrBHttUu8yI5PE+p8E/6D/4/uSOwqJ3 ylRLfsXfj3dn/O9rzG0YNmJ2ScOkWfzkoc1CJ2WDhju/xj8gocmJ8SaRHcpdcrkPmqkKmjkzWn0e GCv7gJzfy4ecrDPIu6gY5Ku83ErVuG+5lmS6JWLKeGtWUHn5KxRi0LWJqlb0eIyxSCmoeL61Qn5N /LlUzTVQhjPgwoIN7I0yJbDAac6q/dkddxGDzlLqtTzHWcf5egM4S8Hqwj/KA4V5htdtKHGfLj2w 6wITO3c0J6KYWK1WHBnB4GvVSSaSZsBnKu0YeMMKKgQp1gzLiCzQcLSTy3YUH1p4IGepL1wvY0Ug 8WoH9WVDxbK2JaGgERQgTqC071nw5Bv9plP6qIFzclUXOCH1QDL6dNN7bDUZjMG4SX6EC5A7wovw 5osrKKa7ZkxfB2yVClBr+FpyEwLM+SwQC6Dk9VfyBpcvU0AqwriZv3tSdOKwBqgI4gc3HJin0eQT vTMqINYdNcybHs4wUnQQF0raVBchNuC3kCU+/vkMhfAsDX7YyQ8BoKGb0ZYlqUQrR1Dk2zM+T9M5 sqS9iCMkJ1PEB3SGEKjbTPKFSg3mcY53mOSMXwAqTipAUOl20474gFgmfUc4mnB3A1DZ74sE5xPl WMn5gH2WwMtEU0+wcPkl/zoTiouF2gIHFuTrdmQu+eZXNGAE9732gTrWChywX19EyxdcCrEEhjfU 19kznNpfBPpa6ElNIFeX7c/ZGb58T6JKKMdubD8nLwEt4JOTubf4sJXSs+QwkgvDum98YVHC5EOu ckC3HrFb12zbs0HL/C2SXZ1B+qgnffZjYqH5RFTQmhUvPNdFGuK239Bd3nXgGycJHEBrCXGU/QWC mKIzBlOY6ov9BFuOuYJS/fCUbp2B303SuMYFdn3L7LdUv5fGgRD6qC/7AH+GtModegTu3OC8+26p 1yKzwNXyYqT6Z3OwqPzClClqMgOyftSrwx6dCpsnv9MKKKd50/ljGS42gjI6pj/+XVMXe55RM7ZY zZy0biX0Ej5OSYjUMEYeJg8PoiYs4dnDc1EqqTYCLxYjeDVkAg0fcYKu9Fp2P3a857yqs0zotwUa KWLh/KMg4aqNdNP2SIdNVDOT3tAYzQJO4FQvqkG1hQ+rsYPbUip9GHYijkeeShhr4mgZggZz+Om1 XesZA81nAl0TrokoVHpGmDDv4KlHDV48genMhP49CTkrthPSpTyeuiASrPEVBT4aT9UdRmzNGxS4 lmQ1SBPxlmoTcH9QQISNw+cN5EvaG5clKbfpmebChggrjhWifIHMXnPLDu7poDvW3sivZ8S6ONIn 3usUeR74u0yo67o2F3iJyA5b4FvW4CsYY88anAu4KGD1lL8K6qEZbLQ0/v6RJK63ezoJLkAd6Web fIHx54VWWIKgt6JUYOHGbvYqnQvQONyRd1Y7L7O25YF37PuuatutaKX/wsdlo1yvJnX7rcvVWlKu 4wOAeiT4bKErHJnjsSgG0Z5+2QWipdPQxABykOcb8A5JOCk4GhiUNQpsm+fQIZoWllytaNp2yxJ/ eiOW5GP2DO8NFbreEpDfin9+xptKD6bCjhP6hh0RvsoJNtgtE53YCvaLcL4E4NkEX2DegL2KUtJc 7TfRpRQZyk1Mvz97JJbIbGZEuq4nVFaDlEU7iappiKBDa5BG7k7CbBwDP8rFXL6wCBDwUHbt2MOo JIMIpvy2fQNrX4Sgl2AUl9aVr93NGIGyEdXmXuRCJSASZJH6XXGeu4lI5vcpxVZhuhYrGXTVE2Ty LujjC3y/vJFzwcrEGq62UKmQs9jaoKdQJ4z6QVp19XZMNpuXRbpGByVhz8esJz6oKy0LvriOqb/v xP90nv1DCsYAwHXtcOCD4+gqcJiLVzaS1Soh4951NPYH0536NKmLB8J7N1qvLJvLndhr9Xn3JwCV VNYHMGDE5B0kfAiS88UCiMxzt2MdSDLwXGX4/AP4s3zfc774Hcs8pjzT2aqNVcGZMT9fueQVj86Z 2JstaPmL89xOigRgugEanZs2UADMYNweGf1kzoaD3bv+bOjb9d5Bk031sii+XJZ1NrZaM7ey3gJg H+7DvbsgLfFrcIU4JzhOElVeDEkmIXBziETebe5Q3hH7UpyeaI+il13ibFDoB1HYhWjNvyrK60/5 RECAs1SZI8F8eDuX/kWbzVIHbgY49b0lPDbG7V2ooSNHa2JOorlPLdaShbSSkZ1JjPXT+D/4PcH1 KuNmkKLecTLtOKsMnEmPtJ7s/gkfXyc/kV4IpeHzf17vPSplWUFueld3802DJkGyKYOwHLhK9PsV T7/8XSlyi9IBCEOU2iUEOC5FgOHFr3QmEY8KCCm0IYoyNDwe0TMldHiFhHibqD2EhcgrMRgNvwPq Oa9sC8bqZy7r6qQCxzifBCIYCZj7QBaAYuevnKg8ehl+G+3TQmswgPi37kH0sfWvYuL0c6tQhLpF nWalZ0TlMl7yN1WhvCoGbwn0aElXVpUcea7JwKrhvRwtjhasD3wBdqa9YRVxU8d4uqHkP0LY6HoG B6ET1L8nbHswm5FRArBx0NkHYKXi6ilAelAWpn1J6bPNKGZlepDjWXsAb8QB8tPf4w8k4RDS/UwW F1Kr6fw1jgvAGDW64gN/nwNAjD87PQNFvJU957dyTYkLYOWB2uEYYvQHWoskjVslwSWrsu+rul8U p0LvCVSmVri98rm0SMXHutk6WJsdijYNQZh6KfxFm6oUJbXNAvM++6XDdYC0M3A+o+X1Bl3jQmzV RzT6xYxhiiD1BuM29DccncFBF77zhBzyCRZhTr3ArZMxGb8Rn6voJ/WAtBrEUdm1UTgO1BwzbKFh fU8V5gJI6QuEDYcM4JNUSj9hN0mF7q3OcKxn88Er6SYAAU4zO39MKe8ay50re6i9M/d0gEFDCC6D vZBjmqiJAUFKb7c8k18oXwxHt4RFU0hWx5LDSj7qWgvGYU1dQ5nKhrdsH0cTk39sFXznEMVDWtl6 qJO63mJoaiKLCzH4LdGhD7t0s7hG5zDaCyTrWnl5GUITHohIBSJ1ZVK2z+O+UBj6/GvLBM/wWpsc cGdaIvtGZ0hV20zFcnqI9N9W04Ms6rFxkdUOM3YHiVG37p8hU2O3mJfumFeHtuUD8xw5jgZ6VBLJ 5tWlqu3McrTLQeTdrdxFn54RJHybr+DHq1rigqXDNSmSitREYP/JD9as8ZW7lzZaL+44b24EufcL X+z6v8kfFvyfvC2xFDlAhQq63saeU9nWxwKkp02zSSP50bclZqYgN6BSQ1YgF+5zCoG88ZHOeUy5 Q+qGFxpIfKE+BtIIF8rKHj46/IyiQRSQg4zs8koZ3oVCyQGx6yAgrBMMBDD2maiAcrCPolbUnSB5 qUHezwVobjx1CaQrYoc+bh/BWZfXtj3ps0fyjUYU+qZqMlbE5OSD6ssZwx2LkZr8/IClPMzoYql6 8+BvmQsmu6Nkk2vMQjvCL8T6HhKtZIDJGIE5NVn2ecOlNry8xzypBlAAEYGKvN2PnTVCD2BbEkN0 VjM3vAk+3aUHSPjoMJmBJuEPHjQC+JwoLCgJCJ+hXbk+6mmM2lWXBxIt3aywnX7C2/h7gxM1NkbB BZOZ7jFrMIptOAQDd2qFazQ3NjDoCNBpWLgQ2g2xTdqkdXyQLI4U1w7346tm4MutF8C3VHLFKFdh haYnBFAAFJhyYJ60U1vvdOVY2VF+aQ7eQKRzyb85EbrbSzCZ1xyDojbJhxXOFHtEC7vyY+Qi0jTm Z7Zhkq6sFxzZm8mu0Em6SwUpT0YZdOTNtr47ATGIyA9LG7NYZ/6m0YW9Mpp/cWyyjllupBwwl/gU aFp7drNiQNuXQeGoH7kb0J1m6UiOP/MfROwETseLO0CLpnJxyJ1zQ5hP/BvgnCaq92xlXNm3+Zwn qj3NGoGgy+7pPPrSz5WANTFO1ykbP1w+6nFOm0mjufHJOgie1B9f4HVJvMmVt/da8XS9WDJlPVE7 ztWiMYWFSu0ypDbhNm2O7q9xxXUJyYOgB9LH2DchYfqXMBdee+f5cL7mw7BCLF10PGCzLBi1tyTq /U2AlwCnXe3GE+rU+Sr0HJA8adZ+IQsMvJKaQP1ggZyowhbuwE05M28Q1mYab9GSz/zuzBhxYc41 CDrpCn2qvat0giWXSJ6ggSEO1xoSQPOJnumEhY5Hiz80fjNHQV4n3n3GA4ZGhPxdGsI8Wylzmx2B s1Nfvwte2ho03nOn7mBGMZIJDo04EVLaFUCw2AaDtbLTqRt2lteUWEnnMHbCmtZPzfHjgp7mU72c jD0yd/EBdLS9Ryj+odNX/DxgmUvo9rOuDA7zi4Bwi0sna5s4hYKI7MVnu59sgUJWizF1sQVsGR7q 1Xxm34EEdW7zDuuvInqQS10WG9gpIyebMIALoyEINXV9CZ0QALE/JQH7Wd32T4gzy4ynmEvn91wR r6M0cSkH1vn9vH6RHShr0jRGbeEmJqSkfOx+mof8McSirQX9Lc2TCxiEuPoKtBhkYrDeV38MJ6uF UItPl7m5+azHiG6dvIzRHUoS/NRVmZ6E47a+r/2Tpn8hhmyRIc/r4XGE4EJmWz4cIE363atk0n6z 3pSnIJH7KKW6ZZ6+owfg7vmYaOvFtavP3VosyBm36u6BRCcmUZL2PzOQzNbAulmfWhvyusQk1cJQ hv6TiVH0CuLMhOTM/6bbfI+jVPM9YEZ/xILbHnS4etidj8vwNX3aM8J/RGWo0S5kzmbIbUJ2ZW3L 6TAm3gwMviqHR2YOdE5jQtoCu3cuj1khXxbUZKuu7RqG3WJNXUhEFy7BrXXhKrCYo+qzJh4CH/0H TryTIMVYNMwaDDx6PcIsnBciNAlU/ZQTH6Wv8MREcKVndLL4f90/q/vK62h+Ocm7ahp1DDGCKHN4 eK0MZvQv6Dmnq8M4tGX1H7aOOYskOTbV6GMt4kMUgSKU9Vt/AUQbVj06mJsJUqxJB6Wx0UqTHVUV VL/y62z+/1EL15dz58ZpGhzcwPiCe7W+odGbw+c8HtlNS2Tm6tjctDrl394Xmi30TTV0WtoDAJFH hC/r135p8SwlbGHjzKrA+HBvIbeu7laZPNVVN9cGE0xKsDIfhMogbf3kxgxITsV48VRBkfYnGjGR W1mb7HR51CsVMRj1jC5qtSgSZNwgu5UOc6xCCuyTnEAzFEnAAlJ8rXKB2md1B6zA/PfuovmvOnaj Dqu+pPK3qNZIU+l2y03VXgWbofBHT87PcczhwXfsDrJhTKGnXAI5GF1A+u8WeX6OPJVFXKc6ywtk M/A/PpjSyH5bek2OFHx7Pk0XejS+eIdgMyXah0BFJ7zdlvRWachtQOhOPEE5LxE3vvEVIM3sIP5j UhKTsWLb89trFsrogwq9r3M1wOmwb1SQwxTFJIJ/KQSuM8RuouSLMsPDoR7nRDdj2rpfLLWEbW79 O758ZSHtqoJfGLbKZfWbS1lhgIyw6kQjJEtV0OXuOeUSj/uPcnv4X9lcn4ZHG+JD5Ml79ByNHpq1 N4IVNhYLv/0PMJ412VN56Ii4B7pA4Ihh5uFkj1o7jiJa/UWMHIOE/nf0EVD5VL9EYgunOeaYv7kP CUU/D6eG96GhphFBrLFmcDeG8J8BSHpE+EhtKmQI+uhzlsvtermeet2NDsX+eSke4gZszhEp6GSi 4YjdUKcN27TXwyCMawP2mO9iJUk7J9AEQPTKmbHox7Cl5ais7bWVOMXbuR3P/HxSFGgOL3rJUuz9 Lhq8b9VWeko/7zXiNmnShBfGejVlB/hT0YIGIwlf7rmctqIguiG8ByMS2d7kd1ZPYbfb3nXBpIMt g75BBLOakoxofHNIUZp3fT0HuMWeb1v1Y8PqJLtjrPs2NDfRqBWWbGcyYbbsxhfFEP8IK9NTOhGw 996Zj7HAZss9vwDM1V/pcr/iMYwrkEycgib7E+Ui6M6JV5y/UW1W3DZcWQOuTBdBo024VAF0Ojpt t1lsPR9P2dYk9SJwCrC21GpKjpvD7sKP/cIzCe8BNB01XUTiyIZYeo0OSuOhGV4w0IPK++3mD0qi MMNxTsncH1nceX99Z0IZJaYxxX83GNpcZX5aHURmwwr8/fuXxFW19vTEN9u5AStYFOEW4EqyKtVG P3N4jr/+ANUgUsz0U5i47zQVszbGkpTcFotKyV5GanT52cTK6CJPAFQARLi4Z5h4xxW0pIzlZehX l8QRhLBhN7GTMzvM2nwQqAm238RT4JokJYvqBallfRD+bXVHIiJBHHfsukWWO4vTZAzXCEgMuUk0 xCvu6R6FnfwkeGEqINyomupXc71oTowYhDJhI7gi9F1HnQJ9edELexjuhg0Ju/uxfmaxXoo3PWKj XSqmj+Wx8Uj+gcvF8q38PxFn84poPEP2bc+mmSmVhqKQZXKiqjhFReFKB+ydDy0HccOV73+lyznB UROdAmB82ZVOH13e9Wm0ab7vMusT6OriY36NzjBsrDFXSV2BCkCvJShjDth2kbX139a6wNbZsp73 H/XKglRh7EtrN3g9MmQlk82CJGWPrCwkTpWc5rfJSox6GMcluVnz4W8Q2TNEVl8XfcvD7qihczG1 M0lbr5dt+DfOQ2BjvSi5KyMB4IBEgMiIArilNztUgNQ0i1oR+WVFeqof183qchFZHcta4FRRNOUy PvebDBEVioPnsoWXkuQM4pKCcx7hH3ouNEhlzsmMH/UyR9gEE9zSKKwzxsxirEVJJUxL49hxBBgT ZHjiyk2YRkEIsTkn9MWCu/OLi0SnENzmDXx5cQNlydDf37Hmv3gf5TrY0yNIQUoevSZgG4V3bG5u GptlCEa6TQmcWcF9MFYyogtQLbelpB2F7MgEBfSHMKGvI59waPjHOl4D9Y5Pe3qA3/v+YPPb1Rb4 CvuijjqftLqQTHyLbZIeFLh6XEnWYzsy36VelQ4MeIrI9xuGf4IRiBxsai+QUpgbF2Am2MjwRQeQ and7u3Q3ZlWhcpqGfGuc0wFvJh5HNusUJIVHnLqAtrE1Sm+FRH67M3pQ6N7G1r7WGON2ZafDGjqa Ic2fs7foNN7R49UDc1XhM60Igabwi/Rf6XDHR8LN1ERrZj7W7EvjOcEuLItFjMkYC83Z+erKLz6x qCkpS3CWomFXAxSa2+M1tIU28MOUpXE+DbRfyv6sJsNqpzJ21c0yz+xvQ9pJC92kwmPaTSiuYsFK DflS+FrLPELJJJRlDRVBBXczsw/KwhJoj+3kmrtp8KXoRlu72v2PAZr3w2VN4i0ZEAILT59THE6V MWOjPcHVHG/K0diEOZF2N05+D2KEYUevs1tkIXcc+2QSFxnAU3hNXYtLv7vDDKe0vFZeW9hSjmg9 LySR2c4fiIl3px7cqSnDTYdFfaXYOrYJ26k2mhuGopeBKSDvfBjyNsnXWOnm3fsL7oklP70d0zH7 vUBmSRDlXcWYeO/d9QJ5yHbttoCLZfldiyToeYXmbVNdyA1ugg4AtosXSxY0fqpozyWejlzO1sey OagQxVFoQzyvzTxya1ce8B6lG/B9VPrNbv1zw5OmRylPrRx48cafmkvA9s1QcnhZ0H9lj1i+mral 1d4lDn8yqt0sRIVDyXfkcTrC+XYL1dJnziltpJ4s+Hm4tn/EewLWkdftZGzHmbetu+sQhJPP23yL 2aCuXEc3fQBOTXtEcVsDDLCDkRy8HGRALiv5+QjYP3CqP55A7K6ExFpdUtw33wHHtTpZOw/o99uT 9cBk4tV2Po1kUzQ0NNEb9ACkB5hBoR0CLXSSTvD6bqUSFSDScH3dZaF6Ftq7WkC/4GZBfAxoXA4S jAxEx/yRNYxS2qcCbK63UJdi/4K030bWXUor3lqBbdpjRDYNmR7zXsknuxJbA57mPIwivlTpa4xU GWxH7BKEjbWZfHLX3ArQkciT1SkpyCxryDif3a2s65M+GSuarYFFNDqkgpEfBwPvBulyMgavUjk0 lYQ5cP9ZaTghagBbA03ks8yCEJOeXaD4UhsvrY5if5ZQOxB1IBBVndAVJfmhU+H726rnxd1vI+5N D4PhEbZQ4/Dm2IM8+z19tMYeWUEJoGEXof2QreUvBiYJxBExLI8smZv9xA5NkxtQ/TAvFh0CMWGL bLp5KoWlQT2T3lHSnKEaWmz3Z6CkgKqyy3CGEIy8pQXU+8kHcQ5f/tRR8wP3vi8d9Pgfh1ZpTCRh Jk8mClVDh3us0u0K4BJ4dEZXjh7RHN0e/gsNfNUjgdCRqNhC98z5wX7UIo9PLbnvdSTbU/lgcpmb jZ7BvN7c0C8mlhkpDFDOZ3UHgesxKa3SD4WG4xtW+HTPT2A9sLJpoGLXRXfLXqF0/uiDbUqD2q96 pXc6h96B8L63XJa1/dGiGIPB6011ER/TP6gXUjuMWdKjoeBseEHeGu7m58PstffAxpiAWsih2xc2 AEBizB8bfxKFQOJ6k6GyG8YijzXP0w3h9CZkzcM9uV4M4nUGAkZIPDvDirpQCm2QhrQjNZqZJdU9 EYElKR+4NSs/x2Z9bCG03lW5ofs7tM19TFGCQeJsd4PRqG/ST9j5u+mlsxhY55i+c2GAxShqAgFO C8tv9sMV8Lpr5orsqZTNlsmKKJaNsHvu4cn3kYBNGudVuIg1XTYnSyEqIbvaP/UMdPS/9Ya2u6WM qpeyID67V+0cD1+tzNrRAfLkmnL8ardkrcgZN9ulepOkKKw68oTSnhf/TYqULe80UhWoG7GjOk9G cwGtEqjAuXr976pJURF2h1axFFU/jPPDTpHT79GLP/HOZi0OXWspoPFGZZ/aFyAtvcKk/igC2gCB uSFR8mPNhBgAPPK4W0GaoV4aPSft1fTAd5Fr7zNxhYo54pyCBOd3cOJy3L9tOALtLWp4eXlGQ4kU ZAW1mUxbvB4DtMUqLt/NSJ5pU1Zp2j7KhANcju1TMXtxf/ycBMqfMa5gkVGyyy8nT4Sqic1E6rIS YSN8vcGdMEbQxML0wiAq7K3PJgoZJ220NomT57AQWvYnEep3LgZBld5s5Zy+FWR6uFrn7O2QWFe2 lYEqqNOvqHPCN7YemRT/rJrSso8OJE9SHUfoCC1fxuZVxZuKUYJTPzxD25ghNq4VuIjxF+DqYn3T HBs9AyQWFkkC3czo9xbf+yqfee41uiEulW30Db1Yf9NYSArJLJH/o9vx59x4G5thYgVFATpv3KHk C6Yu0e6bdwetyZ3S3iK/6/v9CnkGMJlgQv/lmhbyxkebKaGQZwxKgWaT3XAPHUEQ+tEb3eFS84U8 NpWPbCnwYnF/e4aoO0t3eQl/BaFF+jKsk/5cyxvbXwaXZgT8UraAJjkPYT/Eclvs+/rNbnSk94d8 Lqste8HqHZbVh3OLW7YgIiQhIzaEGZyK+GftSiWtI+FDUIIwUzHznA9rmTK9hr0KN7ykIQbApfvD +c4EtY8Z7Mk0iuMn66em9rzz2Zlab3iru9Ma6EN9CWTeBtTW3RHKq4KXuKrDKISJa+P85YKV/8Rv YbsiJ9VHosARwTKWhkvyEH7U+DkyZhHBL5gj682a7p6nA0OjjS38r/n4zA79/zTDHQM3ViUS7kCz eLMW8yTRpEiykqZbhWN0d6mxXs2wq4eVzkdYahH19n/Nik5RleNI3wT/SJ6y7a/EAz4IusrkBCaF RHqsfL3gT/okDvtTKu9inV5QMCx/DChy/b1CboThDaE8Cc7ZLo6hzpszvSpmRQCWCO2Nqi5THbHQ VbABUbB9+N9zMdpX4stqH/NL0TiNsWnMJAOuFKQfZ+CGkx3vtcPAgGgSTm2ovMn7fzPqq+2zM+5z C14mHYsdCyy+OtGpDSW/Sx9fAPYd+Dd1XsbZPcoi5YKiivwpl/lAKCuczPgbcer0dUsfcwttAaYY v1Ih2Owr4cUYY2VZfu7aCyNSjbpxT07EY+9/2xkQYL/yB4Cha4qBqf/I+H0taq1tZhK85gUS7VL+ mAgZ50l/IdkNMRjX3VzS67FRaRWgnjFKfbhFNm/l6fU36zmanmvH4dlcxn8aTgMUWuhMHinpv1vJ DMYli96HMI8gDsjN2ZfKlLxh3lV5SE9d3HujBYddSuiNvtBFxbVvkOeQ+YfmxQ7wJOap2REVQQj+ VARD3bAKPVigiqVxfq5WJSgT9gKPpxVQrNeWB1fbHU9u0RaTrQGbq9COMi9LPFWdKSMz9p25F8rd YB7zNS87EZbxp4uYGiUn4BiHQ30KY9Y4mDyAPb8cDVrcMsHJursCmf0fe1+KJxTOQhZLggCzTAk0 jTQQ7E7fxUZZLo1ajt8im6JRQEy4rXK3w1m5u9syijAllXU2MPrDFqWIXDOhRM/URLr826hRfpBM WmkAs0XbK7MY0yJISH43ISeP1i/D0tmKD/gGoipCcbasOzRClyt4AEAtuDYF0O4OGqdRr+rnYPfg 6AyuB8bJWDFOxXPXXcxV4qYaPB4ajEzmalK2iIAGE3Qh8EGUOE4C+/AYVSvgxgbegQtpSes6ioi3 Hon0H83BiYnFPituOm3P8KB9fWmSUUIXW2q47wzgmGEBGr5jzd85hPhLufjWXugC2wedaDIKOt5l AQtyY3t6H4EkCXiElg0OwsO/VD2ln/NFKGzXzw03Bb2vnJfoK18svvKOmm4mponZ+F+Sp4tI/F1r i9c2QjuC0xges5MCgb0qQhw2YXhf9/MYXEkPcZiZ21KOd8wQgky7tXTBtyk8didmSIuYkSGL0raT pIbCfb0BRkKd/6KJhHFw3oPnQ+D8poPvbMPZLr9RPMB1d7DOsBOXlscptW6JifVbdtOYc8UDuP/W txfIP4q+OiV2qzzY8YY7Dhrx0fW8OAs+MXJd243IWblY5hcMqk8Wi98o7/ZR7zntIEA2m+LdWT9W Qi2RNdTN2cvOzok6DxE92p73G8KU9RLxPs4JaOhNA5s2BelRmk5PuIz6NroB4W7jd6ULpHLLoNqB a2PazPcV8FEYU7W/j8/OoWVS6rk2rLxAKPlOq1Pa7C+XJzI4nnuQuv5iQtm0QU/WwbJtVguNoPlf iBTGZv0TPwfM0De2UJ+Jc7qDASExJsSaoZjpsnKpZZqI2k2/4gIC15btGP4sWyMYhfjiapn+ApSk l9gtfZAuctlquLxEjJpdxDSE8PM6X5RJ+VBi9zjMCSJntrsg7cO9nNT9TvLg8Y0MOE4keOM+EhIJ apta1OsNjabNRkLY2L/S+t9TgHthdSjFF7IRtRsxTDEcevNcLfPSXkWmgt6OCvO8vAI1w68nMHnM tLqAzuU8cBoVU0Gz3hL5Lt4AsORrtLF6nI1VTO4qupyYqDftM4m+GRDKiC0xQIZA5yE4b99ru8En ZTFY4HNdSJpQpXJX3YyDGbhtlDBIXnScrbXm3sUBfvMpAnta+yxhSV34nnAwBE6cLqEenzG1RfHl 0t97Xd8D8qHZKEwlQ2eqXitYoZ94Zgc8FrOgyQOrOu3OJ241mGbBlrorBV68JlmjAySX23nT/bON wM/aySjVzH2EfZne58wcSvL81cvzvuXlf8nfJkK0THFHAfBXBpQDrf6MXZ2/gYJu0WpCgxmXCAxM zp1Ja3zvNVz1xJneTYgosiDPQIhH8yniqjuDJ+CJWlEbQbDA6iXQqY9arbHs+w8+FoBHmuUXSIPT yjstGdglh623L2Eo799IgAqB//G4QyN+HRohDVuW+eS7F70jGGjjMpoxE8WhO5YGVqK8SGEJjSQK P8LgM5BKmwqu7mdMz9hmrWODmgkdb3MWWhcq+0/x4S2W+mnyQ2rbTQcYLkIdBjCU8NYl7DZe189/ moiV3j+u2nM5dBf5NOO6kHSWIShYdYZbIhp99u+zHjorWkCxr7nOvkGgyEBSz4MDvNqcI3i2ArSe 3fFcprEM9LfPp6pjaW6yJa6evm/1RTE7TcxBcz6MHPmL3so9zC7VYTOfCFphlpfKY8cACQKCIrDz 9xqimexDqVcc4mwrLZuN3IQOHUfJdBQ53efobqlCh6YuY9A38K49hahcGW7KETQyu8LSlXbmsII1 tAWxyiKC1fB05Rtk1rWFmXQ0g2XBwpI54KoxsdW8gLvmeQ/b2/BnW9TwOsacrJIZGuKNVE+XdpIX YOLvB48fh0ZFdzkMm7d80Ce4CMkLLfQ+bC5uq/+MV45k8mAyS+8fA0dtUeShJvuMGVnKWAIGi6Gk oAMa31eY+ojR47Z/PocR4tuZ9v9m5/aTJhCsw0nLuifXSzWOdUs+VTsglcLtXINOuVcul94txsNu kqCBOlnQJ4lcxrAoxcLzAwMERQxuHzFiDjxiBAOySBl2TOtcI4oMfIrxf6SJR6rgNx6svD3XLNtH eykayPnXhd5ixxqHm6eCbcPxxN5MBxzHvPHJSqaose9vIcAPgwnzaprxnUlpq2CLmdyqxU3StO2J 6Bnb5mmHxJo0hyECaAiRNpQzfCj35mE5Gh38XX0RNXvB+NT+CTXm+PhRWx/vlQOxCXdq92AnU+/u hV9HD38A5wLUGkMZLQBvweXWnNJYVWzuMaQnUsStN0YCj30013zauoSXokBF8N3vttIQD8FZ2p18 PVEkEwW3qGsOx66ZCGGxHyUkZ19fqulMIOPaCyu87DLHuKjBnUPuMpA6r+yFJBhSH2WoFBz42Dju UtHz67CFtLCQwd9O9rooS56C+jJoGESP3+ll9CsZqE+JOb1hicc1qa48XV1KPLbn9RajveMqQDUA ya5h1ezM0TNxXThpTxDFVKnx16wNMYgPfC94BJy/1clqc5IkCFMRbl23Ofr6FaIX27R+DIsXBepq yVD43XS6rWa32pU8REr92z1nqHyvgR014/qUjAmH217o0jiIl5Nj41I6ozmMpj9WYMFtWSrVmxG3 GL34szRovdqU5Fsboxeg94MtO0IMnhtwy6hg7GuUJWaTHLM8F3PYe/w2vVISOa9Sut8MIYFVJpP3 hAoNct97CmloKKw3sTh49nqePUJl6t8TdWd2Smnh4nmnSPGB/jItiWgHhPyE/9KGF944U+Qnbxe8 VWbGLkxk4ZOp6GCAlKsdKM/d2Ir99Gkaidp3ilH79S7SmVVppCR7Ku+fy/LVySQX4l3qfaPfz7UW OR3bCcA1s8Jf85ZogVz3SBvrZ3EvCn07aDOLtBErreui9XW/O8hXYFK+J+BIvOQoeoPNuk7P0kQr RazIuhcnSf78LkHzSHjYwWhZkSVTi+abGe9faOc6yJForSafJqNgHInaZ0XMbzL+XL0CdlIni2Zl OiwAKYFyi6xaGPxoXiwbSrsEC+/bwnUGK0ro7OBXAlkVXVfJkfn1tcxLmZbF23Bu6p+AFB3TtEGt 2WIOLJ+Vfwjvl6nriSvCY0hWjVe2mQuPoP8yvQRsBbmK/0wENK/cE3GIs4EoxGNDeClHr968wLJ9 Vd6xlXwUhC761mKej0bOZB/rWfU1lJgAychEJ5N4GSai9S6TLwFPxhJy+365GUFbttI7nqmkIcKW FB2lFcneptCF7XhGCy6BonnypBMdk3Yd4+cvoY+RJQ15pacD67IA2QmhMzcmczuM9sQvRBVHpnCL 1xq71N85JqqndrEltvk6/ylwUc/DpbledAFVBaEmPqf8XEah1obhXyfYc8sqtPrVEEY4LpI4zh1b VoPRN1kp1GQnWfhmKaDCcSPr/hcmTedLlWpvqj+hzs2k2n6B4u48hjan16LD5HLqnLeT++SMsd9t 9gkXW8gdLlh/D084/MxVUKBVV+q0CIIrU+ClHKhceHV8+bw6hryeAcNI2Yg1d1jA5d+ph4v1fL5I t4Tzop3SuNkntnoHPI5WIgM/7Siy/vQD5Plb9xM0fpHqTyCOhX7Qh2enXkuD4/0FWKy/TfFLKjEe MApP/Wjvv/2xQyctOACqFdr/jRfloIfOjaT6pzcOfqJi36HLXYkjY63B+xFrpRpue+rgepkRQ1xJ U6VlEvrLQjahjc/XDOuvk+r9/YNx2Csp7RqdhnDzi9fn7LcH+tlLWVQT7nAjNaQtnxiaYti+r5IY FQLX4XMRw3STp3t7wJ+MYA1S1rnwwVVuY2XShbnii+StxdIOiq0wAJrWI7Duo39m55SBmIBTjrN3 t8sdeZUhiH7imOPvh6sWSBGmaBBl0+CtRj1weDDcWp7Hul2gmKFiR/OOoMHy4u1VZTkqJaTjaKet MVhiS8tTvWJGkv9LYiV6+QBKqNeKrs3X91QKiSQPNrYbFyP9tJOsiBjJO7W8ObTU4ER03Cl7UbnC n/E4T8V3mOYeE5RV4Rn0n7vXqtGxdRxJYzVv/nFtfSmIARte0F0Tcjfs4f/TITSjPyKLgv2FFsD8 HSqonWI3iDppCfOrkS7/Q9CGFjBxUprTPDNt+4mz9gi3FSi1hvcprSaJ8SbENDIgP2AiowEtGg88 awM/QMF7t9DDUa18GnsqhnyV4js3VycHt0SBhYdoPX9hwNkFRxOZlNkuSDZ+AK2mAG6R4PatSkcr w1/Tjhi7AaLMg4NCHp1wE7Wm1dXcZuH8P0cTciSoi3GjvY8B5jvP57EdcvCSgaIrkmkM1ETLzQab fifoUZaOVUeiTQAA6GyuzlQiuNM2vUrc+Totzwp9+VPLCVcd8mSVkBF7GY/Fv2HcOZ3qJCBRHIvm H3Ba/OguODuXzdwwxosGRbJyV9q1sio08c36QZEQmc3u7cwhQjC1D33NE9VrqsOT+K+ei7+8AZfo BEZmLIVW/G+neEtmSEipT1zAil1CzMtHYXrFajH+VIdSh3o2rb82DUoVM8AcSSEyXcUz8neDDSCU e0jMfLGaDpMhCoiCVb6WsnWVFDl3qEvdBXrcSpqOmvPztDsf6ANreQ6tbrxEJIxTWUocLeMkQqxH FZpkUm6vzIVg+Hxgr97yVzjWJNTtGuY7nf4iapQB0gtIs8yNx4VQ/O7RdVSkunMpo3ajWOUJSvME 5rXO06b0vcNCepP6pEsW/Aq8jNLcN/die1WOc7PquOugojH0aDEDB4/kEvwXH/6ow+TFH4A53/F2 rB8rS/vEXEd2OOeP6YOkGJJaaGxiKxpRXOhnja8jey3YDqegUbGyDKo9KZ02I9DRVGMbNsIoetK9 XTJoyb1oXlpAQumxmRgnAhBBH2vRgLVtN4KTUKjL0InY3Y17paYGj0UL3U8hy96qulvY+nrtbEAQ dzdsoTCmiOiT3EFeg6DYA51D8ly39/kwrGQCm22SKQd6VpuKyUlwMAIV/BQrs7lFZYVXxLmKx2C4 0Yq8AmusrTV6ib+Y9MuZsjBgEMUbXwFDkK/c4ujYGHI+u2FnLtRGfQg0378fvxIbT0fKXqUxkVWa 7jBu/z+LcJQXRh7zULWFcdiDR8NXr8+8bRQoJasUmQo4ZNrNS/aPcmcLecFYCralI9qUbixoy/x7 e7DlNVjLzKuVgIgQMcd4p35JtKNw1uAMo22+TakhMnvWCep2JsPLe8ePyUsJAlYkws7hVVXsZFrw N35Que8NGshwSktrW1SQ3D6Wj1k6ugIFmcj6CoOmBNvkJcQcPU9y0BVBE1+ObHItEFE31Z3fT822 cet4z5Sqxn58gvAmgwUTQzJw+G0j+8cF0Kj5OK+sdLzShaqkpTUjqVUFNDSokcUx4iDVWOSPlrQB N+YAXsdA5dU6m3n66OhdfbNY6Ckx7C9ceRLIVqbP0YH0sQj2lP7AR3qLL48S/P2Evp6JYeCX5ecd dUFFQu0g348CVXkRU8ng/buWJ1T02QDEFfsHFOaKrzxWDxJZFYkxIaP1IWrZ8P1Stay3773iSPde c1B6aPVELJXtzGXOS8XUf/aZq95g3tHcgrll588SsWkvXSFEC42EXf3VBwwgXqFE6WdPQVSVqDo0 ZsbUWhfMmyTMqFQCpRYv8qdXvY9tMrb7dN5yS6LZwHaJcsIJ6HPkxviVJwG5Aua+bI7wbtQiK6d8 zwW6rw/j+LhHdki19ToBg5vBoX25jhTuM+mUWbCXg53pFzXvfMEmTQlWLJGLLkNpqItO/aofhhXM AhgJ7PpKRcptMizByBKY0yGtjBPo5PBd3aA4nVszc4D3AF4B7mMUaT3OwS3Uy8b0R7uI9pv9v1XB PNpijIR2HGxF4cP9fC8oAlOkRW1p1jhzlA5+mZRiwyngTN6b/u4fKGPX58db1g4USrIEJ4d53bOZ SfQVfPMvqTB05c1NQL7oK29ENSo7W+v/vj2uwFk90p9a5+pgfncNeoZ52AyV7QKZ76SWhKD860Jt WauoCyzyJPmMhPTuO6WLB5+c9uFkxX2YZqizIVzkXnVxZfs+g2HmVDdBd6d5DbL4V13IB7psJZLi XQWypNZ3LdSl+UAeV0nchD7kepW5+CyacV1E+ZrlGx294K0E8zbT5LLEOGF+Gs86zDJHTkyBinrZ 1GyeNe6XIY2QL/69iGUKrPb1MVHzVgiBoU2ocMfJFrCeg6CbEvXQSQY1hE5MoFgUpux4IMdHSFUJ j1sYfwCI5w90z9371dVuzHz/jmS2UP0YwkujxspcmPQLVRosbKZTJcE9V4m/XRpE+nYW5A3pNWTr b8YGb6fg6juvX+jOWnEqgmNleiuCxikD6QZdNerUUAfk/57so1YteuTGoHjGFfXhMeQIOz+KWFdS 4QzuVNzI2pf065OoS28NStQSED1nxA+MQQnFx13FhXGZsZVf2gdPMKR79Ecjq/9kUOzYoCWrLCgd Yc2EJJl4jIC37GlUrP9vcPCUbx5C+TrrqA6Hb4Eqs4d9z6akFXGXHj++lAAs2MY2WsfhvkXtFEF1 06Lw/En2kCZPc3hwhSqF/nO4QhdKPWJTbBB7xfU2o3uCQEYV7KYFwpWSkqry4SfWHMBWHbalmssX NYsJagX3gnCCa51GkTdzACH3s+k+AOJNWuRp0MH1jE+Opk2gt0W5QRAng4Bfov3Mh7LaATLtNQ39 S7H9m9i/cgBKFmLMxGYu00oIq4zMfdb9pgikH+1y1m/2JlUHEpCqQ1ibiqV3Nbf9mZ41Rg0pTArO 6SSRPQlUN0ZPbQQnYRFi4utWyLibiDI9vDSfv8A3XKjHOX1j1YuPJHkrtjKXDHdbpTpiza7MR9iP 5Q93mhaev6sdGkY4h/C1vk2a31QtUQHNHRahJjKHiaTigw5anLQKJcuKf5+IfbqiMAFLhKKq6mvh Q5SvRJEeYOUZh9iVUQkhCIGGfmtyPVZMgaXKL20WQoqun3rCFnw20l4fUyH2Qq9ocJineAZHGG54 paSeBTJZn7SkN0emKqciscDxn5KMysF6O/jlO59SoAky2v+7E5mhJFxNlBJ5dAqy0qiAEamaHilr Qc9nQLnTl7hBa6/Pk+wV1V1CrwGXDyIGRskkEkdKHrWNmVNLChQtBosTcjkoWOqZ7nfyqEiS3cHl srfV6SA5xyJF1ugfsdgDMT5qwb96RDnPukD2WLrOZZ2Qou1g9mW0RgO9yqMt9ZzJUEHO4c/bTtts PU1JL9VryHTsS18dNEIrlfka6b5qmwG9DqeXteb3UV8Q2fLdx2c20R6luD0BSLo4Q83K0Bgvb32Q J+3aRrNiDd+ANXgU7FL1qkMCvKm/RRi4xLuIQGrmaGoUimpRitNJro5KOnWSx7k4FgLp4s5/d+V1 /paQYRxN0QPUAC4JqlGNFOMydqdJWjnE0LDqK+VybkaZti5nQIXo5xPFyFqohxiNBLJGk18nrsoP ZDE74P9AFX9eKdmNWQ3TmAkWAVKj2clb20xxqOAuC1+RTJ/KQ3srN6yuKodI2+PaaHPw87VXwi5R 5SUnVl3Ov+LndxHq+Qp5ISb7xJphDrDUC3ppU644mFCv9ZN7nWqbuUGMPP31X5RCHE/9hAaNDPSx qi5Lv6GzMOHRRbyBfckI/HmfeQC+tNjH08IZonygnergpxmIJ95jGYwXsQjX9jMr2aCRjmEi6ob6 WXL+JBvooQ2vPaMIymSfGxYgoywwoDS8WUqF73mXWGFh8Y8pnFyMjyjepmDkuSYgj2B155mWcuU6 dpy8I7oDAFdMk7rM9tgbfiJ0eQ6sllylwa38HWA7Vq2a+4bWXnXCVpO+PS3EQwTV2ZciDataCXCB IAoInjPzCdoXxWuGadXy7fMZVYtES9V/f3brCtMMUI3plRsNIuWAkI+UlcU3Wt0GM2s5F9HZpeXM UIQjOIXXDnkjU6L7+U2FhvFYnJ7MwvIlGvsQj/SSRcllH2eRJdRcgJLVz07HSJN5ByAISE4Y05ao kRTpouvuh8LCpLVdkZRRHKlOkpfZdQJOABNfdx9u/Eg6bVgltdbsV+QxZ5ZbBb3182CP4FLn1AAN EmQU0aAVujjr89jNq83i8SuGE+CYxjM1rF1+SPPhDPjYo3jIllhMw5mdOlAyi3w427fvWQ7CzUeA mddpjsr6KVe9DxyvA8YZYYMjblx0gweQKcTXi+ZgodI0ajUskOgPWV1/Y5RBHgrAgA+dqoyGJ+tF w1sUMO9yLPjcra0d2Ta95wbS5TRRDmEnZN/Q5JAe8+7J9K/94zlpBeSv/80oRTEL2JDFuJkj0E2p 8hVfUwEzbdtV1lPUdff0Of4r1MaTGizrgBOzPJUBJMQ46nQDkpw3/YhoXpe++Obd0QQT7LPEkZv+ e54Fo8vOUM+rFLQG3Q7xfQnGU3bdg9DA5ZlwBpQOOMkvsXTMQh1VWG3/n/p1GFwf+exvFPIZdmzT CEzrblPNnyvMdwQGtoDut1Dv5Q54VrfQYp83cheeixMfKD2xmiv27DNT/n3o1We2G/acqcMh2Rg5 VaYGAg/1rCHrenCrlzGtlnB6run+AhmfwigpqwZGS0QL4SHIhb5dLzQaBC1vfJITKYlRdOiU7BIy H8mFQp52P2jDj94qIz9kvzkXAjqirzBbKa0haeW4Nea9TBDQIPton0rqPsELb1/Q8aN5KCswVxP1 XfdXp1B28/diCYzGUsFyAlXLb/rYAQHqHlKlOxVuU1AsEKExoYYeccX2Ru2bvW+U2eYYbWRedUre g9xzQXcoOsB4wP7Lss8gYHzSi5CVcnhJ1YX8Lgj+BKFl8S12PV+V9yW5sMqXC7A+eUpZdIJVmIs8 jBqwPbsR4lWogRf+Y9pLsuGOo3cEhV/lPtAiwF4jcoSgJm5jZ+0Djfh2toTDTTtSnMJmm/4489P6 9AYAihKzEnpyoJTd4icOJ9CRovUhxyP1tiETiV1FxPXKtI8160FU/7P45uiFcIH3quO5L/Gm4RFF i7i+gl4GMP7iV1JNeHB8F7GGN5yDYACAEkOsrM6ev2oz4/ldtVP49iPLYixyEFF4l3jPr8juri4o sYqOyG0/06lY4gfZYqzk78kNo9uKMyrKtsQhj7E5q3+YPqasLVK0W01wnewIhEmJSa4bexpYNxbB U+LbPAetEbBxhOJvTSJojHNg60LRuxwhfT6s634au2dA3NvT8fQsUB4VWsaEIK7GwoAmpeRb/4Sw U/CGN2vQVHBakM+B+aff7377gD85kIAee0mCiphqT6n/vmrs3HVCGK5v0+hYwg/VOBr0k48kBS80 2u1jf900wQziATyuzM7D9FVC7M3gnS6WjUv8eJua2TvbqtiWBeImw9TGXWopaxwbvWHCBaKknhb9 SY2JmRxSI/vV7IFILqByC6o2TTcg5LHUaqpPOg6AhA8qGu/dR4I2mLcTilyf31Yc4BULpzbHwdvN iooiWSTo6MkEOjH6ag4VG4xNnSJFICs9zdT7CXAaFfF4aVRSwHm6s6c+DRyz9Cblwmas2ewnyGVC cWpHq767wtXheGFc7DiTvel172EKu+zU5/eQVih9zqvdMhOX7W//aYEhhPtG0OApajr+kzQ/VHtq dFeVke5LAR2MpKzU3kvyrjb+QnxjTTGsAnN5x9nva2IuYECrQozQ2BaOX/owbYYWMGW50x9P0keL OXeKr5jE8ZxTVThBKL5TH/CFlTxcCqaZdHhea4QhZgbFsZ9D7lMu9WPoKJu9f4xg3ShHd+1gfsv0 ReqURAtwDnJX1Mzhq26WovZ9q8rF5tq5pzMMjWYS0+z3+L6pbBDE9tKOEgb+5vIqQ2sTzm8G8oq/ MkvTLmZ+9tmISU77NEAr3s65VYAYflY7PohsxUG/YCOEllg+V0Kyu3vtrJD3/w8sD3+JakvnSo5Q /UFjoKRgk1yogIlG3IOCO0UTwx5xocOXI28MktrUzpZwSljsMUt263mUdG5Mc2irNHG70CgP8d2D JU2zfWlXkiX0PJ4p9dtg6ttGmbYyK9ANTmZET5fImunKzK8C9+JVeYF5MQrJOobN1oQnEvYYNkAX DArpmgZCb4PTeD4sU6zJre19fzlAOwvbHaPs3ABYSTOs3nilkiQfRN2f+oFS5xr4dWcB6dsZ2alQ rqavgoeHULKaYI3V7Zy85yp3SV/J60KhiH+zAS9OYSLfALpMERmj7bZ3vJ9TdBNblHHb0H5mWHw5 4U8FFkUYh5a3BMMxKzBf33+MGxMpK5/IwQjN8+138uy++cUZ/ovLgXO5QgjVlb+dG9mrJ3n8NOJP oC8ZCVfeRlOQdDBd/2MkxUU6w95tcsrFbaBGkUt9CUR9+Bx6MSBAErD++OPRrx7jH5j7zrCmtMCE 0T+636TjlrrQAt5ayFee7GQBx9f8QcPPLd3fWq+gfQMWKLj/jp8viFpIdLXG/UJ+oLnB6KAcK9OZ WRcyeyu08E3QvHMGTdhNpjVqtM288a9Xw1ofMwuq3KcYHJKTDzg4RZsTWs+lsQSZQ3tXct6pQIcC ClA7A1ZFVmB9ICjNs36MdNu0hgYy29qWkGebdnc8edBJCUfA4DlKS4p83UuX7E92nb4hmBH/S6QY oWtsekUyFD6/O1PoYv5FxILZ+o6EPWxX0lt+DUVKTwVXCo+KO6JV/wS7t71r/jvZVn0jZLUrelOs v81ZgBxVQyyhgBxSSIKg0YNGy2VbF68X1p8y/AW+Ezcx8hZiU9/GuENERB6tg4LSDRIwCl1PaQS1 k1PAqWg+EdSP4AfQ3TlWXZpggFMJBlqv8tkjnvH3EA64v5b2SLFgmkq1UNw37/+uVy4XetC0DO7b goFS4Qp86yqUsmWo9jrGQt4c6qgcu9UX/zWlV/rLuMld/ZEjfiuETHKjCwVefOuWDhgirAmDHLKU pP71PkeA2MSqwIilmxfSX209ZJydpefGuYUDmx6p7SV6MlJrqrA2ZUAEByuqkq/tp+G5YZU4dbX0 ehwiaejzu74+2jhRCtkLgLdYI07chfrHQPJrGt5o12TgoGC5IZMURXrI8iQWNPPgBNJNTSHKTrMP Yq8HcLobqXcCGk/3tqP9wAEggDuijN3/coIblCUl8XJvKTb9B1snzuUVuk021uVAOUskDU++NWSK kd4TP/Wpq1i22pVPhp5m2YOa9dNnqElAPWQvApYTAMJiM69mw9S36jO71PCQfvTodpeL1eoaWEP0 BMApUQqikPcElHI3zEMAn5k4OxjL5kFxad8zELUT26/Kr3pJreeVtGhhXeFwWtKw4DQKj04++gPX BsXD7VL+zVhLyGY62HtxEhqteosZ3wTa9xR109xvfIeSEAarifnsRh2sUn4Jg1QW2n5CdREkyAdG DwFpJXcE42K5yVXBDIVUxI33BEBqoyJ4oND/OYrVcnx/KPg+S7xnOCG6t6GNpT+VBkwxTpGwyUtL kId7CeJcPISRROsa4ej+4MdH2KqYKCckfd1Bmg/GlP53+VjX/GAl6tKV7CMwTgpUDNPvaPxcfQB6 kkZl3ABbaVu3eKYWqkadtJ7S4qstbxhKR9CU8krPT88HCZeW5ykw6mTzm2ym7H2r26Agpd+oHWjM xUcUxAAepRd3gjwqPzxavwDgGj4I5hGLs90aAM0dZ7ZqZef4nGlnG4Zc4jUyfdHwQGnvMuttOXas 0SzRjdixMGkwKPsW0crQq7p6x6nCBmICaShdEXqQBUkxf87KvBKKEtq69sN3Tb+dKfaLJfs20iLN GUNrtOo26EFk/FiSU2RvOeONGt72ZwzUT4zX4roI68C9eKFcK++sNmhzYTMWI2vdPhLedSgXPSoK 73u9f955UGTg/stKp4ksJgqdd9IoO6CnwPwwgMvY7ptlyMSbywotb6yZJ+mYP+sW2sFDBtNpSb1m 2JUPagtOBqFkg+6G/g9uScGVUGglI7qEeSWErO8aj6tr1wjavH4mdBM/RkHWfdWUoYrLqv3/dXtX PHrQ2338Oc9+mCtUiAWAf9M0jtx2IjwTLw48gqikwmAOuolMBt6/POxfbFjt5IdQ86g6LzbEFi3G cdJRwg1LYASjSJPX+LObIhaJNvO6y59oMbuY6HOcrp9RSn4g4BAUaWs4s0JdaBICkYRHwWxtBo4z ACAAH6wN7KvyiEzj/1OMhOg+eyc+1yLkni3NcKewv5PrZgCQhGT2P+nKh+6F0DeHDDCiGRVuLzUE eKEFguBwbY327tz9LD59jHlfR/f92mMrkODMr4Ag9xmCDfF/M0xqljZtU2Hmy3VBoSgDbXt72hUi qdsS3aHHyyz0mxcVQuoylO219YIivq0mXJMDyt8uQRD43bQDfbngvET4ukdQtzo30tlUur0HsS+/ rgB/BLh3e6mZRqxRrbL8ywngOhETXtD8+wAhOdYCln/jUzOr5P4JFjFu7evrXxGtps5yclKa4HKk 2ZXNsShU/2OqhFhivQvaudlHKo3zaTYKosNq+GUQD1ekOvjwCD+G62vdZgTSRnrWydVrWZtOtWUo 0WIbntwOc+bEJma+GTtDm+Cnnws3xixrZR1mAMTvJ0gOcPeZ61Fri0Pf1tvZHycxfPZb11Yv0gy4 jgGOM7e52SyCgtg02uyUJe3eao0Jifm0GMpm5zSzIk6hVdiSFYRVnRz2gSzzE83OxxIl7onI0Hzn 63LM4jm0QC9DRsFyMmsGNrA2AqF9jmCgiF5zL3J0Tnh1vMG0RK6lW51aNI9Ozij2DNLuHoY1j99C lOsub783ZEatOjcGfvn0PH99JKoMWuUHt4za7RhGhNZciki87LWHxlviDfbpA3BpyQP8fM4vaVvO ifDADD8X+Eh1M72SKrvOpGw5HJOC8q18V5Lmv9Fc3Gi6Gkg4Svwu+tp0ldd8Oqym0qApIq6Clsfs IC4cASr4lXQMSbwPXBlBoYsvXyrFdAdEclz5scUyKYGaBWVKuYISVf1um5XJDEuMonmLCZ8DWcMe p9Xfln9I0+FbdNvP7+QQrl98XGq2x69uDBXcVayun2haWWq5g3Z1Uvk0uuu+78LFMnnyvP2HI07U A2b3cRqXx1cbBZIBZ0a/J9DuEOivVZzpWt02STZha1d1VAZMqsJZCQz6B9ABOlBINdRyqWqQGbPB CYBM2T4H5WiSy9Os2uQbrVnqis/qpM4Ny0wd0abO1JCS+lfgRD6Drif+XJJRcm2NaX4ZUq0aHGhR 1fAxsdXJN6wZ9iQ258Jwp4n/vQkxQglQ98RryzHHCdlB0MQGLmghXg074GPmzjue7/OOyodOcjyh 47t1Ork7TKkVUaBYiIjbZj0efGMJdqbWOkKjk2ZUQbpuIG4yMY2a9xdtyWHuOAOzYAL6zXF6PEye XX5vZ6FEeWzQM8lIpRpGQ2A6Q3b/qdaC5ymAHIfQOKV8/Aph1NHp1RFDrRH42revE9fWl0IW2yGH 1aZFFkX60dYpSaRootZosCtZgfy99hps44TS1w2E2d9w9jpWkeDa8urRFPFBLpN/b9zGqvxYBdou YDHC2qAzSuQhKsBpj+GA6VhDwmKfesJd+lXWoRfueedY0D7/YV4ZsvOprdbeLYxAuIBy54LbByCi sbUUfv7SzSE8Vn6VvnVFEJeUSTbkgwr9J6BnA6eFUnw5FfYJ1RWFcQtfX0N5qTT8k4obgWXcxZEe dXAMFznNzLeXlmRuqcHyQ6QR1A1MADImFeqiXynzg3poOAQslrJoX1EWwUwEe244jNyyFBlx8Krb 7Y4aaIH2uKZ9PRnOchGOgwSjC4xaGpAfjoSoU28ifrVhDoq4loExYTPTMDW3sMIjhaopUWPCwNnR CiCbuQZEl1z8Y6mQCbPL3+hmXZQ+zwupbe7XFQ9VtxFyztMQIeTsSYf/T3ovBW9XM51qpDM75Gtr ifQFGOmxBuDV3O/Zolap+ck4EhfaxC+KmOhVL7pz95etmO1/Ttjf9EwmCAiCnjCxlZ3Ssa7jCO8y np5217Jk2Ko26AwYRORg/SYOa9X44YeDZ4iCHf14Uh++CS6NVy1DYliFrem+D898icfn+fu4HkJc n9fATu99CTSMvyiwZVlPi0kwdeSWs76F7Ra0vzoZn4T/o0YwVUpIdxVoeWppOBmccd8y/m29zMNN haHfO2YEaHxfPplBUCIMLOTYz0fiQN42s/PC0ydA7ZLyhZUEPmei4rK7rnkqDe7YOJ49vHLGBJOs dTIUuGwrXZxkQSRf88aQ8yYzNTn9sAAF7AP0uUa8XqQy/j+dBN+jerPJ52XzzAUSGNDIYdUUooHf veM+qKPV9CXRHDHkAerPH4F/W6igPTR12S7dQUQoq4w3g20iqJcGR++xp1Lz8Cdeot4W6ODShlZb a8StIEwS1+JQv+3tK7ypI+iAP6Gr/GMQ2qciuCs6MYtBE1CmkEHe4eJqVzr+FCyO+bAPBqEpQ+vE r36gd6f2PI4jmv7rGj8hzwltJFAbdxejYXadldD0wN1fraGpJPEaJ15UKfXlw53vRX86OMoPnAyH dFFu19GzD2qHHBiF3nMe2KE+PktQ3zHPku9drewP6rBSMrI4Qmi+hhMcxRfIwhy9u+3dXhSJEgZI l+0btHIT5lHOr/vOac8NWqIQ+voao/usDjFdnyV2EE5F+eg26VF7hUIv+PKZ3UopkSQPFn5wjHRO s4uL5kxSfU/oPcyUdiuugbS2RFd+VS0hwi7Ln0NRIOj92dPDaDWhtKgHSsQ4o8eiKvTGcfrX51wy aCRcNBqfIXy0bdnQMb2jTE41lDvhGjzstbq1LcXSYTSh36YCsYYS96zqbXkr00p0DGpQbzO3zd9A l+52B5f2vbJqO8tjbnQD4iEDq86TgjW7gGv7kso2TDfoba0jYG1vYBodEDWxVUw1yQOhLNg7p/Rc 4gey1vPKnyhuBhF8HkMVeubf6svczEcU9r8/Z9LKvCPi1J8R9i8taqqn10c5KxwXJGUfGRonnhsk rsbKw2xIXKW/CWD6R1/B/JFEGJ0i9CrhWWArCFBTSSnyb2+mtT/EXHDc77htCFqbE1p6Vt/Sg42G IUYsHOVPK36oaD2xVWa55b6xt79aSq6ZGc4ZhFsWb92CMHL72nPCYgBOy/VPJSTLDzzq3iqnBXK7 kJxqzA+j1J+lnMxP6q0sueUoyCnN5hKu0Fkz2cUgy/8gPG63bClv3KabS3IFibwd94Lnvi7iNYG2 MQhdpW0CYbmwXtWOwy07pqAPRnMVFu1h24VIOZj5W0G9/1w29In8yZx2yuBOhEE8fmR8pNYtQfRw SYYKbIqnKEH60eb+S1KdaBrZF5DtiuTao35+mRMIVwYCimaMh/wfq70Ynxkr+Lf+N0VvuV0SUpwq qKs55kCy5yWQ45Jv0C68rh74W67bH8DDjaTcNgByLJj4svCToId5P5hm17eMkZL34chMPiyb5lwJ c5e6qneY/UH7J+hn51qjUSL8TTpIals8VvSSw+ozujCrekHvtAhw+gKWYKGkQIsowv6hQJAy1Kua qN60QNEB3P/5fHxbfZMDl+wSD950wYkZHxHBJQdyRuHFgzxqOm204z5gScQD9VHXJdNJehdQr1Q7 lXhtzemMiYQf8BCm2ksAUwI4Z6XvU6N78cVbPFvoQVUeztR8ZSuvWtqOplyKreTH94OZYfYvxj7y 5yR1XpbPRsnz5PK+yfbQV5V27g5x5gfh5OMHUTC97KICTr4VnsV6c8c4N18EDT6eBsxVOxm5XVQE UHKT7dfEvWIBpyBxa+TuJfSSgCeTX1DVvfdl13Jf+d/9f6joyZXZgbNJsD9d6XCRmF+rbIQSjmZV 7WiXHwLoFmsXQ10hxPdHX3iPHfF8I3ANZiuEIxUhDXCXw7kjYaRxz/XoeUJ23JO0Tc688Lh7sFha bVsL0A9ALQ5fZ4h7oSKI60M97pvP3tRDBRuZskIiLBHejFerrfBi0zf9yhxsnapvQ4v4iTevtBkw VF7svAHncgmNiOBuLBzRpU7scaEz0M596hn4k303XedW8Ztuci9ryf70nbBsI+vMyRiwxo/Ti2va NDWRhOnfOE9ueLRNxFJ2DIzc9apEKZXJLzWle3AWE6FlCZ9qYB0RqVmxDcW7qilhcXjlKRbkzPAQ Et17KYpxKzHYjq/vyPrwkXKeiMQ5fddTSjS/7yV+LWfYdO7NBHq0h5HtLWNcBVJ1yACvLDeKs5NR 4t3qTKkGzU5s1nC4h1iBuuvVVB5YuwnRiKJ5UZ/f4suO1THXa1lr8Si2IbRe9l72hPjbHIyNa5bH ySgLsvKnwQQsPlkPDFQRhUmlsv7OLPRqyvnAQ4TrarVyJlunyKUea990G29aUT5cE2baYz9Taw/Y C8Wi23eOvm3HXfidrDAjk269YCXUiaObonrg8bJ4SGKe9jM4SOVlr7p2eN5ONmjMaQ1EfGEgIuv3 1CHZ+FpcU/fRQFRvj0c+0IH2UE8m6HzfvAQAkLNhNkWif7gPPLNUfpaC8CzzfjaJt+EHpL5L6VR5 qkWC1Lh8DsJMqT0XWhw3AvAKg4iKq1Sl+oTVDRwqKxaidEudkM4rxJwcOdZTmSQ6wJw8OX9dLcmK ug//RgIkiEn9X4TgzzVw/HDOu3VAcCqbSVEPWgLFSlG5LdcN7jCKQSYeeier6NZ7vhlNQKkbZ+Dp 5ybD9QhqdHgitwdqR7ThrapUnU6xM75oU42TE59QdBzM01Nt1SEBEs/sehEQpoV/pVHWJuxwc3ZS ofAWCNdj9yirnH00Sdf/GZliC6UXr0X8+ev0zEqg0onSefJqOF1nEKOwsM9wUUaG8v87hopRUcAj ZqPH43j2MR0fRHgOb/t9hIabJ6qmq+oxV0rmSvnJvnYwykgoQe7omTFA7zk7flcq43hwoAtDKhzK sh0vIureCzxnswRoTEfxYUQtqPaFqLZzX9hdGA7BLIpV11JLABePi/bd736AG4/jnINdxHpll7Cq 6qBB1SZS5t2wxBFk97ImsCjMUhJVHEf1JSSKyAWcHhxMLyegcEDlRjCx0mC11/2lHBg+o4sTl155 dPIp41QCFawbXPZo9ejx6u98SYE/rZ8pMTX853+lWMI7LAFnqhj1EGh+LPfHuLrEkUvBBPw2M26f 8VkbMTOpaS7VHCNV8wo4BM+b9MJV/D+mOc2EKoJgHjbe5+7R2DbzRpazTsr2ARnB6Ge1smWfQHrL a9Dc+5kuxO5XqZsDxAsr2uFOWQ8poP7XhXoMRjNHrFl8hJ7QvHaYZxFCp7DPQ1A03r6tYJgsik4/ sUR0dCn2hqOk0ZGS+SWL6g34Su9xgMFFts8+SOQkmPTaLJH3htJdT52Ho6ESQnl8SyMyaVnZc7C1 yKGm78sXkQCJ4Bz28xujizSMFZ+vZtcS4D3xyBg7U+oAo/dYqO0co31XHFxUtXnqjCF5gN/BlCJ0 7yEIC41al2FLlRaV8+qPkmxMWuYPj/zY2SXRSjf+q/fHhOOebaizKEFBdXrf1JX1noIQ7VNL5nz0 LDso0Iwc1kStQJ1/UjCmHSx1kL/Ab69X9MlF4YZSnMTfbpdoaMgaHGUU7IQSoz/+DavgZOnrOE7H UzkmqX8SKibTKP2pwB3ga/ruZuMPbN8eYGIOlP0oYtdqg9jCnRtatndo+5swg0wCrJK43pzGX9Ew Oft8tEFOdowvf9zLKh9/LaKFjRX5WZXiKJC7LIjvy/6GeBvDdnDzSnptog6jZnVqJpb+4YnfVo8f PA6ycHZzBd3BwIBIgcjkWyZuWSx81JgxT0ZujpKlKQGcrcxLibVf9NaPJi6fRpln0NNxMEth59CF XJIDB6NApVWQipIGPzC2jWlTsK542jz/X23r1PbqZrvvhwgW+15YaMUmyGr/dlDdCtgklRG/ZLkM egj4pSTs1sw8iJUFPTIesOmCFgEn6c+z7YGkAFKgMPcfgb1m5HO9o3ApDhKgLg56iRSp3zk8J9ct ObK9/l6ozHpQC/jn17+DEud3E1hqzOT3+55I5ng40s+/020kpXFyQCCs4EJE0Rw42b/bzFia73kJ 0wqMzbrmHj6ImlvZXuXngt8usEI6NTyWQQGzcaq2Irv2X1mIAPSblgD7tFf6r6DeIuJv94omCpdD BJ3odI+CnZtm4gqgyUhdLrD1m1oNhTJ+y5jbpa/YpXGn0II+GVtrsE2hzHiwSmbbICg/Qc5Sf7iW 5dWC8+3lY1LHuwKukmDdjFyJDJ7TlmUee/fQto72xx2+3p2TPjsVEIFmnrjSbCAR9gFsefgwM4v4 7cKm3a+TtlDzbS7olYZTwHebWf9Pby3J0SmDGlEg5uJHZ5UPgqMcY7gj7l05wKivNakdv0XJF01y hp9vjNiIOrk64noZNYJUOSK0vXvrN4oTL0Lq4+yq/xbhzDTCUtmU9C97xuX+F51pFWKSmzpwvBdF DbNyKZP11VS+glTHem63OssHhpQ74bvJIFf7CFqCBFqjF99JsDUQBAS889aM6IUbPpZzJE207pfO uwaCNr7kAC5VgkmbQvdYjexGynXk7OlCPx44TjgUas/E0MYXAYB+V0iD4C8qt/sAGQOJ83yVHCXg 7xIsr828tyIxCdqW81hW18pYIuy0um1ZtkhDDhpj4DZPwA3MsYo4rY0eBnZHw66qkiNUvv9sUHKw gGPz8tQKEJz57vP4kBrcKt42Finj2PxXIjyhkNMfQneVbf368hC4/Po4aZ4mKvoZWBGKZlJl9RxO sdv2HET2u04RPE1zcQTJzoLc8uRNiBXJaGZIHJHIVL+T30p5ToDavJ07fuUujKMaIU5wllgm9b37 0lDTEsnmkjblmd2AJzoLbGRG/CSAZ+Y8S83J4ieo39w0kZ44TLPk++9C4Xu8XJGgSRmFaL4P+nK6 9qp95rmEYFAoq62+BS7fntyhIOoI5jZfFcwm9Issu0AMS9CIDii/itlJFxo2SWWiRLT3O6IVbnnP 05SgS3mUT9oUF7Lr6EipZ9Cx7CePjnEoOUEsrCrtG5Vq11yA117GH/EtTH54cYXhCgmxLhGmd2EL Ih68R/o9yDz93BijaZTSbXZ+4F01FYBN6Im64uX78ScXzx4CMzYx02P6W9PrVtGJASBzcR6UJJZa CIcK39fN9DSafkC5ETujCWKm50oxmpErQmBx5e9uJrOqucyPloih2LaaW036T+a0EE/4ZgodjlI5 wK66sX3pbRc2sXt63HlUaGEnwlBjlVfyiq2lHkoEuNp64NIJ1XyNLDqLgxOyZp19j+z1+KRuHjJ5 lapDU/iYIpQoqnFdpajWcZxBjTr1FxYXkuMavymj8IaKCCILUxCP0I3RntcbW+phpyweEqbTIMef sddj73ujUwF5PVTRX2+cNC2erda5PrxRelSAh1k8bk7EOD7V0smLQxRgzncj+nr2zU6rkd5jtZBC 4zbFtx3DSpYfCucfpa20hCU65+ha0zK17N931/J6rY+z8dex2CDLf6UxnXqrZthhxNrB5G35UTt4 C7zri6I1/T8o8WHNTz/HZFE2LOYwt/sVriswOSotdRRqe/1yLvgQei6gdDxm9oR9kHZqCKvckTKF HlgRzoZm5KTJg2gzSn4w50H5S4V00VQRMZ701tQK6JhU55GWWqe4JKy2sj0veody+CQkUIw4UEz+ tTO0NbjwoiWPztVrFTQcQdWxSyAgwNHbXV7h9fq9k7k3 `protect end_protected
entity arith1 is end entity; architecture test of arith1 is begin proc1: process is variable x, y : integer; begin x := 3; y := 12; wait for 1 ns; assert x + y = 15; assert x - y = -9; assert x * y = 36; assert x / 12 = 0; assert x = 3; assert y = 12; assert x /= y; assert x < y; assert y > x; assert x <= y; assert y >= x; assert (- x) = -3; assert x ** y = 531441; x := -34; assert abs x = 34; assert abs y = 12; assert 5 mod 3 = 2; assert 5 rem 3 = 2; assert (-5) rem 3 = -2; assert (-5) mod 3 = 2; assert x = +x; wait; end process; end architecture;
entity arith1 is end entity; architecture test of arith1 is begin proc1: process is variable x, y : integer; begin x := 3; y := 12; wait for 1 ns; assert x + y = 15; assert x - y = -9; assert x * y = 36; assert x / 12 = 0; assert x = 3; assert y = 12; assert x /= y; assert x < y; assert y > x; assert x <= y; assert y >= x; assert (- x) = -3; assert x ** y = 531441; x := -34; assert abs x = 34; assert abs y = 12; assert 5 mod 3 = 2; assert 5 rem 3 = 2; assert (-5) rem 3 = -2; assert (-5) mod 3 = 2; assert x = +x; wait; end process; end architecture;
entity arith1 is end entity; architecture test of arith1 is begin proc1: process is variable x, y : integer; begin x := 3; y := 12; wait for 1 ns; assert x + y = 15; assert x - y = -9; assert x * y = 36; assert x / 12 = 0; assert x = 3; assert y = 12; assert x /= y; assert x < y; assert y > x; assert x <= y; assert y >= x; assert (- x) = -3; assert x ** y = 531441; x := -34; assert abs x = 34; assert abs y = 12; assert 5 mod 3 = 2; assert 5 rem 3 = 2; assert (-5) rem 3 = -2; assert (-5) mod 3 = 2; assert x = +x; wait; end process; end architecture;
-- Xilinx MIG constant CFG_MIG_DDR2 : integer := CONFIG_MIG_DDR2; constant CFG_MIG_RANKS : integer := CONFIG_MIG_RANKS; constant CFG_MIG_COLBITS : integer := CONFIG_MIG_COLBITS; constant CFG_MIG_ROWBITS : integer := CONFIG_MIG_ROWBITS; constant CFG_MIG_BANKBITS: integer := CONFIG_MIG_BANKBITS; constant CFG_MIG_HMASK : integer := 16#CONFIG_MIG_HMASK#;
-- Xilinx MIG constant CFG_MIG_DDR2 : integer := CONFIG_MIG_DDR2; constant CFG_MIG_RANKS : integer := CONFIG_MIG_RANKS; constant CFG_MIG_COLBITS : integer := CONFIG_MIG_COLBITS; constant CFG_MIG_ROWBITS : integer := CONFIG_MIG_ROWBITS; constant CFG_MIG_BANKBITS: integer := CONFIG_MIG_BANKBITS; constant CFG_MIG_HMASK : integer := 16#CONFIG_MIG_HMASK#;
-- Xilinx MIG constant CFG_MIG_DDR2 : integer := CONFIG_MIG_DDR2; constant CFG_MIG_RANKS : integer := CONFIG_MIG_RANKS; constant CFG_MIG_COLBITS : integer := CONFIG_MIG_COLBITS; constant CFG_MIG_ROWBITS : integer := CONFIG_MIG_ROWBITS; constant CFG_MIG_BANKBITS: integer := CONFIG_MIG_BANKBITS; constant CFG_MIG_HMASK : integer := 16#CONFIG_MIG_HMASK#;
-- Xilinx MIG constant CFG_MIG_DDR2 : integer := CONFIG_MIG_DDR2; constant CFG_MIG_RANKS : integer := CONFIG_MIG_RANKS; constant CFG_MIG_COLBITS : integer := CONFIG_MIG_COLBITS; constant CFG_MIG_ROWBITS : integer := CONFIG_MIG_ROWBITS; constant CFG_MIG_BANKBITS: integer := CONFIG_MIG_BANKBITS; constant CFG_MIG_HMASK : integer := 16#CONFIG_MIG_HMASK#;
--! --! \file thread.vhd --! --! Demo thread for partial reconfiguration (pr_msg_demo) --! --! \author Enno Luebbers <[email protected]> --! \date 10.02.2011 -- ----------------------------------------------------------------------------- -- %%%RECONOS_COPYRIGHT_BEGIN%%% -- %%%RECONOS_COPYRIGHT_END%%% ----------------------------------------------------------------------------- -- -- Major Changes: -- -- 10.02.2011 Enno Luebbers File created. library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; use IEEE.NUMERIC_STD.all; library reconos_v2_01_a; use reconos_v2_01_a.reconos_pkg.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity thread is generic ( C_BURST_AWIDTH : integer := 11; C_BURST_DWIDTH : integer := 32; C_THREAD_NUM : integer := 0 -- equals position in chain ); port ( clk : in std_logic; reset : in std_logic; i_osif : in osif_os2task_t; o_osif : out osif_task2os_t; -- burst ram interface o_RAMAddr : out std_logic_vector(0 to C_BURST_AWIDTH-1); o_RAMData : out std_logic_vector(0 to C_BURST_DWIDTH-1); i_RAMData : in std_logic_vector(0 to C_BURST_DWIDTH-1); o_RAMWE : out std_logic; o_RAMClk : out std_logic ); end thread; architecture Behavioral of thread is -- OS synchronization state machine states type t_state is (STATE_INIT, STATE_RECV, STATE_SETBIT, STATE_NOTIFY, STATE_SEND, STATE_EXIT); signal state : t_state := STATE_INIT; -- buffer for modifying messages signal msg : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- number of repeat cycles signal repeat_count : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); -- signature signal sig : std_logic_vector(0 to C_OSIF_DATA_WIDTH-1) := (others => '0'); constant C_MB_IN : std_logic_vector(0 to 31) := X"00000000"; constant C_MB_OUT : std_logic_vector(0 to 31) := X"00000001"; constant C_MB_NOTIFY : std_logic_vector(0 to 31) := X"00000002"; begin -- tie RAM signals low (we don't use them) o_RAMAddr <= (others => '0'); o_RAMData <= (others => '0'); o_RAMWe <= '0'; o_RAMClk <= '0'; sig(C_THREAD_NUM) <= '1'; -- OS synchronization state machine state_proc : process(clk, reset) variable done : boolean; variable success : boolean; variable next_state : t_state := STATE_INIT; begin if reset = '1' then reconos_reset_with_signature(o_osif, i_osif, sig); state <= STATE_INIT; next_state := STATE_INIT; done := false; elsif rising_edge(clk) then reconos_begin(o_osif, i_osif); if reconos_ready(i_osif) then case state is -- read number of repeats from init data when STATE_INIT => reconos_get_init_data_s(done, o_osif, i_osif, repeat_count); next_state := STATE_RECV; -- read data in message box when STATE_RECV => reconos_mbox_get_s(done, success, o_osif, i_osif, C_MB_IN, msg); next_state := STATE_SETBIT; -- set message bit when STATE_SETBIT => msg(C_THREAD_NUM) <= '1'; next_state := STATE_NOTIFY; -- notify main() when STATE_NOTIFY => reconos_mbox_put(done, success, o_osif, i_osif, C_MB_NOTIFY, sig); next_state := STATE_SEND; -- send modified message to next message box when STATE_SEND => reconos_mbox_put(done, success, o_osif, i_osif, C_MB_OUT, msg); if repeat_count = 0 then next_state := STATE_EXIT; else repeat_count <= repeat_count - 1; next_state := STATE_RECV; end if; -- terminate when STATE_EXIT => reconos_thread_exit(o_osif, i_osif, C_RECONOS_SUCCESS); when others => next_state := STATE_INIT; end case; if done then state <= next_state; end if; end if; end if; end process; end Behavioral;
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:41:06 02/07/2014 -- Design Name: -- Module Name: C:/SoundboxProject/Source/soundbox-vhdl/ISEProject/Soundbox/Source/AudioIO/ADSampler_tb.vhd -- Project Name: Soundbox -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ADSampler -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY ADSampler_tb IS END ADSampler_tb; ARCHITECTURE behavior OF ADSampler_tb IS -- Component Declaration for the Unit Under Test (UUT) --Inputs signal DRP_output : std_logic_vector(15 downto 0) := (others => '0'); signal DRP_dataReady : std_logic := '0'; signal clk : std_logic := '0'; signal reset : std_logic := '0'; --Outputs signal DRP_input : std_logic_vector(15 downto 0); signal DRP_address : std_logic_vector(6 downto 0); signal DRP_enable : std_logic; signal DRP_writeEnable : std_logic; signal DRP_clk : std_logic; signal XADC_reset : std_logic; signal XADC_EOC : std_logic := '0'; signal XADC_busy : std_logic := '0'; signal output : std_logic_vector(11 downto 0); -- Clock period definitions constant DRP_clk_period : time := 10 ns; constant XADC_convstclk_period : time := 10 ns; constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: entity work.ADSampler port map( DRP_output => DRP_output, DRP_dataReady => DRP_dataReady, DRP_input => DRP_input, DRP_address => DRP_address, DRP_enable => DRP_enable, DRP_writeEnable => DRP_writeEnable, DRP_clk => DRP_clk, XADC_reset => XADC_reset, XADC_EOC => XADC_EOC, XADC_busy => XADC_busy, output => output, clk => clk, reset => reset ); clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. reset <= '1'; XADC_busy <= '1'; wait for 100 ns; reset <= '0'; wait for clk_period*5; XADC_busy <= '0'; wait for clk_period*10; XADC_EOC <= '1'; wait for clk_period; XADC_EOC <= '0'; wait for clk_period*5; DRP_dataReady <= '1'; DRP_output <= (others => '1'); wait for clk_period; DRP_dataReady <= '0'; -- insert stimulus here wait; end process; END;
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time -- Inspired by similar functionality in SystemVerilog/UVM and OSVVM. ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------ -- Local package ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; package local_pkg is function slv_to_string( constant value : in std_logic_vector ) return string; end package local_pkg; package body local_pkg is function slv_to_string( constant value : in std_logic_vector ) return string is begin return to_string(value, HEX, KEEP_LEADING_0, INCL_RADIX); end function; end package body local_pkg; ------------------------------------------------------------------------------------------ -- -- slv_sb_pkg -- -- Predefined scoreboard package for std_logic_vector. Vector length is defined by -- the constant C_SB_SLV_WIDTH located under scoreboard adaptions in adaptions_pkg. -- ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; use work.local_pkg.all; -- WARNING! The slv_sb_pkg will be deprecated package slv_sb_pkg is new work.generic_sb_pkg generic map (t_element => std_logic_vector(C_SB_SLV_WIDTH-1 downto 0), element_match => std_match, to_string_element => slv_to_string); ------------------------------------------------------------------------------------------ -- -- slv8_sb_pkg -- -- Predefined scoreboard package for std_logic_vector(7 downto 0). -- ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; use work.local_pkg.all; package slv8_sb_pkg is new work.generic_sb_pkg generic map (t_element => std_logic_vector(7 downto 0), element_match => std_match, to_string_element => slv_to_string); ------------------------------------------------------------------------------------------ -- -- int_sb_pkg -- -- Predefined scoreboard package for integer. -- ------------------------------------------------------------------------------------------ package int_sb_pkg is new work.generic_sb_pkg generic map (t_element => integer, element_match => "=", to_string_element => to_string);
-------------------------------------------------------------------------- -- -- Copyright (C) 1993, Peter J. Ashenden -- Mail: Dept. Computer Science -- University of Adelaide, SA 5005, Australia -- e-mail: [email protected] -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 1, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- -------------------------------------------------------------------------- -- -- $RCSfile: dlx_types-body.vhdl,v $ $Revision: 2.1 $ $Date: 1993/10/31 20:37:38 $ -- -------------------------------------------------------------------------- -- -- Package body for types used in dlx model -- package body dlx_types is function resolve_dlx_word (values : in dlx_word_array) return dlx_word is variable result : dlx_word := X"0000_0000"; begin for i in values'range loop result := result or values(i); end loop; return result; end resolve_dlx_word; end dlx_types;
-- Vhdl test bench created from schematic C:\Users\fafik\Dropbox\infa\git\ethernet\ethernet4b\CU_test1.sch - Mon Aug 25 21:35:04 2014 -- -- Notes: -- 1) This testbench template has been automatically generated using types -- std_logic and std_logic_vector for the ports of the unit under test. -- Xilinx recommends that these types always be used for the top-level -- I/O of a design in order to guarantee that the testbench will bind -- correctly to the timing (post-route) simulation model. -- 2) To use this template as your testbench, change the filename to any -- name of your choice with the extension .vhd, and use the "Source->Add" -- menu in Project Navigator to import the testbench. Then -- edit the user defined section below, adding code to generate the -- stimulus for your design. -- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY UNISIM; USE UNISIM.Vcomponents.ALL; ENTITY CU_test1_CU_test1_sch_tb IS END CU_test1_CU_test1_sch_tb; ARCHITECTURE behavioral OF CU_test1_CU_test1_sch_tb IS COMPONENT CU_test1 PORT( clk : IN STD_LOGIC; E_RX_D : IN STD_LOGIC_VECTOR (3 DOWNTO 0); E_RX_CLK : IN STD_LOGIC; E_RX_DV : IN STD_LOGIC; full : OUT STD_LOGIC; test : OUT STD_LOGIC); END COMPONENT; SIGNAL clk : STD_LOGIC; SIGNAL E_RX_D : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL E_RX_CLK : STD_LOGIC; SIGNAL E_RX_DV : STD_LOGIC; SIGNAL full : STD_LOGIC; SIGNAL test : STD_LOGIC; BEGIN UUT: CU_test1 PORT MAP( clk => clk, E_RX_D => E_RX_D, E_RX_CLK => E_RX_CLK, E_RX_DV => E_RX_DV, full => full, test => test ); process (clk) begin clk <= '0'; wait for 10 ns; clk <= '1'; wait for 10 ns; end process; process (E_RX_CLK) begin E_RX_CLK <= '0'; wait for 200 ns; E_RX_CLK <= '1'; wait for 200 ns; end process; E_RX_D <= "0000", "0101" after 200 ns, "1101" after 600 ns, "0011" after 1000 ns, "0011" after 1400 ns; E_RX_DV <= '0', '1' after 200 ns, '0' after 1800 ns; END;
architecture rtl of fifo is begin my_signal <= '1' when input = "00" else my_signal2 or my_sig3 when input = "01" else my_sig4 and my_sig5 when input = "10" else '0'; my_signal <= '1' when input = "0000" else my_signal2 or my_sig3 when input = "0100" and input = "1100" else my_sig4 when input = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else '0' when input(3 downto 0) = "0010" else 'Z'; my_signal <= '1' when a = "0000" and func1(345) or b = "1000" and func2(567) and c = "00" else sig1 when a = "1000" and func2(560) and b = "0010" else '0'; my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; -- Testing no code after assignment my_signal <= '1' when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; my_signal <= (others => '0') when input(1 downto 0) = "00" and func1(func2(G_VALUE1), to_integer(cons1(37 downto 0))) = 256 else my_signal when input(3 downto 0) = "0010" else 'Z'; end architecture rtl;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_08_ch_08_02.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- package ch_08_02 is -- code from book subtype word32 is bit_vector(31 downto 0); procedure add ( a, b : in word32; result : out word32; overflow : out boolean ); function "<" ( a, b : in word32 ) return boolean; constant max_buffer_size : positive; -- end code from book end package ch_08_02;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_08_ch_08_02.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- package ch_08_02 is -- code from book subtype word32 is bit_vector(31 downto 0); procedure add ( a, b : in word32; result : out word32; overflow : out boolean ); function "<" ( a, b : in word32 ) return boolean; constant max_buffer_size : positive; -- end code from book end package ch_08_02;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_08_ch_08_02.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- package ch_08_02 is -- code from book subtype word32 is bit_vector(31 downto 0); procedure add ( a, b : in word32; result : out word32; overflow : out boolean ); function "<" ( a, b : in word32 ) return boolean; constant max_buffer_size : positive; -- end code from book end package ch_08_02;
entity issue467 is end entity; architecture test of issue467 is type int_array is array (natural range <>) of integer_vector; function sum_all (x : int_array) return integer is variable result : integer := 0; begin for i in x'range loop for j in x(i)'range loop result := result + x(i)(j); end loop; end loop; return result; end function; function get_slice (x : int_array; l, r : natural) return int_array is begin return x(l to r); end function; signal s1 : int_array(1 to 3)(1 to 2) := ( (1, 2), (3, 4), (5, 6) ); begin p1: process is begin assert sum_all(s1) = 21; assert get_slice(s1, 1, 2) = ( (1, 2), (3, 4) ); assert get_slice(s1, 3, 3) = ( 0 => (5, 6) ); assert get_slice(s1, 3, 0) = ( 1 to 0 => (1, 1) ); assert get_slice(s1, 1, 2)(2) = ( (3, 4) ); s1(2)(2) <= 10; wait for 1 ns; assert sum_all(s1) = 27; wait; end process; end architecture;
-- NEED RESULT: ARCH00336.P1: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P2: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P3: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P4: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P5: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P6: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P7: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P8: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P9: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P10: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P11: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P12: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P13: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P14: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P15: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P16: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336.P17: Multi transport transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00336: One transport transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00336: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: P17: Transport transactions completed entirely passed -- NEED RESULT: P16: Transport transactions completed entirely passed -- NEED RESULT: P15: Transport transactions completed entirely passed -- NEED RESULT: P14: Transport transactions completed entirely passed -- NEED RESULT: P13: Transport transactions completed entirely passed -- NEED RESULT: P12: Transport transactions completed entirely passed -- NEED RESULT: P11: Transport transactions completed entirely passed -- NEED RESULT: P10: Transport transactions completed entirely passed -- NEED RESULT: P9: Transport transactions completed entirely passed -- NEED RESULT: P8: Transport transactions completed entirely passed -- NEED RESULT: P7: Transport transactions completed entirely passed -- NEED RESULT: P6: Transport transactions completed entirely passed -- NEED RESULT: P5: Transport transactions completed entirely passed -- NEED RESULT: P4: Transport transactions completed entirely passed -- NEED RESULT: P3: Transport transactions completed entirely passed -- NEED RESULT: P2: Transport transactions completed entirely passed -- NEED RESULT: P1: Transport transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00336 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (2) -- 9.5.2 (1) -- -- DESIGN UNIT ORDERING: -- -- ENT00336(ARCH00336) -- ENT00336_Test_Bench(ARCH00336_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00336 is end ENT00336 ; -- -- architecture ARCH00336 of ENT00336 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_boolean : chk_sig_type := -1 ; signal chk_bit : chk_sig_type := -1 ; signal chk_severity_level : chk_sig_type := -1 ; signal chk_character : chk_sig_type := -1 ; signal chk_st_enum1 : chk_sig_type := -1 ; signal chk_integer : chk_sig_type := -1 ; signal chk_st_int1 : chk_sig_type := -1 ; signal chk_time : chk_sig_type := -1 ; signal chk_st_phys1 : chk_sig_type := -1 ; signal chk_real : chk_sig_type := -1 ; signal chk_st_real1 : chk_sig_type := -1 ; signal chk_st_rec1 : chk_sig_type := -1 ; signal chk_st_rec2 : chk_sig_type := -1 ; signal chk_st_rec3 : chk_sig_type := -1 ; signal chk_st_arr1 : chk_sig_type := -1 ; signal chk_st_arr2 : chk_sig_type := -1 ; signal chk_st_arr3 : chk_sig_type := -1 ; -- subtype chk_time_type is Time ; signal s_boolean_savt : chk_time_type := 0 ns ; signal s_bit_savt : chk_time_type := 0 ns ; signal s_severity_level_savt : chk_time_type := 0 ns ; signal s_character_savt : chk_time_type := 0 ns ; signal s_st_enum1_savt : chk_time_type := 0 ns ; signal s_integer_savt : chk_time_type := 0 ns ; signal s_st_int1_savt : chk_time_type := 0 ns ; signal s_time_savt : chk_time_type := 0 ns ; signal s_st_phys1_savt : chk_time_type := 0 ns ; signal s_real_savt : chk_time_type := 0 ns ; signal s_st_real1_savt : chk_time_type := 0 ns ; signal s_st_rec1_savt : chk_time_type := 0 ns ; signal s_st_rec2_savt : chk_time_type := 0 ns ; signal s_st_rec3_savt : chk_time_type := 0 ns ; signal s_st_arr1_savt : chk_time_type := 0 ns ; signal s_st_arr2_savt : chk_time_type := 0 ns ; signal s_st_arr3_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_boolean_cnt : chk_cnt_type := 0 ; signal s_bit_cnt : chk_cnt_type := 0 ; signal s_severity_level_cnt : chk_cnt_type := 0 ; signal s_character_cnt : chk_cnt_type := 0 ; signal s_st_enum1_cnt : chk_cnt_type := 0 ; signal s_integer_cnt : chk_cnt_type := 0 ; signal s_st_int1_cnt : chk_cnt_type := 0 ; signal s_time_cnt : chk_cnt_type := 0 ; signal s_st_phys1_cnt : chk_cnt_type := 0 ; signal s_real_cnt : chk_cnt_type := 0 ; signal s_st_real1_cnt : chk_cnt_type := 0 ; signal s_st_rec1_cnt : chk_cnt_type := 0 ; signal s_st_rec2_cnt : chk_cnt_type := 0 ; signal s_st_rec3_cnt : chk_cnt_type := 0 ; signal s_st_arr1_cnt : chk_cnt_type := 0 ; signal s_st_arr2_cnt : chk_cnt_type := 0 ; signal s_st_arr3_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 3 ; signal boolean_select : select_type := 1 ; signal bit_select : select_type := 1 ; signal severity_level_select : select_type := 1 ; signal character_select : select_type := 1 ; signal st_enum1_select : select_type := 1 ; signal integer_select : select_type := 1 ; signal st_int1_select : select_type := 1 ; signal time_select : select_type := 1 ; signal st_phys1_select : select_type := 1 ; signal real_select : select_type := 1 ; signal st_real1_select : select_type := 1 ; signal st_rec1_select : select_type := 1 ; signal st_rec2_select : select_type := 1 ; signal st_rec3_select : select_type := 1 ; signal st_arr1_select : select_type := 1 ; signal st_arr2_select : select_type := 1 ; signal st_arr3_select : select_type := 1 ; -- signal s_boolean : boolean := c_boolean_1 ; signal s_bit : bit := c_bit_1 ; signal s_severity_level : severity_level := c_severity_level_1 ; signal s_character : character := c_character_1 ; signal s_st_enum1 : st_enum1 := c_st_enum1_1 ; signal s_integer : integer := c_integer_1 ; signal s_st_int1 : st_int1 := c_st_int1_1 ; signal s_time : time := c_time_1 ; signal s_st_phys1 : st_phys1 := c_st_phys1_1 ; signal s_real : real := c_real_1 ; signal s_st_real1 : st_real1 := c_st_real1_1 ; signal s_st_rec1 : st_rec1 := c_st_rec1_1 ; signal s_st_rec2 : st_rec2 := c_st_rec2_1 ; signal s_st_rec3 : st_rec3 := c_st_rec3_1 ; signal s_st_arr1 : st_arr1 := c_st_arr1_1 ; signal s_st_arr2 : st_arr2 := c_st_arr2_1 ; signal s_st_arr3 : st_arr3 := c_st_arr3_1 ; -- begin CHG1 : process ( s_boolean ) variable correct : boolean ; begin case s_boolean_cnt is when 0 => null ; -- s_boolean <= transport -- c_boolean_2 after 10 ns, -- c_boolean_1 after 20 ns ; -- when 1 => correct := s_boolean = c_boolean_2 and (s_boolean_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_boolean = c_boolean_1 and (s_boolean_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P1" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- boolean_select <= transport 2 ; -- s_boolean <= transport -- c_boolean_2 after 10 ns , -- c_boolean_1 after 20 ns , -- c_boolean_2 after 30 ns , -- c_boolean_1 after 40 ns ; -- when 3 => correct := s_boolean = c_boolean_2 and (s_boolean_savt + 10 ns) = Std.Standard.Now ; boolean_select <= transport 3 ; -- s_boolean <= transport -- c_boolean_1 after 5 ns ; -- when 4 => correct := correct and s_boolean = c_boolean_1 and (s_boolean_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_boolean_savt <= transport Std.Standard.Now ; chk_boolean <= transport s_boolean_cnt after (1 us - Std.Standard.Now) ; s_boolean_cnt <= transport s_boolean_cnt + 1 ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_boolean ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Transport transactions completed entirely", chk_boolean = 4 ) ; end if ; end process PGEN_CHKP_1 ; -- -- with boolean_select select s_boolean <= transport c_boolean_2 after 10 ns, c_boolean_1 after 20 ns when 1, -- c_boolean_2 after 10 ns , c_boolean_1 after 20 ns , c_boolean_2 after 30 ns , c_boolean_1 after 40 ns when 2, -- c_boolean_1 after 5 ns when 3 ; -- CHG2 : process ( s_bit ) variable correct : boolean ; begin case s_bit_cnt is when 0 => null ; -- s_bit <= transport -- c_bit_2 after 10 ns, -- c_bit_1 after 20 ns ; -- when 1 => correct := s_bit = c_bit_2 and (s_bit_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_bit = c_bit_1 and (s_bit_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P2" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- bit_select <= transport 2 ; -- s_bit <= transport -- c_bit_2 after 10 ns , -- c_bit_1 after 20 ns , -- c_bit_2 after 30 ns , -- c_bit_1 after 40 ns ; -- when 3 => correct := s_bit = c_bit_2 and (s_bit_savt + 10 ns) = Std.Standard.Now ; bit_select <= transport 3 ; -- s_bit <= transport -- c_bit_1 after 5 ns ; -- when 4 => correct := correct and s_bit = c_bit_1 and (s_bit_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_bit_savt <= transport Std.Standard.Now ; chk_bit <= transport s_bit_cnt after (1 us - Std.Standard.Now) ; s_bit_cnt <= transport s_bit_cnt + 1 ; -- end process CHG2 ; -- PGEN_CHKP_2 : process ( chk_bit ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Transport transactions completed entirely", chk_bit = 4 ) ; end if ; end process PGEN_CHKP_2 ; -- -- with bit_select select s_bit <= transport c_bit_2 after 10 ns, c_bit_1 after 20 ns when 1, -- c_bit_2 after 10 ns , c_bit_1 after 20 ns , c_bit_2 after 30 ns , c_bit_1 after 40 ns when 2, -- c_bit_1 after 5 ns when 3 ; -- CHG3 : process ( s_severity_level ) variable correct : boolean ; begin case s_severity_level_cnt is when 0 => null ; -- s_severity_level <= transport -- c_severity_level_2 after 10 ns, -- c_severity_level_1 after 20 ns ; -- when 1 => correct := s_severity_level = c_severity_level_2 and (s_severity_level_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_severity_level = c_severity_level_1 and (s_severity_level_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P3" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- severity_level_select <= transport 2 ; -- s_severity_level <= transport -- c_severity_level_2 after 10 ns , -- c_severity_level_1 after 20 ns , -- c_severity_level_2 after 30 ns , -- c_severity_level_1 after 40 ns ; -- when 3 => correct := s_severity_level = c_severity_level_2 and (s_severity_level_savt + 10 ns) = Std.Standard.Now ; severity_level_select <= transport 3 ; -- s_severity_level <= transport -- c_severity_level_1 after 5 ns ; -- when 4 => correct := correct and s_severity_level = c_severity_level_1 and (s_severity_level_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_severity_level_savt <= transport Std.Standard.Now ; chk_severity_level <= transport s_severity_level_cnt after (1 us - Std.Standard.Now) ; s_severity_level_cnt <= transport s_severity_level_cnt + 1 ; -- end process CHG3 ; -- PGEN_CHKP_3 : process ( chk_severity_level ) begin if Std.Standard.Now > 0 ns then test_report ( "P3" , "Transport transactions completed entirely", chk_severity_level = 4 ) ; end if ; end process PGEN_CHKP_3 ; -- -- with severity_level_select select s_severity_level <= transport c_severity_level_2 after 10 ns, c_severity_level_1 after 20 ns when 1, -- c_severity_level_2 after 10 ns , c_severity_level_1 after 20 ns , c_severity_level_2 after 30 ns , c_severity_level_1 after 40 ns when 2, -- c_severity_level_1 after 5 ns when 3 ; -- CHG4 : process ( s_character ) variable correct : boolean ; begin case s_character_cnt is when 0 => null ; -- s_character <= transport -- c_character_2 after 10 ns, -- c_character_1 after 20 ns ; -- when 1 => correct := s_character = c_character_2 and (s_character_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_character = c_character_1 and (s_character_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P4" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- character_select <= transport 2 ; -- s_character <= transport -- c_character_2 after 10 ns , -- c_character_1 after 20 ns , -- c_character_2 after 30 ns , -- c_character_1 after 40 ns ; -- when 3 => correct := s_character = c_character_2 and (s_character_savt + 10 ns) = Std.Standard.Now ; character_select <= transport 3 ; -- s_character <= transport -- c_character_1 after 5 ns ; -- when 4 => correct := correct and s_character = c_character_1 and (s_character_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_character_savt <= transport Std.Standard.Now ; chk_character <= transport s_character_cnt after (1 us - Std.Standard.Now) ; s_character_cnt <= transport s_character_cnt + 1 ; -- end process CHG4 ; -- PGEN_CHKP_4 : process ( chk_character ) begin if Std.Standard.Now > 0 ns then test_report ( "P4" , "Transport transactions completed entirely", chk_character = 4 ) ; end if ; end process PGEN_CHKP_4 ; -- -- with character_select select s_character <= transport c_character_2 after 10 ns, c_character_1 after 20 ns when 1, -- c_character_2 after 10 ns , c_character_1 after 20 ns , c_character_2 after 30 ns , c_character_1 after 40 ns when 2, -- c_character_1 after 5 ns when 3 ; -- CHG5 : process ( s_st_enum1 ) variable correct : boolean ; begin case s_st_enum1_cnt is when 0 => null ; -- s_st_enum1 <= transport -- c_st_enum1_2 after 10 ns, -- c_st_enum1_1 after 20 ns ; -- when 1 => correct := s_st_enum1 = c_st_enum1_2 and (s_st_enum1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_enum1 = c_st_enum1_1 and (s_st_enum1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P5" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_enum1_select <= transport 2 ; -- s_st_enum1 <= transport -- c_st_enum1_2 after 10 ns , -- c_st_enum1_1 after 20 ns , -- c_st_enum1_2 after 30 ns , -- c_st_enum1_1 after 40 ns ; -- when 3 => correct := s_st_enum1 = c_st_enum1_2 and (s_st_enum1_savt + 10 ns) = Std.Standard.Now ; st_enum1_select <= transport 3 ; -- s_st_enum1 <= transport -- c_st_enum1_1 after 5 ns ; -- when 4 => correct := correct and s_st_enum1 = c_st_enum1_1 and (s_st_enum1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_enum1_savt <= transport Std.Standard.Now ; chk_st_enum1 <= transport s_st_enum1_cnt after (1 us - Std.Standard.Now) ; s_st_enum1_cnt <= transport s_st_enum1_cnt + 1 ; -- end process CHG5 ; -- PGEN_CHKP_5 : process ( chk_st_enum1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P5" , "Transport transactions completed entirely", chk_st_enum1 = 4 ) ; end if ; end process PGEN_CHKP_5 ; -- -- with st_enum1_select select s_st_enum1 <= transport c_st_enum1_2 after 10 ns, c_st_enum1_1 after 20 ns when 1, -- c_st_enum1_2 after 10 ns , c_st_enum1_1 after 20 ns , c_st_enum1_2 after 30 ns , c_st_enum1_1 after 40 ns when 2, -- c_st_enum1_1 after 5 ns when 3 ; -- CHG6 : process ( s_integer ) variable correct : boolean ; begin case s_integer_cnt is when 0 => null ; -- s_integer <= transport -- c_integer_2 after 10 ns, -- c_integer_1 after 20 ns ; -- when 1 => correct := s_integer = c_integer_2 and (s_integer_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_integer = c_integer_1 and (s_integer_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P6" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- integer_select <= transport 2 ; -- s_integer <= transport -- c_integer_2 after 10 ns , -- c_integer_1 after 20 ns , -- c_integer_2 after 30 ns , -- c_integer_1 after 40 ns ; -- when 3 => correct := s_integer = c_integer_2 and (s_integer_savt + 10 ns) = Std.Standard.Now ; integer_select <= transport 3 ; -- s_integer <= transport -- c_integer_1 after 5 ns ; -- when 4 => correct := correct and s_integer = c_integer_1 and (s_integer_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_integer_savt <= transport Std.Standard.Now ; chk_integer <= transport s_integer_cnt after (1 us - Std.Standard.Now) ; s_integer_cnt <= transport s_integer_cnt + 1 ; -- end process CHG6 ; -- PGEN_CHKP_6 : process ( chk_integer ) begin if Std.Standard.Now > 0 ns then test_report ( "P6" , "Transport transactions completed entirely", chk_integer = 4 ) ; end if ; end process PGEN_CHKP_6 ; -- -- with integer_select select s_integer <= transport c_integer_2 after 10 ns, c_integer_1 after 20 ns when 1, -- c_integer_2 after 10 ns , c_integer_1 after 20 ns , c_integer_2 after 30 ns , c_integer_1 after 40 ns when 2, -- c_integer_1 after 5 ns when 3 ; -- CHG7 : process ( s_st_int1 ) variable correct : boolean ; begin case s_st_int1_cnt is when 0 => null ; -- s_st_int1 <= transport -- c_st_int1_2 after 10 ns, -- c_st_int1_1 after 20 ns ; -- when 1 => correct := s_st_int1 = c_st_int1_2 and (s_st_int1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_int1 = c_st_int1_1 and (s_st_int1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P7" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_int1_select <= transport 2 ; -- s_st_int1 <= transport -- c_st_int1_2 after 10 ns , -- c_st_int1_1 after 20 ns , -- c_st_int1_2 after 30 ns , -- c_st_int1_1 after 40 ns ; -- when 3 => correct := s_st_int1 = c_st_int1_2 and (s_st_int1_savt + 10 ns) = Std.Standard.Now ; st_int1_select <= transport 3 ; -- s_st_int1 <= transport -- c_st_int1_1 after 5 ns ; -- when 4 => correct := correct and s_st_int1 = c_st_int1_1 and (s_st_int1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_int1_savt <= transport Std.Standard.Now ; chk_st_int1 <= transport s_st_int1_cnt after (1 us - Std.Standard.Now) ; s_st_int1_cnt <= transport s_st_int1_cnt + 1 ; -- end process CHG7 ; -- PGEN_CHKP_7 : process ( chk_st_int1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P7" , "Transport transactions completed entirely", chk_st_int1 = 4 ) ; end if ; end process PGEN_CHKP_7 ; -- -- with st_int1_select select s_st_int1 <= transport c_st_int1_2 after 10 ns, c_st_int1_1 after 20 ns when 1, -- c_st_int1_2 after 10 ns , c_st_int1_1 after 20 ns , c_st_int1_2 after 30 ns , c_st_int1_1 after 40 ns when 2, -- c_st_int1_1 after 5 ns when 3 ; -- CHG8 : process ( s_time ) variable correct : boolean ; begin case s_time_cnt is when 0 => null ; -- s_time <= transport -- c_time_2 after 10 ns, -- c_time_1 after 20 ns ; -- when 1 => correct := s_time = c_time_2 and (s_time_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_time = c_time_1 and (s_time_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P8" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- time_select <= transport 2 ; -- s_time <= transport -- c_time_2 after 10 ns , -- c_time_1 after 20 ns , -- c_time_2 after 30 ns , -- c_time_1 after 40 ns ; -- when 3 => correct := s_time = c_time_2 and (s_time_savt + 10 ns) = Std.Standard.Now ; time_select <= transport 3 ; -- s_time <= transport -- c_time_1 after 5 ns ; -- when 4 => correct := correct and s_time = c_time_1 and (s_time_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_time_savt <= transport Std.Standard.Now ; chk_time <= transport s_time_cnt after (1 us - Std.Standard.Now) ; s_time_cnt <= transport s_time_cnt + 1 ; -- end process CHG8 ; -- PGEN_CHKP_8 : process ( chk_time ) begin if Std.Standard.Now > 0 ns then test_report ( "P8" , "Transport transactions completed entirely", chk_time = 4 ) ; end if ; end process PGEN_CHKP_8 ; -- -- with time_select select s_time <= transport c_time_2 after 10 ns, c_time_1 after 20 ns when 1, -- c_time_2 after 10 ns , c_time_1 after 20 ns , c_time_2 after 30 ns , c_time_1 after 40 ns when 2, -- c_time_1 after 5 ns when 3 ; -- CHG9 : process ( s_st_phys1 ) variable correct : boolean ; begin case s_st_phys1_cnt is when 0 => null ; -- s_st_phys1 <= transport -- c_st_phys1_2 after 10 ns, -- c_st_phys1_1 after 20 ns ; -- when 1 => correct := s_st_phys1 = c_st_phys1_2 and (s_st_phys1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_phys1 = c_st_phys1_1 and (s_st_phys1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P9" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_phys1_select <= transport 2 ; -- s_st_phys1 <= transport -- c_st_phys1_2 after 10 ns , -- c_st_phys1_1 after 20 ns , -- c_st_phys1_2 after 30 ns , -- c_st_phys1_1 after 40 ns ; -- when 3 => correct := s_st_phys1 = c_st_phys1_2 and (s_st_phys1_savt + 10 ns) = Std.Standard.Now ; st_phys1_select <= transport 3 ; -- s_st_phys1 <= transport -- c_st_phys1_1 after 5 ns ; -- when 4 => correct := correct and s_st_phys1 = c_st_phys1_1 and (s_st_phys1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_phys1_savt <= transport Std.Standard.Now ; chk_st_phys1 <= transport s_st_phys1_cnt after (1 us - Std.Standard.Now) ; s_st_phys1_cnt <= transport s_st_phys1_cnt + 1 ; -- end process CHG9 ; -- PGEN_CHKP_9 : process ( chk_st_phys1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P9" , "Transport transactions completed entirely", chk_st_phys1 = 4 ) ; end if ; end process PGEN_CHKP_9 ; -- -- with st_phys1_select select s_st_phys1 <= transport c_st_phys1_2 after 10 ns, c_st_phys1_1 after 20 ns when 1, -- c_st_phys1_2 after 10 ns , c_st_phys1_1 after 20 ns , c_st_phys1_2 after 30 ns , c_st_phys1_1 after 40 ns when 2, -- c_st_phys1_1 after 5 ns when 3 ; -- CHG10 : process ( s_real ) variable correct : boolean ; begin case s_real_cnt is when 0 => null ; -- s_real <= transport -- c_real_2 after 10 ns, -- c_real_1 after 20 ns ; -- when 1 => correct := s_real = c_real_2 and (s_real_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_real = c_real_1 and (s_real_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P10" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- real_select <= transport 2 ; -- s_real <= transport -- c_real_2 after 10 ns , -- c_real_1 after 20 ns , -- c_real_2 after 30 ns , -- c_real_1 after 40 ns ; -- when 3 => correct := s_real = c_real_2 and (s_real_savt + 10 ns) = Std.Standard.Now ; real_select <= transport 3 ; -- s_real <= transport -- c_real_1 after 5 ns ; -- when 4 => correct := correct and s_real = c_real_1 and (s_real_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_real_savt <= transport Std.Standard.Now ; chk_real <= transport s_real_cnt after (1 us - Std.Standard.Now) ; s_real_cnt <= transport s_real_cnt + 1 ; -- end process CHG10 ; -- PGEN_CHKP_10 : process ( chk_real ) begin if Std.Standard.Now > 0 ns then test_report ( "P10" , "Transport transactions completed entirely", chk_real = 4 ) ; end if ; end process PGEN_CHKP_10 ; -- -- with real_select select s_real <= transport c_real_2 after 10 ns, c_real_1 after 20 ns when 1, -- c_real_2 after 10 ns , c_real_1 after 20 ns , c_real_2 after 30 ns , c_real_1 after 40 ns when 2, -- c_real_1 after 5 ns when 3 ; -- CHG11 : process ( s_st_real1 ) variable correct : boolean ; begin case s_st_real1_cnt is when 0 => null ; -- s_st_real1 <= transport -- c_st_real1_2 after 10 ns, -- c_st_real1_1 after 20 ns ; -- when 1 => correct := s_st_real1 = c_st_real1_2 and (s_st_real1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_real1 = c_st_real1_1 and (s_st_real1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P11" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_real1_select <= transport 2 ; -- s_st_real1 <= transport -- c_st_real1_2 after 10 ns , -- c_st_real1_1 after 20 ns , -- c_st_real1_2 after 30 ns , -- c_st_real1_1 after 40 ns ; -- when 3 => correct := s_st_real1 = c_st_real1_2 and (s_st_real1_savt + 10 ns) = Std.Standard.Now ; st_real1_select <= transport 3 ; -- s_st_real1 <= transport -- c_st_real1_1 after 5 ns ; -- when 4 => correct := correct and s_st_real1 = c_st_real1_1 and (s_st_real1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_real1_savt <= transport Std.Standard.Now ; chk_st_real1 <= transport s_st_real1_cnt after (1 us - Std.Standard.Now) ; s_st_real1_cnt <= transport s_st_real1_cnt + 1 ; -- end process CHG11 ; -- PGEN_CHKP_11 : process ( chk_st_real1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P11" , "Transport transactions completed entirely", chk_st_real1 = 4 ) ; end if ; end process PGEN_CHKP_11 ; -- -- with st_real1_select select s_st_real1 <= transport c_st_real1_2 after 10 ns, c_st_real1_1 after 20 ns when 1, -- c_st_real1_2 after 10 ns , c_st_real1_1 after 20 ns , c_st_real1_2 after 30 ns , c_st_real1_1 after 40 ns when 2, -- c_st_real1_1 after 5 ns when 3 ; -- CHG12 : process ( s_st_rec1 ) variable correct : boolean ; begin case s_st_rec1_cnt is when 0 => null ; -- s_st_rec1 <= transport -- c_st_rec1_2 after 10 ns, -- c_st_rec1_1 after 20 ns ; -- when 1 => correct := s_st_rec1 = c_st_rec1_2 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec1 = c_st_rec1_1 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P12" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec1_select <= transport 2 ; -- s_st_rec1 <= transport -- c_st_rec1_2 after 10 ns , -- c_st_rec1_1 after 20 ns , -- c_st_rec1_2 after 30 ns , -- c_st_rec1_1 after 40 ns ; -- when 3 => correct := s_st_rec1 = c_st_rec1_2 and (s_st_rec1_savt + 10 ns) = Std.Standard.Now ; st_rec1_select <= transport 3 ; -- s_st_rec1 <= transport -- c_st_rec1_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec1 = c_st_rec1_1 and (s_st_rec1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_rec1_savt <= transport Std.Standard.Now ; chk_st_rec1 <= transport s_st_rec1_cnt after (1 us - Std.Standard.Now) ; s_st_rec1_cnt <= transport s_st_rec1_cnt + 1 ; -- end process CHG12 ; -- PGEN_CHKP_12 : process ( chk_st_rec1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P12" , "Transport transactions completed entirely", chk_st_rec1 = 4 ) ; end if ; end process PGEN_CHKP_12 ; -- -- with st_rec1_select select s_st_rec1 <= transport c_st_rec1_2 after 10 ns, c_st_rec1_1 after 20 ns when 1, -- c_st_rec1_2 after 10 ns , c_st_rec1_1 after 20 ns , c_st_rec1_2 after 30 ns , c_st_rec1_1 after 40 ns when 2, -- c_st_rec1_1 after 5 ns when 3 ; -- CHG13 : process ( s_st_rec2 ) variable correct : boolean ; begin case s_st_rec2_cnt is when 0 => null ; -- s_st_rec2 <= transport -- c_st_rec2_2 after 10 ns, -- c_st_rec2_1 after 20 ns ; -- when 1 => correct := s_st_rec2 = c_st_rec2_2 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec2 = c_st_rec2_1 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P13" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec2_select <= transport 2 ; -- s_st_rec2 <= transport -- c_st_rec2_2 after 10 ns , -- c_st_rec2_1 after 20 ns , -- c_st_rec2_2 after 30 ns , -- c_st_rec2_1 after 40 ns ; -- when 3 => correct := s_st_rec2 = c_st_rec2_2 and (s_st_rec2_savt + 10 ns) = Std.Standard.Now ; st_rec2_select <= transport 3 ; -- s_st_rec2 <= transport -- c_st_rec2_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec2 = c_st_rec2_1 and (s_st_rec2_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_rec2_savt <= transport Std.Standard.Now ; chk_st_rec2 <= transport s_st_rec2_cnt after (1 us - Std.Standard.Now) ; s_st_rec2_cnt <= transport s_st_rec2_cnt + 1 ; -- end process CHG13 ; -- PGEN_CHKP_13 : process ( chk_st_rec2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P13" , "Transport transactions completed entirely", chk_st_rec2 = 4 ) ; end if ; end process PGEN_CHKP_13 ; -- -- with st_rec2_select select s_st_rec2 <= transport c_st_rec2_2 after 10 ns, c_st_rec2_1 after 20 ns when 1, -- c_st_rec2_2 after 10 ns , c_st_rec2_1 after 20 ns , c_st_rec2_2 after 30 ns , c_st_rec2_1 after 40 ns when 2, -- c_st_rec2_1 after 5 ns when 3 ; -- CHG14 : process ( s_st_rec3 ) variable correct : boolean ; begin case s_st_rec3_cnt is when 0 => null ; -- s_st_rec3 <= transport -- c_st_rec3_2 after 10 ns, -- c_st_rec3_1 after 20 ns ; -- when 1 => correct := s_st_rec3 = c_st_rec3_2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_rec3 = c_st_rec3_1 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P14" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_rec3_select <= transport 2 ; -- s_st_rec3 <= transport -- c_st_rec3_2 after 10 ns , -- c_st_rec3_1 after 20 ns , -- c_st_rec3_2 after 30 ns , -- c_st_rec3_1 after 40 ns ; -- when 3 => correct := s_st_rec3 = c_st_rec3_2 and (s_st_rec3_savt + 10 ns) = Std.Standard.Now ; st_rec3_select <= transport 3 ; -- s_st_rec3 <= transport -- c_st_rec3_1 after 5 ns ; -- when 4 => correct := correct and s_st_rec3 = c_st_rec3_1 and (s_st_rec3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_rec3_savt <= transport Std.Standard.Now ; chk_st_rec3 <= transport s_st_rec3_cnt after (1 us - Std.Standard.Now) ; s_st_rec3_cnt <= transport s_st_rec3_cnt + 1 ; -- end process CHG14 ; -- PGEN_CHKP_14 : process ( chk_st_rec3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P14" , "Transport transactions completed entirely", chk_st_rec3 = 4 ) ; end if ; end process PGEN_CHKP_14 ; -- -- with st_rec3_select select s_st_rec3 <= transport c_st_rec3_2 after 10 ns, c_st_rec3_1 after 20 ns when 1, -- c_st_rec3_2 after 10 ns , c_st_rec3_1 after 20 ns , c_st_rec3_2 after 30 ns , c_st_rec3_1 after 40 ns when 2, -- c_st_rec3_1 after 5 ns when 3 ; -- CHG15 : process ( s_st_arr1 ) variable correct : boolean ; begin case s_st_arr1_cnt is when 0 => null ; -- s_st_arr1 <= transport -- c_st_arr1_2 after 10 ns, -- c_st_arr1_1 after 20 ns ; -- when 1 => correct := s_st_arr1 = c_st_arr1_2 and (s_st_arr1_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr1 = c_st_arr1_1 and (s_st_arr1_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P15" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr1_select <= transport 2 ; -- s_st_arr1 <= transport -- c_st_arr1_2 after 10 ns , -- c_st_arr1_1 after 20 ns , -- c_st_arr1_2 after 30 ns , -- c_st_arr1_1 after 40 ns ; -- when 3 => correct := s_st_arr1 = c_st_arr1_2 and (s_st_arr1_savt + 10 ns) = Std.Standard.Now ; st_arr1_select <= transport 3 ; -- s_st_arr1 <= transport -- c_st_arr1_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr1 = c_st_arr1_1 and (s_st_arr1_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_arr1_savt <= transport Std.Standard.Now ; chk_st_arr1 <= transport s_st_arr1_cnt after (1 us - Std.Standard.Now) ; s_st_arr1_cnt <= transport s_st_arr1_cnt + 1 ; -- end process CHG15 ; -- PGEN_CHKP_15 : process ( chk_st_arr1 ) begin if Std.Standard.Now > 0 ns then test_report ( "P15" , "Transport transactions completed entirely", chk_st_arr1 = 4 ) ; end if ; end process PGEN_CHKP_15 ; -- -- with st_arr1_select select s_st_arr1 <= transport c_st_arr1_2 after 10 ns, c_st_arr1_1 after 20 ns when 1, -- c_st_arr1_2 after 10 ns , c_st_arr1_1 after 20 ns , c_st_arr1_2 after 30 ns , c_st_arr1_1 after 40 ns when 2, -- c_st_arr1_1 after 5 ns when 3 ; -- CHG16 : process ( s_st_arr2 ) variable correct : boolean ; begin case s_st_arr2_cnt is when 0 => null ; -- s_st_arr2 <= transport -- c_st_arr2_2 after 10 ns, -- c_st_arr2_1 after 20 ns ; -- when 1 => correct := s_st_arr2 = c_st_arr2_2 and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2 = c_st_arr2_1 and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P16" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr2_select <= transport 2 ; -- s_st_arr2 <= transport -- c_st_arr2_2 after 10 ns , -- c_st_arr2_1 after 20 ns , -- c_st_arr2_2 after 30 ns , -- c_st_arr2_1 after 40 ns ; -- when 3 => correct := s_st_arr2 = c_st_arr2_2 and (s_st_arr2_savt + 10 ns) = Std.Standard.Now ; st_arr2_select <= transport 3 ; -- s_st_arr2 <= transport -- c_st_arr2_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr2 = c_st_arr2_1 and (s_st_arr2_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_arr2_savt <= transport Std.Standard.Now ; chk_st_arr2 <= transport s_st_arr2_cnt after (1 us - Std.Standard.Now) ; s_st_arr2_cnt <= transport s_st_arr2_cnt + 1 ; -- end process CHG16 ; -- PGEN_CHKP_16 : process ( chk_st_arr2 ) begin if Std.Standard.Now > 0 ns then test_report ( "P16" , "Transport transactions completed entirely", chk_st_arr2 = 4 ) ; end if ; end process PGEN_CHKP_16 ; -- -- with st_arr2_select select s_st_arr2 <= transport c_st_arr2_2 after 10 ns, c_st_arr2_1 after 20 ns when 1, -- c_st_arr2_2 after 10 ns , c_st_arr2_1 after 20 ns , c_st_arr2_2 after 30 ns , c_st_arr2_1 after 40 ns when 2, -- c_st_arr2_1 after 5 ns when 3 ; -- CHG17 : process ( s_st_arr3 ) variable correct : boolean ; begin case s_st_arr3_cnt is when 0 => null ; -- s_st_arr3 <= transport -- c_st_arr3_2 after 10 ns, -- c_st_arr3_1 after 20 ns ; -- when 1 => correct := s_st_arr3 = c_st_arr3_2 and (s_st_arr3_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3 = c_st_arr3_1 and (s_st_arr3_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00336.P17" , "Multi transport transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr3_select <= transport 2 ; -- s_st_arr3 <= transport -- c_st_arr3_2 after 10 ns , -- c_st_arr3_1 after 20 ns , -- c_st_arr3_2 after 30 ns , -- c_st_arr3_1 after 40 ns ; -- when 3 => correct := s_st_arr3 = c_st_arr3_2 and (s_st_arr3_savt + 10 ns) = Std.Standard.Now ; st_arr3_select <= transport 3 ; -- s_st_arr3 <= transport -- c_st_arr3_1 after 5 ns ; -- when 4 => correct := correct and s_st_arr3 = c_st_arr3_1 and (s_st_arr3_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00336" , "One transport transaction occurred on a " & "concurrent signal asg", correct ) ; test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00336" , "Old transactions were removed on a " & "concurrent signal asg", false ) ; -- end case ; -- s_st_arr3_savt <= transport Std.Standard.Now ; chk_st_arr3 <= transport s_st_arr3_cnt after (1 us - Std.Standard.Now) ; s_st_arr3_cnt <= transport s_st_arr3_cnt + 1 ; -- end process CHG17 ; -- PGEN_CHKP_17 : process ( chk_st_arr3 ) begin if Std.Standard.Now > 0 ns then test_report ( "P17" , "Transport transactions completed entirely", chk_st_arr3 = 4 ) ; end if ; end process PGEN_CHKP_17 ; -- -- with st_arr3_select select s_st_arr3 <= transport c_st_arr3_2 after 10 ns, c_st_arr3_1 after 20 ns when 1, -- c_st_arr3_2 after 10 ns , c_st_arr3_1 after 20 ns , c_st_arr3_2 after 30 ns , c_st_arr3_1 after 40 ns when 2, -- c_st_arr3_1 after 5 ns when 3 ; -- end ARCH00336 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00336_Test_Bench is end ENT00336_Test_Bench ; -- -- architecture ARCH00336_Test_Bench of ENT00336_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00336 ( ARCH00336 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00336_Test_Bench ;
-------------------------------------------------------------------------------- -- Company: KTH -- -- File: WOLF_CONTROLLER.vhd -- File history: -- v0.1: 2017-04-15: Initial verision for drop test only -- -- Description: -- Controller for the REXUS - WOLF exeriment. Handles the statemachine and status communication. -- -- Backup version: -- 2017-05-28: D.R: 19:20: First version, light led at 5 sec, turn off led at 10 sec. -- -- Targeted device: <Family::ProASIC3> <Die::A3P250> <Package::100 VQFP> -- Author: David Rozenbeek -- -------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity WOLF_CONTROLLER is port ( --------------------------------------------------- -- Inputs -- --------------------------------------------------- clk_main : IN std_logic; -- Main clock clk_1hz : IN std_logic; -- 1 Hz clock reset : IN std_logic; -- Reset (when 1) rocket_pin : IN std_logic; -- rocket pin if mounted in RMU = 1, ejected = 0 --------------------------------------------------- -- Outputs -- --------------------------------------------------- cutter_en: OUT std_logic -- Cutter Enable (0=Off, 1=On) ); end WOLF_CONTROLLER; architecture architecture_WOLF_CONTROLLER of WOLF_CONTROLLER is --####################### Constants ##################################### constant sec_cutter_enable : integer := 10; -- Seconds cutter should be enabled constant sec_to_cutter_enable : integer := 5; -- Seconds from ejection to enable cutter. --####################### Signals ##################################### ---------------------------------------------------------------------------------------------------------------------- -- Control signals |Comments -- ---------------------------------------------------------------------------------------------------------------------- signal rocket_pin_old : std_logic; -- ----------------------------------------------------------------------------------------------------------------------- -- Mission counter |Comments -- ----------------------------------------------------------------------------------------------------------------------- signal sec_since_eject : unsigned(12 downto 0) := (others => '0'); -- Variable to keep track of seconds since ejection ----------------------------------------------------------------------------------------------------------------------- -- State Machine Signals |Comments -- ----------------------------------------------------------------------------------------------------------------------- type state is (START, IDLE, EJECTED, CUTTER_ENABLE, CUTTER_DISABLE, SLEEP); -- State declaration signal current_state : state; -- Current state value signal next_state : state := START; -- Next clock cycle state value --################# Architecture Body ########################### begin ----------------------------------------------------------------- -- Signal/Port mapping -- ----------------------------------------------------------------- ----------------------------------------------------------------- -- Mission counter -- -- Description: -- -- Keeps track of seconds since ejected, counts up to -- -- 2^12 = 4096 seconds (68,3 min) and then overflows back to 0.-- ----------------------------------------------------------------- mission_counter: process(clk_1hz, rocket_pin, reset) begin if ( reset = '1' ) then sec_since_eject <= (others => '0'); else if ( rising_edge(clk_1hz) ) then sec_since_eject <= sec_since_eject + 1; end if; end if; end process; ----------------------------------------------------------------- -- Main State Machine -- -- Description: -- -- Makes transitions betweens states -- ----------------------------------------------------------------- main_state_machine : process(clk_main, reset, sec_since_eject, current_state, clk_1hz) begin rocket_pin_old <= rocket_pin; if(reset = '1') then rocket_pin_old <= '0'; cutter_en <= '0'; current_state <= START; elsif(rising_edge(clk_main)) then current_state <= next_state; case current_state is -- Starting state when START => rocket_pin_old <= '0'; cutter_en <= '0'; next_state <= IDLE; -- IDLE state when IDLE => -- if ('1') then --rocket_pin = '0' AND rocket_pin_old = '0') then next_state <= EJECTED; --else -- next_state <= current_state; --end if; when EJECTED => -- Enable cutter after "sec_to_cutter_enable" from reset if (sec_since_eject >= To_unsigned(sec_to_cutter_enable, sec_since_eject'length)) then next_state <= CUTTER_ENABLE; else next_state <= current_state; end if; -- Enable cutter when CUTTER_ENABLE => cutter_en <= '1'; next_state <= CUTTER_DISABLE; --Disable cutter when CUTTER_DISABLE => -- Disable cutter after "sec_to_cutter_disable" from reset if (sec_since_eject >= To_unsigned(sec_cutter_enable, sec_since_eject'length)) then cutter_en <= '0'; next_state <= SLEEP; else next_state <= current_state; end if; -- SLEEP state, do nothing when SLEEP => next_state <= current_state; -- Default go back to start when others => next_state <= START; end case; end if; end process main_state_machine; end architecture_WOLF_CONTROLLER;