content
stringlengths
1
1.04M
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity sample_iterator_next is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; indices_req_din : OUT STD_LOGIC; indices_req_full_n : IN STD_LOGIC; indices_req_write : OUT STD_LOGIC; indices_rsp_empty_n : IN STD_LOGIC; indices_rsp_read : OUT STD_LOGIC; indices_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_datain : IN STD_LOGIC_VECTOR (55 downto 0); indices_dataout : OUT STD_LOGIC_VECTOR (55 downto 0); indices_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; i_index : IN STD_LOGIC_VECTOR (15 downto 0); i_sample : IN STD_LOGIC_VECTOR (15 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (15 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (15 downto 0) ); end; architecture behav of sample_iterator_next is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_20 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100000"; constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111"; constant ap_const_lv17_1FFFF : STD_LOGIC_VECTOR (16 downto 0) := "11111111111111111"; constant ap_const_lv16_1 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000001"; constant ap_const_lv16_0 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000"; constant ap_const_lv56_0 : STD_LOGIC_VECTOR (55 downto 0) := "00000000000000000000000000000000000000000000000000000000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal i_sample_read_reg_147 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_147_pp0_it1 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_147_pp0_it2 : STD_LOGIC_VECTOR (15 downto 0); signal i_index_read_reg_153 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_153_pp0_it1 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_153_pp0_it2 : STD_LOGIC_VECTOR (15 downto 0); signal indices_samples_load_new5_reg_165 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_7_fu_67_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_8_cast_fu_91_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_9_fu_94_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_cast_fu_88_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_s_fu_104_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_9_fu_94_p2_temp: signed (17-1 downto 0); signal tmp_s_fu_104_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_1_fu_115_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_2_fu_110_p2 : STD_LOGIC_VECTOR (15 downto 0); signal agg_result_index_write_assign_fu_128_p3 : STD_LOGIC_VECTOR (15 downto 0); signal agg_result_sample_write_assign_fu_120_p3 : STD_LOGIC_VECTOR (15 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppstg_i_index_read_reg_153_pp0_it1 <= i_index_read_reg_153; ap_reg_ppstg_i_index_read_reg_153_pp0_it2 <= ap_reg_ppstg_i_index_read_reg_153_pp0_it1; ap_reg_ppstg_i_sample_read_reg_147_pp0_it1 <= i_sample_read_reg_147; ap_reg_ppstg_i_sample_read_reg_147_pp0_it2 <= ap_reg_ppstg_i_sample_read_reg_147_pp0_it1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then i_index_read_reg_153 <= i_index; i_sample_read_reg_147 <= i_sample; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_samples_load_new5_reg_165 <= indices_datain(47 downto 32); end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it2 , indices_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; when others => ap_NS_fsm <= "X"; end case; end process; agg_result_index_write_assign_fu_128_p3 <= ap_reg_ppstg_i_index_read_reg_153_pp0_it2 when (tmp_s_fu_104_p2(0) = '1') else tmp_2_fu_110_p2; agg_result_sample_write_assign_fu_120_p3 <= tmp_1_fu_115_p2 when (tmp_s_fu_104_p2(0) = '1') else ap_const_lv16_0; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, indices_rsp_empty_n, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, indices_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_reg_ppiten_pp0_it0 <= ap_start; ap_return_0 <= agg_result_index_write_assign_fu_128_p3; ap_return_1 <= agg_result_sample_write_assign_fu_120_p3; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; indices_address <= tmp_7_fu_67_p1(32 - 1 downto 0); indices_dataout <= ap_const_lv56_0; indices_req_din <= ap_const_logic_0; -- indices_req_write assign process. -- indices_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, indices_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_req_write <= ap_const_logic_1; else indices_req_write <= ap_const_logic_0; end if; end process; -- indices_rsp_read assign process. -- indices_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, indices_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_rsp_read <= ap_const_logic_1; else indices_rsp_read <= ap_const_logic_0; end if; end process; indices_size <= ap_const_lv32_1; tmp_1_fu_115_p2 <= std_logic_vector(unsigned(ap_reg_ppstg_i_sample_read_reg_147_pp0_it2) + unsigned(ap_const_lv16_1)); tmp_2_fu_110_p2 <= std_logic_vector(unsigned(ap_reg_ppstg_i_index_read_reg_153_pp0_it2) + unsigned(ap_const_lv16_1)); tmp_7_fu_67_p1 <= std_logic_vector(resize(unsigned(i_index),64)); tmp_8_cast_fu_91_p1 <= std_logic_vector(resize(unsigned(indices_samples_load_new5_reg_165),17)); tmp_9_fu_94_p2 <= std_logic_vector(unsigned(tmp_8_cast_fu_91_p1) + unsigned(ap_const_lv17_1FFFF)); tmp_cast_fu_88_p1 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_i_sample_read_reg_147_pp0_it2),18)); tmp_9_fu_94_p2_temp <= signed(tmp_9_fu_94_p2); tmp_s_fu_104_p1 <= std_logic_vector(resize(tmp_9_fu_94_p2_temp,18)); tmp_s_fu_104_p2 <= "1" when (signed(tmp_cast_fu_88_p1) < signed(tmp_s_fu_104_p1)) else "0"; end behav;
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2014.1 -- Copyright (C) 2014 Xilinx Inc. All rights reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity sample_iterator_next is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; indices_req_din : OUT STD_LOGIC; indices_req_full_n : IN STD_LOGIC; indices_req_write : OUT STD_LOGIC; indices_rsp_empty_n : IN STD_LOGIC; indices_rsp_read : OUT STD_LOGIC; indices_address : OUT STD_LOGIC_VECTOR (31 downto 0); indices_datain : IN STD_LOGIC_VECTOR (55 downto 0); indices_dataout : OUT STD_LOGIC_VECTOR (55 downto 0); indices_size : OUT STD_LOGIC_VECTOR (31 downto 0); ap_ce : IN STD_LOGIC; i_index : IN STD_LOGIC_VECTOR (15 downto 0); i_sample : IN STD_LOGIC_VECTOR (15 downto 0); ap_return_0 : OUT STD_LOGIC_VECTOR (15 downto 0); ap_return_1 : OUT STD_LOGIC_VECTOR (15 downto 0) ); end; architecture behav of sample_iterator_next is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_pp0_stg0_fsm_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv32_20 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000100000"; constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111"; constant ap_const_lv17_1FFFF : STD_LOGIC_VECTOR (16 downto 0) := "11111111111111111"; constant ap_const_lv16_1 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000001"; constant ap_const_lv16_0 : STD_LOGIC_VECTOR (15 downto 0) := "0000000000000000"; constant ap_const_lv56_0 : STD_LOGIC_VECTOR (55 downto 0) := "00000000000000000000000000000000000000000000000000000000"; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "0"; signal ap_reg_ppiten_pp0_it0 : STD_LOGIC; signal ap_reg_ppiten_pp0_it1 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it2 : STD_LOGIC := '0'; signal ap_reg_ppiten_pp0_it3 : STD_LOGIC := '0'; signal i_sample_read_reg_147 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_147_pp0_it1 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_sample_read_reg_147_pp0_it2 : STD_LOGIC_VECTOR (15 downto 0); signal i_index_read_reg_153 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_153_pp0_it1 : STD_LOGIC_VECTOR (15 downto 0); signal ap_reg_ppstg_i_index_read_reg_153_pp0_it2 : STD_LOGIC_VECTOR (15 downto 0); signal indices_samples_load_new5_reg_165 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_7_fu_67_p1 : STD_LOGIC_VECTOR (63 downto 0); signal tmp_8_cast_fu_91_p1 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_9_fu_94_p2 : STD_LOGIC_VECTOR (16 downto 0); signal tmp_cast_fu_88_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_s_fu_104_p1 : STD_LOGIC_VECTOR (17 downto 0); signal tmp_9_fu_94_p2_temp: signed (17-1 downto 0); signal tmp_s_fu_104_p2 : STD_LOGIC_VECTOR (0 downto 0); signal tmp_1_fu_115_p2 : STD_LOGIC_VECTOR (15 downto 0); signal tmp_2_fu_110_p2 : STD_LOGIC_VECTOR (15 downto 0); signal agg_result_index_write_assign_fu_128_p3 : STD_LOGIC_VECTOR (15 downto 0); signal agg_result_sample_write_assign_fu_120_p3 : STD_LOGIC_VECTOR (15 downto 0); signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); signal ap_sig_pprstidle_pp0 : STD_LOGIC; begin -- the current state (ap_CS_fsm) of the state machine. -- ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_pp0_stg0_fsm_0; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; -- ap_reg_ppiten_pp0_it1 assign process. -- ap_reg_ppiten_pp0_it1_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it1 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it1 <= ap_reg_ppiten_pp0_it0; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it2 assign process. -- ap_reg_ppiten_pp0_it2_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it2 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it2 <= ap_reg_ppiten_pp0_it1; end if; end if; end if; end process; -- ap_reg_ppiten_pp0_it3 assign process. -- ap_reg_ppiten_pp0_it3_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_reg_ppiten_pp0_it3 <= ap_const_logic_0; else if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)) or not((ap_const_logic_1 = ap_ce)))))) then ap_reg_ppiten_pp0_it3 <= ap_reg_ppiten_pp0_it2; end if; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_reg_ppstg_i_index_read_reg_153_pp0_it1 <= i_index_read_reg_153; ap_reg_ppstg_i_index_read_reg_153_pp0_it2 <= ap_reg_ppstg_i_index_read_reg_153_pp0_it1; ap_reg_ppstg_i_sample_read_reg_147_pp0_it1 <= i_sample_read_reg_147; ap_reg_ppstg_i_sample_read_reg_147_pp0_it2 <= ap_reg_ppstg_i_sample_read_reg_147_pp0_it1; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then i_index_read_reg_153 <= i_index; i_sample_read_reg_147 <= i_sample; end if; end if; end process; -- assign process. -- process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_samples_load_new5_reg_165 <= indices_datain(47 downto 32); end if; end if; end process; -- the next state (ap_NS_fsm) of the state machine. -- ap_NS_fsm_assign_proc : process (ap_start , ap_CS_fsm , ap_reg_ppiten_pp0_it0 , ap_reg_ppiten_pp0_it2 , indices_rsp_empty_n , ap_ce , ap_sig_pprstidle_pp0) begin case ap_CS_fsm is when ap_ST_pp0_stg0_fsm_0 => ap_NS_fsm <= ap_ST_pp0_stg0_fsm_0; when others => ap_NS_fsm <= "X"; end case; end process; agg_result_index_write_assign_fu_128_p3 <= ap_reg_ppstg_i_index_read_reg_153_pp0_it2 when (tmp_s_fu_104_p2(0) = '1') else tmp_2_fu_110_p2; agg_result_sample_write_assign_fu_120_p3 <= tmp_1_fu_115_p2 when (tmp_s_fu_104_p2(0) = '1') else ap_const_lv16_0; -- ap_done assign process. -- ap_done_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3, indices_rsp_empty_n, ap_ce) begin if (((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0)) or ((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it3) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce)))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; -- ap_idle assign process. -- ap_idle_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2, ap_reg_ppiten_pp0_it3) begin if ((not((ap_const_logic_1 = ap_start)) and (ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it3))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; -- ap_ready assign process. -- ap_ready_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, indices_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_reg_ppiten_pp0_it0 <= ap_start; ap_return_0 <= agg_result_index_write_assign_fu_128_p3; ap_return_1 <= agg_result_sample_write_assign_fu_120_p3; -- ap_sig_pprstidle_pp0 assign process. -- ap_sig_pprstidle_pp0_assign_proc : process(ap_start, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it1, ap_reg_ppiten_pp0_it2) begin if (((ap_const_logic_0 = ap_reg_ppiten_pp0_it0) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it1) and (ap_const_logic_0 = ap_reg_ppiten_pp0_it2) and (ap_const_logic_0 = ap_start))) then ap_sig_pprstidle_pp0 <= ap_const_logic_1; else ap_sig_pprstidle_pp0 <= ap_const_logic_0; end if; end process; indices_address <= tmp_7_fu_67_p1(32 - 1 downto 0); indices_dataout <= ap_const_lv56_0; indices_req_din <= ap_const_logic_0; -- indices_req_write assign process. -- indices_req_write_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, indices_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_req_write <= ap_const_logic_1; else indices_req_write <= ap_const_logic_0; end if; end process; -- indices_rsp_read assign process. -- indices_rsp_read_assign_proc : process(ap_start, ap_CS_fsm, ap_reg_ppiten_pp0_it0, ap_reg_ppiten_pp0_it2, indices_rsp_empty_n, ap_ce) begin if (((ap_ST_pp0_stg0_fsm_0 = ap_CS_fsm) and (ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and not((((ap_const_logic_1 = ap_reg_ppiten_pp0_it0) and (ap_start = ap_const_logic_0)) or ((ap_const_logic_1 = ap_reg_ppiten_pp0_it2) and (indices_rsp_empty_n = ap_const_logic_0)))) and (ap_const_logic_1 = ap_ce))) then indices_rsp_read <= ap_const_logic_1; else indices_rsp_read <= ap_const_logic_0; end if; end process; indices_size <= ap_const_lv32_1; tmp_1_fu_115_p2 <= std_logic_vector(unsigned(ap_reg_ppstg_i_sample_read_reg_147_pp0_it2) + unsigned(ap_const_lv16_1)); tmp_2_fu_110_p2 <= std_logic_vector(unsigned(ap_reg_ppstg_i_index_read_reg_153_pp0_it2) + unsigned(ap_const_lv16_1)); tmp_7_fu_67_p1 <= std_logic_vector(resize(unsigned(i_index),64)); tmp_8_cast_fu_91_p1 <= std_logic_vector(resize(unsigned(indices_samples_load_new5_reg_165),17)); tmp_9_fu_94_p2 <= std_logic_vector(unsigned(tmp_8_cast_fu_91_p1) + unsigned(ap_const_lv17_1FFFF)); tmp_cast_fu_88_p1 <= std_logic_vector(resize(unsigned(ap_reg_ppstg_i_sample_read_reg_147_pp0_it2),18)); tmp_9_fu_94_p2_temp <= signed(tmp_9_fu_94_p2); tmp_s_fu_104_p1 <= std_logic_vector(resize(tmp_9_fu_94_p2_temp,18)); tmp_s_fu_104_p2 <= "1" when (signed(tmp_cast_fu_88_p1) < signed(tmp_s_fu_104_p1)) else "0"; end behav;
library ieee; use ieee.std_logic_1164.all; entity tb_output01 is end tb_output01; architecture behav of tb_output01 is signal i : std_logic; signal o : std_logic_vector (1 downto 0); begin inst: entity work.output01 port map (i => i, o => o); process begin i <= '0'; wait for 1 ns; assert o = "10" severity failure; i <= '1'; wait for 1 ns; assert o = "01" severity failure; wait; end process; end behav;
------------------------------------------------------------------------------- -- -- (C) COPYRIGHT 2006 TECHNOLUTION BV, GOUDA NL -- | ======= I == I = -- | I I I I -- | I === === I === I === === I I I ==== I === I === -- | I / \ I I/ I I/ I I I I I I I I I I I/ I -- | I ===== I I I I I I I I I I I I I I I I -- | I \ I I I I I I I I I /I \ I I I I I -- | I === === I I I I === === === I == I === I I -- | +---------------------------------------------------+ -- +----+ | +++++++++++++++++++++++++++++++++++++++++++++++++| -- | | ++++++++++++++++++++++++++++++++++++++| -- +------------+ +++++++++++++++++++++++++| -- ++++++++++++++| -- A U T O M A T I O N T E C H N O L O G Y +++++| -- ------------------------------------------------------------------------------- -- Title : file io package -- Author : Gideon Zweijtzer <[email protected]> ------------------------------------------------------------------------------- -- Description: File IO routines ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; library work; use work.tl_string_util_pkg.all; package tl_file_io_pkg is type t_slv8_array is array(natural range <>) of std_logic_vector(7 downto 0); procedure get_char_from_file(file my_file : text; my_line : inout line; file_end : out boolean; hex : out character); procedure get_byte_from_file(file my_file : text; my_line : inout line; file_end : out boolean; dat : out std_logic_vector); procedure read_hex_file_to_array (file myfile : text; array_size : integer; result : inout t_slv8_array); -- handling binary files type t_binary_file is file of integer; type t_binary_file_rec is record offset : integer range 0 to 4; long_vec : std_logic_vector(31 downto 0); end record; procedure init_record(rec : inout t_binary_file_rec); procedure read_byte(file my_file : t_binary_file; byte : out std_logic_vector; rec : inout t_binary_file_rec); procedure write_byte(file my_file : t_binary_file; byte : in std_logic_vector; rec : inout t_binary_file_rec); procedure purge(file my_file : t_binary_file; rec : inout t_binary_file_rec); end; package body tl_file_io_pkg is procedure get_char_from_file(file my_file : text; my_line : inout line; file_end : out boolean; hex : out character) is variable good : boolean := false; variable stop : boolean := false; begin while not(good) loop READ(my_line, hex, good); if not(good) then if ENDFILE(my_file) then stop := true; hex := '1'; return; end if; READLINE(my_file, my_line); end if; end loop; file_end := stop; end get_char_from_file; procedure get_byte_from_file(file my_file : text; my_line : inout line; file_end : out boolean; dat : out std_logic_vector) is variable hex : character; variable data : std_logic_vector(7 downto 0); variable stop : boolean := false; begin data := X"00"; l_1 : loop get_char_from_file(my_file, my_line, stop, hex); if stop or is_hex_char(hex) then exit l_1; end if; end loop l_1; data(3 downto 0) := hex_to_nibble(hex); -- see if we can read another good hex char get_char_from_file(my_file, my_line, stop, hex); if not(stop) and is_hex_char(hex) then data(7 downto 4) := data(3 downto 0); data(3 downto 0) := hex_to_nibble(hex); end if; file_end := stop; dat := data; end get_byte_from_file; procedure read_hex_file_to_array ( file myfile : text; array_size : integer; result : inout t_slv8_array) is variable L : line; variable addr : unsigned(31 downto 0) := (others => '0'); variable c : character; variable data : std_logic_vector(7 downto 0); variable sum : unsigned(7 downto 0); variable rectype : std_logic_vector(7 downto 0); variable tmp_addr : std_logic_vector(15 downto 0); variable fileend : boolean; variable linenr : integer := 0; variable len : integer; variable out_array: t_slv8_array(0 to array_size-1) := (others => (others => '0')); begin outer : while true loop if EndFile(myfile) then report "Missing end of file record." severity warning; exit outer; end if; -- search for lines starting with ':' start : while true loop readline(myfile, L); linenr := linenr + 1; read(L, c); if c = ':' then exit start; end if; end loop; -- parse the rest of the line sum := X"00"; get_byte_from_file(myfile, L, fileend, data); len := to_integer(unsigned(data)); get_byte_from_file(myfile, L, fileend, tmp_addr(15 downto 8)); get_byte_from_file(myfile, L, fileend, tmp_addr(7 downto 0)); get_byte_from_file(myfile, L, fileend, rectype); sum := sum - (unsigned(data) + unsigned(tmp_addr(15 downto 8)) + unsigned(tmp_addr(7 downto 0)) + unsigned(rectype)); case rectype is when X"00" => -- data record addr(15 downto 0) := unsigned(tmp_addr); for i in 0 to len-1 loop get_byte_from_file(myfile, L, fileend, data); sum := sum - unsigned(data); out_array(to_integer(addr)) := data; addr := addr + 1; end loop; when X"01" => -- end of file record exit outer; when X"04" => -- extended linear address record get_byte_from_file(myfile, L, fileend, data); addr(31 downto 24) := unsigned(data); sum := sum - addr(31 downto 24); get_byte_from_file(myfile, L, fileend, data); addr(23 downto 16) := unsigned(data); sum := sum - addr(23 downto 16); when others => report "Unexpected record type " & vec_to_hex(rectype, 2) severity warning; exit outer; end case; -- check checksum get_byte_from_file(myfile, L, fileend, data); assert sum = unsigned(data) report "Warning: Checksum incorrect at line: " & integer'image(linenr) severity warning; end loop; result := out_array; end read_hex_file_to_array; procedure init_record(rec : inout t_binary_file_rec) is begin rec.offset := 0; rec.long_vec := (others => '0'); end procedure; procedure read_byte(file my_file : t_binary_file; byte : out std_logic_vector; rec : inout t_binary_file_rec) is variable i : integer; begin if rec.offset = 0 then read(my_file, i); rec.long_vec := std_logic_vector(to_signed(i, 32)); --report "Long vec = " & hstr(rec.long_vec); end if; byte := rec.long_vec(7 downto 0); rec.long_vec := "00000000" & rec.long_vec(31 downto 8); -- lsB first if rec.offset = 3 then rec.offset := 0; else rec.offset := rec.offset + 1; end if; end procedure; procedure write_byte(file my_file : t_binary_file; byte : in std_logic_vector; rec : inout t_binary_file_rec) is variable i : integer; begin rec.long_vec(31 downto 24) := byte; if rec.offset = 3 then i := to_integer(signed(rec.long_vec)); write(my_file, i); rec.offset := 0; else rec.offset := rec.offset + 1; rec.long_vec := "00000000" & rec.long_vec(31 downto 8); -- lsB first end if; end procedure; procedure purge(file my_file : t_binary_file; rec : inout t_binary_file_rec) is variable i : integer; begin if rec.offset /= 0 then i := to_integer(unsigned(rec.long_vec)); write(my_file, i); end if; end procedure; end;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:25:38 11/17/2013 -- Design Name: -- Module Name: My_4x1Mux_948282 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity My_4x1Mux_948282 is Port ( Sel1 : in STD_LOGIC; Sel2 : in STD_LOGIC; A_mux : in STD_LOGIC; B_mux : in STD_LOGIC; C_mux : in STD_LOGIC; D_mux : in STD_LOGIC; Result : out STD_LOGIC); end My_4x1Mux_948282; architecture Behavioral of My_4x1Mux_948282 is component My_And_948282 is Port ( A : in STD_LOGIC; B : in STD_LOGIC; R : out STD_LOGIC); end component; component My_Or_948282 is Port ( A : in STD_LOGIC; B : in STD_LOGIC; R : out STD_LOGIC); end component; component myNOT_948282 is Port ( i1 : in STD_LOGIC; o1 : out STD_LOGIC); end component; signal sig1: std_logic; signal sig2, sig3, sig4, sig5, sig6, sig7, sig8, sig9, sig10, sig11, sig12, sig13: std_logic; begin u0: MyNOT_948282 port map (i1=>Sel1, o1=>sig1); u1: MyNOT_948282 port map (i1=>Sel2, o1=>sig2); u2: My_And_948282 port map (A=>A_mux, B=>sig1, R=>sig3); u3: My_And_948282 port map (A=>sig3, B=>sig2, R=>sig4);-- sig4 First in or u4: My_And_948282 port map (A=>B_mux, B=>sig1, R=>sig5); u5: My_And_948282 port map (A=>sig5, B=>Sel2, R=>sig6);--sig5 in or u6: My_And_948282 port map (A=>C_mux, B=>Sel1, R=>sig7); u7: My_And_948282 port map (A=>sig7, B=>sig2, R=>sig8);--sig8 in or u8: My_And_948282 port map (A=>D_mux, B=>Sel1, R=>sig9); u9: My_And_948282 port map (A=>sig9, B=>Sel2, R=>sig10); --sig10 in or u10: My_Or_948282 port map (A=>sig4, B=>sig6, R=>sig11); --sig11 u11: My_Or_948282 port map (A=>sig8, B=>sig10, R=>sig12);--sig12 u12: My_Or_948282 port map (A=>sig11, B=>sig12, R=>Result); end Behavioral;
-- Wraps ComBlock 5402 server into something more AXI compatible library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.com5402pkg.all; -- defines global types, number of TCP streams, etc use work.com5402_wrapper_pkg.all; entity com5402_wrapper is generic ( SIMULATION : std_logic := '0'; FIXED_IP : boolean := false); port ( clk : in std_logic; rst : in std_logic; tcp_rst : in std_logic; mac_addr : in std_logic_vector(47 downto 0) := (others => '0'); IPv4_addr : in std_logic_vector(31 downto 0) := (others => '0'); subnet_mask : in std_logic_vector(31 downto 0) := (others => '0'); gateway_ip_addr : in std_logic_vector(31 downto 0) := (others => '0'); dhcp_enable : in std_logic := '0'; mac_tx_tdata : out std_logic_vector(7 downto 0); mac_tx_tvalid : out std_logic; mac_tx_tlast : out std_logic; mac_tx_tuser : out std_logic; mac_tx_tready : in std_logic; mac_rx_tdata : in std_logic_vector(7 downto 0); mac_rx_tvalid : in std_logic; mac_rx_tlast : in std_logic; mac_rx_tuser : in std_logic; mac_rx_tready : out std_logic; udp_rx_tdata : out std_logic_vector(7 downto 0); udp_rx_tvalid : out std_logic; udp_rx_tlast : out std_logic; udp_rx_dest_port : in std_logic_vector(15 downto 0) := (others => '0'); udp_rx_src_port : out std_logic_vector(15 downto 0); rx_src_ip_addr : out std_logic_vector(31 downto 0); udp_tx_tdata : in std_logic_vector(7 downto 0) := (others => '0'); udp_tx_tvalid : in std_logic := '0'; udp_tx_tlast : in std_logic := '0'; udp_tx_tready : out std_logic; udp_tx_src_port : in std_logic_vector(15 downto 0) := (others => '0'); udp_tx_dest_port : in std_logic_vector(15 downto 0) := (others => '0'); udp_tx_dest_ip_addr : in std_logic_vector(31 downto 0) := (others => '0'); udp_tx_ack : out std_logic; udp_tx_nack : out std_logic; tcp_port : in std_logic_vector(15 downto 0) := (others => '0'); tcp_rx_tdata : out std_logic_vector(7 downto 0); tcp_rx_tvalid : out std_logic; tcp_rx_tready : in std_logic := '1'; tcp_tx_tdata : in std_logic_vector(7 downto 0) := (others => '0'); tcp_tx_tvalid : in std_logic := '0'; tcp_tx_tready : out std_logic ); end entity; architecture arch of com5402_wrapper is signal tcp_rx_data, tcp_tx_data : SLV8xNTCPSTREAMStype; --sof/eof signal generation type SOF_STATE_TYPE is (IDLE, WAIT_FOR_LAST); signal mac_rx_sof_state : SOF_STATE_TYPE; signal mac_rx_sof : std_logic; signal udp_tx_sof_state : SOF_STATE_TYPE; signal udp_tx_sof : std_logic; signal udp_tx_cts : std_logic; signal udp_tx_data_valid : std_logic; signal udp_tx_eof : std_logic; --Vivado doesn't properly support reading from out in simulation signal mac_tx_tlast_int : std_logic; signal mac_rx_tready_int : std_logic; --CTS / tready handshakes signal tcp_rx_tvalid_int : std_logic; signal tcp_tx_cts : std_logic; signal tcp_tx_tvalid_int : std_logic; --AXIS to Comblock tlast conversion signal mac_rx_tlast_int : std_logic; type rx_ifg_state_t is (IDLE, GAP); signal rx_ifg_state : rx_ifg_state_t := IDLE; begin --don't leave mac_rx_tlast hanging asserted otherwise Comblock gets messed up mac_rx_tlast_int <= mac_rx_tlast and mac_rx_tvalid; mac_tx_tuser <= '0'; mac_tx_tlast <= mac_tx_tlast_int; -- seems to be no signal from ComBlock to apply back pressure -- generate one to ensure an interframe gap mac_rx_ifg : process(clk) constant RX_IFG_DELAY : natural := 7; variable ifg_counter : natural range 0 to RX_IFG_DELAY := 0; begin if rising_edge(clk) then if rst = '1' then rx_ifg_state <= IDLE; else case (rx_ifg_state) is when IDLE => ifg_counter := RX_IFG_DELAY; if mac_rx_tlast_int = '1' then rx_ifg_state <= GAP; end if; when GAP => if ifg_counter = 0 then rx_ifg_state <= IDLE; else ifg_counter := ifg_counter - 1; end if; end case; end if; end if; end process ; -- mac_rx_ifg mac_rx_tready_int <= '0' when rx_ifg_state = GAP else '1'; mac_rx_tready <= mac_rx_tready_int; --Create start-of-frame signals --TODO turn into procedure mac_rx_sof_creator : process(clk) begin if rising_edge(clk) then if rst = '1' then mac_rx_sof_state <= IDLE; else case( mac_rx_sof_state ) is when IDLE => if mac_rx_tvalid = '1' and mac_rx_tready_int = '1' then mac_rx_sof_state <= WAIT_FOR_LAST; end if; when WAIT_FOR_LAST => if mac_rx_tlast = '1' and mac_rx_tvalid = '1' and mac_rx_tready_int = '1' then mac_rx_sof_state <= IDLE; end if; end case; end if; end if; end process; mac_rx_sof <= mac_rx_tvalid and mac_rx_tready_int when mac_rx_sof_state = IDLE else '0'; udp_tx_sof_creator : process(clk) begin if rising_edge(clk) then if rst = '1' then udp_tx_sof_state <= IDLE; udp_tx_sof <= '0'; else case( udp_tx_sof_state ) is when IDLE => udp_tx_sof <= '0'; if udp_tx_tvalid = '1' and udp_tx_cts = '1' then udp_tx_sof_state <= WAIT_FOR_LAST; udp_tx_sof <= '1'; end if; when WAIT_FOR_LAST => udp_tx_sof <= '0'; if udp_tx_tvalid = '1' and udp_tx_tlast = '1' then udp_tx_sof_state <= IDLE; end if; end case; end if; end if; end process; udp_tx_data_valid <= udp_tx_tvalid when udp_tx_sof_state = WAIT_FOR_LAST else '0'; udp_tx_eof <= udp_tx_tlast when udp_tx_sof_state = WAIT_FOR_LAST else '0'; --the ComBlock UDP_TX module can take a full packet when CTS is asserted. --we could add a frame length check to make sure we don't try and send more than one frame udp_tx_tready <= '1' when udp_tx_sof_state = WAIT_FOR_LAST else '0'; --TCP stream interface between Comblock CTS and AXIS tready For rx CTS is more --like a read enable so use a small FIFO as an elastic buffer and short-circuit --the ready signal. When ready deasserts the Comblock stream will continue to --flow for two clock cycles which can be soaked up by the FIFO. When ready --asserts then Comblock stream will take two clocks to get going again giving us time to catch up. tcp_rx_handshake: axis_srl_fifo generic map ( DATA_WIDTH => 8, DEPTH => 32 ) port map ( clk => clk, rst => rst, input_axis_tdata => tcp_rx_data(0), input_axis_tvalid => tcp_rx_tvalid_int, input_axis_tready => open, input_axis_tlast => '0', input_axis_tuser => '0', output_axis_tdata => tcp_rx_tdata, output_axis_tvalid => tcp_rx_tvalid, output_axis_tready => tcp_rx_tready, output_axis_tlast => open, output_axis_tuser => open, count => open ); --Digging into the Comblock it appears tcp_tx_cts asserts when the buffer can --take up to 128 bytes. Need to mask out valid though when CTS is low because --AXIS thinks data is not accepted tcp_tx_tready <= tcp_tx_cts; tcp_tx_tvalid_int <= tcp_tx_tvalid when tcp_tx_cts = '1' else '0'; com5402_inst : entity work.COM5402_DHCP generic map ( NUDPTX => 1, NUDPRX => 1, IGMP_EN => '0', NTCPSTREAMS => 1, CLK_FREQUENCY => 125, SIMULATION => SIMULATION, WITH_DHCP_CLIENT => true, FIXED_IP => FIXED_IP ) port map ( CLK => clk, SYNC_RESET => rst, MAC_ADDR => mac_addr, REQUESTED_IPv4_ADDR => IPv4_addr, IPv6_ADDR => (others => '0'), MULTICAST_IP_ADDR => (others => '0'), SUBNET_MASK => subnet_mask, GATEWAY_IP_ADDR => gateway_ip_addr, DYNAMIC_IP => dhcp_enable, CONNECTION_RESET(0) => tcp_rst, MAC_TX_DATA => mac_tx_tdata, MAC_TX_DATA_VALID => mac_tx_tvalid, MAC_TX_SOF => open, MAC_TX_EOF => mac_tx_tlast_int, MAC_TX_CTS => mac_tx_tready, MAC_RX_DATA => mac_rx_tdata, MAC_RX_DATA_VALID => mac_rx_tvalid, MAC_RX_SOF => mac_rx_sof, MAC_RX_EOF => mac_rx_tlast_int, UDP_RX_DATA => udp_rx_tdata, UDP_RX_DATA_VALID => udp_rx_tvalid, UDP_RX_EOF => udp_rx_tlast, UDP_RX_DEST_PORT_NO_IN => udp_rx_dest_port, CHECK_UDP_RX_DEST_PORT_NO => '1', UDP_RX_DEST_PORT_NO_OUT => open, UDP_RX_SRC_PORT_NO => udp_rx_src_port, RX_SRC_IP_ADDR => rx_src_ip_addr, UDP_TX_DATA => udp_tx_tdata, UDP_TX_DATA_VALID => udp_tx_data_valid, UDP_TX_SOF => udp_tx_sof, UDP_TX_EOF => udp_tx_eof, UDP_TX_CTS => udp_tx_cts, UDP_TX_ACK => udp_tx_ack, UDP_TX_NAK => udp_tx_nack, UDP_TX_DEST_IP_ADDR(127 downto 32) => (others => '0'), --ignore IPv6 for now UDP_TX_DEST_IP_ADDR(31 downto 0) => udp_tx_dest_ip_addr, UDP_TX_DEST_PORT_NO => udp_tx_dest_port, UDP_TX_SOURCE_PORT_NO => udp_tx_src_port, TCP_PORT_NO => tcp_port, TCP_RX_DATA => tcp_rx_data, TCP_RX_DATA_VALID(0) => tcp_rx_tvalid_int, TCP_RX_RTS => open, TCP_RX_CTS(0) => tcp_rx_tready, TCP_TX_DATA => tcp_tx_data, TCP_TX_DATA_VALID(0) => tcp_tx_tvalid_int, TCP_TX_CTS(0) => tcp_tx_cts ); --std_logic_vector tcp_tx_data(0) <= tcp_tx_tdata; end architecture;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_synth.vhd -- -- Description: -- This is the demo testbench for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.ALL; USE IEEE.STD_LOGIC_arith.ALL; USE ieee.numeric_std.ALL; USE ieee.STD_LOGIC_misc.ALL; LIBRARY std; USE std.textio.ALL; LIBRARY unisim; USE unisim.vcomponents.ALL; LIBRARY work; USE work.fg_tb_pkg.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY fg_tb_synth IS GENERIC( FREEZEON_ERROR : INTEGER := 0; TB_STOP_CNT : INTEGER := 0; TB_SEED : INTEGER := 1 ); PORT( CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; SIM_DONE : OUT STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END ENTITY; ARCHITECTURE simulation_arch OF fg_tb_synth IS -- FIFO interface signal declarations SIGNAL clk_i : STD_LOGIC; SIGNAL data_count : STD_LOGIC_VECTOR(5-1 DOWNTO 0); SIGNAL rst : STD_LOGIC; SIGNAL wr_en : STD_LOGIC; SIGNAL rd_en : STD_LOGIC; SIGNAL din : STD_LOGIC_VECTOR(32-1 DOWNTO 0); SIGNAL dout : STD_LOGIC_VECTOR(32-1 DOWNTO 0); SIGNAL full : STD_LOGIC; SIGNAL empty : STD_LOGIC; -- TB Signals SIGNAL wr_data : STD_LOGIC_VECTOR(32-1 DOWNTO 0); SIGNAL dout_i : STD_LOGIC_VECTOR(32-1 DOWNTO 0); SIGNAL wr_en_i : STD_LOGIC := '0'; SIGNAL rd_en_i : STD_LOGIC := '0'; SIGNAL full_i : STD_LOGIC := '0'; SIGNAL empty_i : STD_LOGIC := '0'; SIGNAL almost_full_i : STD_LOGIC := '0'; SIGNAL almost_empty_i : STD_LOGIC := '0'; SIGNAL prc_we_i : STD_LOGIC := '0'; SIGNAL prc_re_i : STD_LOGIC := '0'; SIGNAL dout_chk_i : STD_LOGIC := '0'; SIGNAL rst_int_rd : STD_LOGIC := '0'; SIGNAL rst_int_wr : STD_LOGIC := '0'; SIGNAL rst_gen_rd : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL rst_s_wr3 : STD_LOGIC := '0'; SIGNAL rst_s_rd : STD_LOGIC := '0'; SIGNAL reset_en : STD_LOGIC := '0'; SIGNAL rst_async_rd1 : STD_LOGIC := '0'; SIGNAL rst_async_rd2 : STD_LOGIC := '0'; SIGNAL rst_async_rd3 : STD_LOGIC := '0'; BEGIN ---- Reset generation logic ----- rst_int_wr <= rst_async_rd3 OR rst_s_rd; rst_int_rd <= rst_async_rd3 OR rst_s_rd; --Testbench reset synchronization PROCESS(clk_i,RESET) BEGIN IF(RESET = '1') THEN rst_async_rd1 <= '1'; rst_async_rd2 <= '1'; rst_async_rd3 <= '1'; ELSIF(clk_i'event AND clk_i='1') THEN rst_async_rd1 <= RESET; rst_async_rd2 <= rst_async_rd1; rst_async_rd3 <= rst_async_rd2; END IF; END PROCESS; --Soft reset for core and testbench PROCESS(clk_i) BEGIN IF(clk_i'event AND clk_i='1') THEN rst_gen_rd <= rst_gen_rd + "1"; IF(reset_en = '1' AND AND_REDUCE(rst_gen_rd) = '1') THEN rst_s_rd <= '1'; assert false report "Reset applied..Memory Collision checks are not valid" severity note; ELSE IF(AND_REDUCE(rst_gen_rd) = '1' AND rst_s_rd = '1') THEN rst_s_rd <= '0'; assert false report "Reset removed..Memory Collision checks are valid" severity note; END IF; END IF; END IF; END PROCESS; ------------------ ---- Clock buffers for testbench ---- clk_buf: bufg PORT map( i => CLK, o => clk_i ); ------------------ rst <= RESET OR rst_s_rd AFTER 12 ns; din <= wr_data; dout_i <= dout; wr_en <= wr_en_i; rd_en <= rd_en_i; full_i <= full; empty_i <= empty; fg_dg_nv: fg_tb_dgen GENERIC MAP ( C_DIN_WIDTH => 32, C_DOUT_WIDTH => 32, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP ( -- Write Port RESET => rst_int_wr, WR_CLK => clk_i, PRC_WR_EN => prc_we_i, FULL => full_i, WR_EN => wr_en_i, WR_DATA => wr_data ); fg_dv_nv: fg_tb_dverif GENERIC MAP ( C_DOUT_WIDTH => 32, C_DIN_WIDTH => 32, C_USE_EMBEDDED_REG => 0, TB_SEED => TB_SEED, C_CH_TYPE => 0 ) PORT MAP( RESET => rst_int_rd, RD_CLK => clk_i, PRC_RD_EN => prc_re_i, RD_EN => rd_en_i, EMPTY => empty_i, DATA_OUT => dout_i, DOUT_CHK => dout_chk_i ); fg_pc_nv: fg_tb_pctrl GENERIC MAP ( AXI_CHANNEL => "Native", C_APPLICATION_TYPE => 0, C_DOUT_WIDTH => 32, C_DIN_WIDTH => 32, C_WR_PNTR_WIDTH => 4, C_RD_PNTR_WIDTH => 4, C_CH_TYPE => 0, FREEZEON_ERROR => FREEZEON_ERROR, TB_SEED => TB_SEED, TB_STOP_CNT => TB_STOP_CNT ) PORT MAP( RESET_WR => rst_int_wr, RESET_RD => rst_int_rd, RESET_EN => reset_en, WR_CLK => clk_i, RD_CLK => clk_i, PRC_WR_EN => prc_we_i, PRC_RD_EN => prc_re_i, FULL => full_i, ALMOST_FULL => almost_full_i, ALMOST_EMPTY => almost_empty_i, DOUT_CHK => dout_chk_i, EMPTY => empty_i, DATA_IN => wr_data, DATA_OUT => dout, SIM_DONE => SIM_DONE, STATUS => STATUS ); fg_inst : GC_fifo_top PORT MAP ( CLK => clk_i, DATA_COUNT => data_count, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); END ARCHITECTURE;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--SINGLE_FILE_TAG ------------------------------------------------------------------------------- -- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- ipif_mirror128 - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ipif_steer128.vhd -- Version: v1.00b -- Description: Read and Write Steering logic for IPIF -- -- For writes, this logic mirrors data from the master with -- the smaller bus width to the correct byte lanes of the -- larger IPIF devices. The BE signals are also mirrored. -- -- For reads, the Decode_size signal determines how read -- data is steered onto the byte lanes. To simplify the -- logic, the read data is mirrored onto the entire data -- bus, insuring that the lanes corrsponding to the BE's -- have correct data. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- ipif_steer128.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 10-10-2008 -- First version -- ^^^^^^ -- First version of IPIF mirror logic. -- ~~~~~~ -- -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- -- Port declarations -- generic definitions: -- C_DWIDTH : integer := width of IPIF Slave -- C_SMALLEST : integer := width of smallest Master (not access size) -- that will access the IPIF Slave -- C_AWIDTH : integer := width of the host address bus attached to -- the IPIF -- port definitions: -- Wr_Data_In : in Write Data In (from host data bus) -- Rd_Data_In : in Read Data In (from IPIC data bus) -- Addr : in Address bus from host address bus -- BE_In : in Byte Enables In from host side -- Decode_size : in Size of Master accessing slave -- Size indication (Decode_size) -- 00 - 32-Bit Master -- 01 - 64-Bit Master -- 10 - 128-Bit Master -- 11 - 256-Bit Master (Not Support) -- -- Wr_Data_Out : out Write Data Out (to IPIF data bus) -- Rd_Data_Out : out Read Data Out (to host data bus) -- BE_Out : out Byte Enables Out to IPIF side -- ------------------------------------------------------------------------------- entity ipif_mirror128 is generic ( C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth) C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master) C_AWIDTH : integer := 32 ); port ( Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1); Wr_Size : in std_logic_vector(0 to 1); Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1); Rd_Size : in std_logic_vector(0 to 1); Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1); Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1); BE_In : in std_logic_vector(0 to C_DWIDTH/8-1); Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1); BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1) ); end entity ipif_mirror128; ------------------------------------------------------------------------------- -- Architecture section ------------------------------------------------------------------------------- architecture IMP of ipif_mirror128 is ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin -- architecture IMP GEN_SAME: if C_DWIDTH <= C_SMALLEST generate Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; Rd_Data_Out <= Rd_Data_In; end generate GEN_SAME; ------------------------------------------------------------------------------- -- Write Data Mirroring ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-3); --a29 case addr_bits is when '0' => case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 7) <= (others => '0'); when others => null; end case; when '1' => case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "00" => --0 case Wr_Size is when "00" => -- 32-Bit Master BE_Out(4 to 15) <= (others => '0'); when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "01" => --4 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31); BE_Out(4 to 7) <= BE_In(0 to 3); BE_Out(0 to 3) <= (others => '0'); BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when "10" => --8 case Wr_Size is when "00" => -- 32-Bit Master Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31); BE_Out(8 to 11) <= BE_In(0 to 3); BE_Out(0 to 7) <= (others => '0'); BE_Out(12 to 15) <= (others => '0'); when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when "11" => --C case Wr_Size is when "00" => --32-Bit Master Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31); BE_Out(12 to 15) <= BE_In(0 to 3); BE_Out(0 to 11) <= (others => '0'); when "01" => --64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_32; GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size) begin Wr_Data_Out <= Wr_Data_In; BE_Out <= BE_In; addr_bits <= Wr_Addr(C_AWIDTH-4); case addr_bits is when '0' => case Wr_Size is when "01" => -- 64-Bit Master BE_Out(8 to 15) <= (others => '0'); when others => null; end case; when '1' => --8 case Wr_Size is when "01" => -- 64-Bit Master Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63); BE_Out(8 to 15) <= BE_In(0 to 7); BE_Out(0 to 7) <= (others => '0'); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_WR_128_64; ------------------------------------------------------------------------------- -- Read Data Steering ------------------------------------------------------------------------------- --------------------- -- 64 Bit Support -- --------------------- GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-3); --a29 case addr_bits is when '1' => case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_64_32; --------------------- -- 128 Bit Support -- --------------------- GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate signal addr_bits : std_logic_vector(0 to 1); begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3); case addr_bits is when "01" => --4 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63); when others => null; end case; when "10" => --8 case Rd_Size is when "00" => -- 32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95); when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when "11" => --C case Rd_Size is when "00" => --32-Bit Master Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127); when "01" => --64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_32; GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate signal addr_bits : std_logic; begin CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size) begin Rd_Data_Out <= Rd_Data_In; addr_bits <= Rd_Addr(C_AWIDTH-4); case addr_bits is when '1' => --8 case Rd_Size is when "01" => -- 64-Bit Master Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127); when others => null; end case; when others => null; end case; end process CONNECT_PROC; end generate GEN_RD_128_64; end architecture IMP;
--------------------------------------------------------------------------- -- -- Title: Hardware Thread User Logic Exit Thread -- To be used as a place holder, and size estimate for HWTI -- --------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; use IEEE.std_logic_misc.all; library Unisim; use Unisim.all; --------------------------------------------------------------------------- -- Port declarations --------------------------------------------------------------------------- -- Definition of Ports: -- -- Misc. Signals -- clock -- -- HWTI to HWTUL interconnect -- intrfc2thrd_address 32 bits memory -- intrfc2thrd_value 32 bits memory function -- intrfc2thrd_function 16 bits control -- intrfc2thrd_goWait 1 bits control -- -- HWTUL to HWTI interconnect -- thrd2intrfc_address 32 bits memory -- thrd2intrfc_value 32 bits memory function -- thrd2intrfc_function 16 bits function -- thrd2intrfc_opcode 6 bits memory function -- --------------------------------------------------------------------------- -- Thread Manager Entity section --------------------------------------------------------------------------- entity user_logic_hwtul is port ( clock : in std_logic; intrfc2thrd_address : in std_logic_vector(0 to 31); intrfc2thrd_value : in std_logic_vector(0 to 31); intrfc2thrd_function : in std_logic_vector(0 to 15); intrfc2thrd_goWait : in std_logic; thrd2intrfc_address : out std_logic_vector(0 to 31); thrd2intrfc_value : out std_logic_vector(0 to 31); thrd2intrfc_function : out std_logic_vector(0 to 15); thrd2intrfc_opcode : out std_logic_vector(0 to 5) ); end entity user_logic_hwtul; --------------------------------------------------------------------------- -- Architecture section --------------------------------------------------------------------------- architecture IMP of user_logic_hwtul is --------------------------------------------------------------------------- -- Signal declarations --------------------------------------------------------------------------- type state_machine is ( FUNCTION_RESET, FUNCTION_USER_SELECT, FUNCTION_START, FUNCTION_EXIT, STATE_1, STATE_2, STATE_3, STATE_4, STATE_5, STATE_6, STATE_7, STATE_8, STATE_9, STATE_10, STATE_11, STATE_12, STATE_13, STATE_14, STATE_15, STATE_16, STATE_17, STATE_18, STATE_19, STATE_20, WAIT_STATE, ERROR_STATE); -- Function definitions constant U_FUNCTION_RESET : std_logic_vector(0 to 15) := x"0000"; constant U_FUNCTION_WAIT : std_logic_vector(0 to 15) := x"0001"; constant U_FUNCTION_USER_SELECT : std_logic_vector(0 to 15) := x"0002"; constant U_FUNCTION_START : std_logic_vector(0 to 15) := x"0003"; constant U_STATE_1 : std_logic_vector(0 to 15) := x"0101"; constant U_STATE_2 : std_logic_vector(0 to 15) := x"0102"; constant U_STATE_3 : std_logic_vector(0 to 15) := x"0103"; constant U_STATE_4 : std_logic_vector(0 to 15) := x"0104"; constant U_STATE_5 : std_logic_vector(0 to 15) := x"0105"; constant U_STATE_6 : std_logic_vector(0 to 15) := x"0106"; constant U_STATE_7 : std_logic_vector(0 to 15) := x"0107"; constant U_STATE_8 : std_logic_vector(0 to 15) := x"0108"; constant U_STATE_9 : std_logic_vector(0 to 15) := x"0109"; constant U_STATE_10 : std_logic_vector(0 to 15) := x"0110"; constant U_STATE_11 : std_logic_vector(0 to 15) := x"0111"; constant U_STATE_12 : std_logic_vector(0 to 15) := x"0112"; constant U_STATE_13 : std_logic_vector(0 to 15) := x"0113"; constant U_STATE_14 : std_logic_vector(0 to 15) := x"0114"; constant U_STATE_15 : std_logic_vector(0 to 15) := x"0115"; constant U_STATE_16 : std_logic_vector(0 to 15) := x"0116"; constant U_STATE_17 : std_logic_vector(0 to 15) := x"0117"; constant U_STATE_18 : std_logic_vector(0 to 15) := x"0118"; constant U_STATE_19 : std_logic_vector(0 to 15) := x"0119"; constant U_STATE_20 : std_logic_vector(0 to 15) := x"0120"; -- Range 0003 to 7999 reserved for user logic's state machine -- Range 8000 to 9999 reserved for system calls constant FUNCTION_HTHREAD_ATTR_INIT : std_logic_vector(0 to 15) := x"8000"; constant FUNCTION_HTHREAD_ATTR_DESTROY : std_logic_vector(0 to 15) := x"8001"; constant FUNCTION_HTHREAD_CREATE : std_logic_vector(0 to 15) := x"8010"; constant FUNCTION_HTHREAD_JOIN : std_logic_vector(0 to 15) := x"8011"; constant FUNCTION_HTHREAD_SELF : std_logic_vector(0 to 15) := x"8012"; constant FUNCTION_HTHREAD_YIELD : std_logic_vector(0 to 15) := x"8013"; constant FUNCTION_HTHREAD_EQUAL : std_logic_vector(0 to 15) := x"8014"; constant FUNCTION_HTHREAD_EXIT : std_logic_vector(0 to 15) := x"8015"; constant FUNCTION_HTHREAD_EXIT_ERROR : std_logic_vector(0 to 15) := x"8016"; constant FUNCTION_HTHREAD_MUTEXATTR_INIT : std_logic_vector(0 to 15) := x"8020"; constant FUNCTION_HTHREAD_MUTEXATTR_DESTROY : std_logic_vector(0 to 15) := x"8021"; constant FUNCTION_HTHREAD_MUTEXATTR_SETNUM : std_logic_vector(0 to 15) := x"8022"; constant FUNCTION_HTHREAD_MUTEXATTR_GETNUM : std_logic_vector(0 to 15) := x"8023"; constant FUNCTION_HTHREAD_MUTEX_INIT : std_logic_vector(0 to 15) := x"8030"; constant FUNCTION_HTHREAD_MUTEX_DESTROY : std_logic_vector(0 to 15) := x"8031"; constant FUNCTION_HTHREAD_MUTEX_LOCK : std_logic_vector(0 to 15) := x"8032"; constant FUNCTION_HTHREAD_MUTEX_UNLOCK : std_logic_vector(0 to 15) := x"8033"; constant FUNCTION_HTHREAD_MUTEX_TRYLOCK : std_logic_vector(0 to 15) := x"8034"; constant FUNCTION_HTHREAD_CONDATTR_INIT : std_logic_vector(0 to 15) := x"8040"; constant FUNCTION_HTHREAD_CONDATTR_DESTROY : std_logic_vector(0 to 15) := x"8041"; constant FUNCTION_HTHREAD_CONDATTR_SETNUM : std_logic_vector(0 to 15) := x"8042"; constant FUNCTION_HTHREAD_CONDATTR_GETNUM : std_logic_vector(0 to 15) := x"8043"; constant FUNCTION_HTHREAD_COND_INIT : std_logic_vector(0 to 15) := x"8050"; constant FUNCTION_HTHREAD_COND_DESTROY : std_logic_vector(0 to 15) := x"8051"; constant FUNCTION_HTHREAD_COND_SIGNAL : std_logic_vector(0 to 15) := x"8052"; constant FUNCTION_HTHREAD_COND_BROADCAST : std_logic_vector(0 to 15) := x"8053"; constant FUNCTION_HTHREAD_COND_WAIT : std_logic_vector(0 to 15) := x"8054"; -- Ranged A000 to FFFF reserved for supported library calls constant FUNCTION_MALLOC : std_logic_vector(0 to 15) := x"A000"; constant FUNCTION_CALLOC : std_logic_vector(0 to 15) := x"A001"; constant FUNCTION_FREE : std_logic_vector(0 to 15) := x"A002"; -- user_opcode Constants constant OPCODE_NOOP : std_logic_vector(0 to 5) := "000000"; -- Memory sub-interface specific opcodes constant OPCODE_LOAD : std_logic_vector(0 to 5) := "000001"; constant OPCODE_STORE : std_logic_vector(0 to 5) := "000010"; constant OPCODE_DECLARE : std_logic_vector(0 to 5) := "000011"; constant OPCODE_READ : std_logic_vector(0 to 5) := "000100"; constant OPCODE_WRITE : std_logic_vector(0 to 5) := "000101"; constant OPCODE_ADDRESS : std_logic_vector(0 to 5) := "000110"; -- Function sub-interface specific opcodes constant OPCODE_PUSH : std_logic_vector(0 to 5) := "010000"; constant OPCODE_POP : std_logic_vector(0 to 5) := "010001"; constant OPCODE_CALL : std_logic_vector(0 to 5) := "010010"; constant OPCODE_RETURN : std_logic_vector(0 to 5) := "010011"; constant Z32 : std_logic_vector(0 to 31) := (others => '0'); signal current_state, next_state : state_machine := FUNCTION_RESET; signal return_state, return_state_next: state_machine := FUNCTION_RESET; signal toUser_address : std_logic_vector(0 to 31); signal toUser_value : std_logic_vector(0 to 31); signal toUser_function : std_logic_vector(0 to 15); signal toUser_goWait : std_logic; signal retVal, retVal_next : std_logic_vector(0 to 31); signal arg, arg_next : std_logic_vector(0 to 31); signal reg1, reg1_next : std_logic_vector(0 to 31); signal reg2, reg2_next : std_logic_vector(0 to 31); signal reg3, reg3_next : std_logic_vector(0 to 31); signal reg4, reg4_next : std_logic_vector(0 to 31); signal reg5, reg5_next : std_logic_vector(0 to 31); signal reg6, reg6_next : std_logic_vector(0 to 31); signal reg7, reg7_next : std_logic_vector(0 to 31); signal reg8, reg8_next : std_logic_vector(0 to 31); --------------------------------------------------------------------------- -- Begin architecture --------------------------------------------------------------------------- begin -- architecture IMP HWTUL_STATE_PROCESS : process (clock, intrfc2thrd_goWait) is begin if (clock'event and (clock = '1')) then toUser_address <= intrfc2thrd_address; toUser_value <= intrfc2thrd_value; toUser_function <= intrfc2thrd_function; toUser_goWait <= intrfc2thrd_goWait; return_state <= return_state_next; retVal <= retVal_next; arg <= arg_next; reg1 <= reg1_next; reg2 <= reg2_next; reg3 <= reg3_next; reg4 <= reg4_next; reg5 <= reg5_next; reg6 <= reg6_next; reg7 <= reg7_next; reg8 <= reg8_next; -- Find out if the HWTI is tell us what to do if (intrfc2thrd_goWait = '1') then case intrfc2thrd_function is -- Typically the HWTI will tell us to control our own destiny when U_FUNCTION_USER_SELECT => current_state <= next_state; -- List all the functions the HWTI could tell us to run when U_FUNCTION_RESET => current_state <= FUNCTION_RESET; when U_FUNCTION_START => current_state <= FUNCTION_START; when U_STATE_1 => current_state <= STATE_1; when U_STATE_2 => current_state <= STATE_2; when U_STATE_3 => current_state <= STATE_3; when U_STATE_4 => current_state <= STATE_4; when U_STATE_5 => current_state <= STATE_5; when U_STATE_6 => current_state <= STATE_6; when U_STATE_7 => current_state <= STATE_7; when U_STATE_8 => current_state <= STATE_8; when U_STATE_9 => current_state <= STATE_9; when U_STATE_10 => current_state <= STATE_10; when U_STATE_11 => current_state <= STATE_11; when U_STATE_12 => current_state <= STATE_12; when U_STATE_13 => current_state <= STATE_13; when U_STATE_14 => current_state <= STATE_14; when U_STATE_15 => current_state <= STATE_15; when U_STATE_16 => current_state <= STATE_16; when U_STATE_17 => current_state <= STATE_17; when U_STATE_18 => current_state <= STATE_18; when U_STATE_19 => current_state <= STATE_19; when U_STATE_20 => current_state <= STATE_20; -- If the HWTI tells us to do something we don't know, error when OTHERS => current_state <= ERROR_STATE; end case; else current_state <= WAIT_STATE; end if; end if; end process HWTUL_STATE_PROCESS; HWTUL_STATE_MACHINE : process (clock) is begin -- Default register assignments thrd2intrfc_opcode <= OPCODE_NOOP; -- When issuing an OPCODE, must be a pulse thrd2intrfc_address <= Z32; thrd2intrfc_value <= Z32; thrd2intrfc_function <= U_FUNCTION_USER_SELECT; return_state_next <= return_state; next_state <= current_state; retVal_next <= retVal; arg_next <= arg; reg1_next <= reg1; reg2_next <= reg2; reg3_next <= reg3; reg4_next <= reg4; reg5_next <= reg5; reg6_next <= reg6; reg7_next <= reg7; reg8_next <= reg8; ----------------------------------------------------------------------- -- cond_init_2.c ----------------------------------------------------------------------- -- The state machine case current_state is when FUNCTION_RESET => --Set default values thrd2intrfc_opcode <= OPCODE_NOOP; thrd2intrfc_address <= Z32; thrd2intrfc_value <= Z32; thrd2intrfc_function <= U_FUNCTION_START; -- hthread_cond_t * cond = (hthread_cond_t *) arg when FUNCTION_START => -- Pop the argument thrd2intrfc_value <= Z32; thrd2intrfc_opcode <= OPCODE_POP; next_state <= WAIT_STATE; return_state_next <= STATE_1; -- hthread_cond_init( cond, NULL ); when STATE_1 => -- Push NULL arg_next <= intrfc2thrd_value; thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= Z32; next_state <= WAIT_STATE; return_state_next <= STATE_2; when STATE_2 => -- Push cond thrd2intrfc_opcode <= OPCODE_PUSH; thrd2intrfc_value <= arg; next_state <= WAIT_STATE; return_state_next <= STATE_3; when STATE_3 => -- Call hthread_cond_init thrd2intrfc_opcode <= OPCODE_CALL; thrd2intrfc_function <= FUNCTION_HTHREAD_COND_INIT; thrd2intrfc_value <= Z32(0 to 15) & U_STATE_4; next_state <= WAIT_STATE; -- retVal = cond->num when STATE_4 => -- Load the value of cond->num thrd2intrfc_opcode <= OPCODE_LOAD; thrd2intrfc_address <= arg; next_state <= WAIT_STATE; return_state_next <= STATE_5; when STATE_5 => retVal_next <= intrfc2thrd_value; next_state <= FUNCTION_EXIT; when FUNCTION_EXIT => --Same as hthread_exit( (void *) retVal ); thrd2intrfc_value <= retVal; thrd2intrfc_opcode <= OPCODE_RETURN; next_state <= WAIT_STATE; when WAIT_STATE => next_state <= return_state; when ERROR_STATE => next_state <= ERROR_STATE; when others => next_state <= ERROR_STATE; end case; end process HWTUL_STATE_MACHINE; end architecture IMP;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity LFSR15 is port ( CLK : in std_logic; RST : in std_logic; RAND : out std_logic_vector(14 downto 0) ); end LFSR15; architecture RTL of LFSR15 is signal FEEDBACK : std_logic; signal SR : std_logic_vector(14 downto 0); begin RAND <= SR; FEEDBACK <= SR(14) xor SR(13); process (CLK, RST) begin if (RST = '0') then SR <= "000000000000001"; elsif(CLK'event and CLK = '1') then SR <= SR(13 downto 0) & FEEDBACK; end if; end process; end RTL;
-- Copyright (c) 2017-2019 Tampere University of Technology. -- -- This file is part of TTA-Based Codesign Environment (TCE). -- -- Permission is hereby granted, free of charge, to any person obtaining a -- copy of this software and associated documentation files (the "Software"), -- to deal in the Software without restriction, including without limitation -- the rights to use, copy, modify, merge, publish, distribute, sublicense, -- and/or sell copies of the Software, and to permit persons to whom the -- Software is furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER -- DEALINGS IN THE SOFTWARE. ------------------------------------------------------------------------------- -- Title : LSU for AlmaIF Integrator -- Project : Almarvi ------------------------------------------------------------------------------- -- File : fu_lsu_32b_slim.vhdl -- Author : Kati Tervo -- Company : -- Created : 2017-05-31 -- Last update: 2019-05-22 -- Platform : ------------------------------------------------------------------------------- -- Description: 32 bit wide LSU with parametric endianness -- External ports: -- | Signal | Comment -- --------------------------------------------------------------------------- -- | | Access channel -- | avalid_out | LSU asserts avalid when it has a valid command for the memory -- | aready_in | and considers the command accepted when both avalid and -- | | aready are asserted on the same clock cycle -- | | -- | aaddr_out | Address of the access, word-addressed -- | awren_out | High for write, low for read -- | astrb_out | Bytewise write strobe -- | adata_out | Data to write, if any -- | | -- | | Response channel -- | rvalid_in | Works like avalid/aready, in the other direction. The memory -- | rready_out | must keep read accesses in order. -- | | -- | rdata_in | Read data, if any. -- --------------------------------------------------------------------------- -- -- Revisions : -- Date Version Author Description -- 2017-05-31 1.0 katte Created -- 2019-05-22 1.0 katte Removed sign-extending operations (LD16/LD8) ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity fu_lsu_32b_slim is generic ( addrw_g : integer := 11; -- This will be converted to slv later, but passed as integer because -- some tools only handle ints (esp. mixed-language simulation or synthesis) register_bypass_g : integer := 2; -- Ditto, converted to boolean little_endian_g : integer := 1 ); port( clk : in std_logic; rstx : in std_logic; -- Control signals glock_in : in std_logic; glockreq_out : out std_logic; -- Address port, triggers t1_address_in : in std_logic_vector(addrw_g-1 downto 0); t1_load_in : in std_logic; t1_opcode_in : in std_logic_vector(2 downto 0); -- Data operand and output ports o1_data_in : in std_logic_vector(32-1 downto 0); o1_load_in : in std_logic; r1_data_out : out std_logic_vector(32-1 downto 0); -- external memory unit interface: -- Access channel avalid_out : out std_logic_vector(0 downto 0); aready_in : in std_logic_vector(0 downto 0); aaddr_out : out std_logic_vector(addrw_g-2-1 downto 0); awren_out : out std_logic_vector(0 downto 0); astrb_out : out std_logic_vector(4-1 downto 0); adata_out : out std_logic_vector(32-1 downto 0); -- Read channel rvalid_in : in std_logic_vector(0 downto 0); rready_out : out std_logic_vector(0 downto 0); rdata_in : in std_logic_vector(32-1 downto 0) ); end fu_lsu_32b_slim; architecture rtl of fu_lsu_32b_slim is constant is_little_endian_c : boolean := little_endian_g /= 0; function pipeline_depth(reg_bypass : std_logic_vector) return integer is variable result : integer := 0; begin if reg_bypass(0) = '0' then return 3; else return 2; end if; end pipeline_depth; type operations_t is (LD32, LD16, LD8, STORE, NOP); type pipeline_state_t is record operation : operations_t; addr_low : std_logic_vector(2 - 1 downto 0); end record; ------------------------------------------------------------------------- -- register_bypass_c: if element n is '1', bypass corresponding registers -- n | register name | description -- ---------------------------------------------------------------------- -- 0 | rdata_r | Registers rdata_in -- 1 | result_r | FU output port register ------------------------------------------------------------------------- constant register_bypass_c : std_logic_vector(3 - 1 downto 0) := std_logic_vector(to_unsigned(register_bypass_g, 3)); constant data_width_c : integer := 32; constant byte_width_c : integer := data_width_c/8; type pipeline_regs_t is array (pipeline_depth(register_bypass_c) - 1 downto 0) of pipeline_state_t; signal pipeline_r : pipeline_regs_t; signal o1_data_r : std_logic_vector(data_width_c - 1 downto 0); signal write_data : std_logic_vector(data_width_c - 1 downto 0); signal glockreq : std_logic; signal fu_glock : std_logic; -- Access channel registers signal avalid_r : std_logic; signal aaddr_r : std_logic_vector(aaddr_out'range); signal awren_r : std_logic; signal astrb_r : std_logic_vector(astrb_out'range); signal adata_r : std_logic_vector(adata_out'range); signal rready_r : std_logic; -- Signals between memory and LSU output signal read_data : std_logic_vector(data_width_c - 1 downto 0); signal rdata_r : std_logic_vector(data_width_c - 1 downto 0); signal result : std_logic_vector(data_width_c - 1 downto 0); signal result_r : std_logic_vector(data_width_c - 1 downto 0); ---------------------------------------------------------------------------- -- Lookup table for converting between BE and LE -- -------------------------------------- -- | LE OPC | LE # | BE OPC | BE # | -- -------------------------------------- -- | OPC_LD32 | 0 | OPC_LDW | 2 | -- | OPC_LDU16 | 1 | OPC_LDHU | 0 | -- | OPC_LDU8 | 2 | OPC_LDQU | 1 | -- | OPC_ST16 | 3 | OPC_STH | 3 | -- | OPC_ST32 | 4 | OPC_STW | 5 | -- | OPC_ST8 | 5 | OPC_STQ | 4 | -- -------------------------------------- ---------------------------------------------------------------------------- type opcode_array is array (0 to 5, 0 to 1) of integer; constant opcode_lut : opcode_array := ((0, 0), (4, 1), (1, 2), (3, 3), (5, 4), (4, 5)); -- LE opcodes for the switch statements constant OPC_LD32 : integer := 0; constant OPC_LDU16 : integer := 1; constant OPC_LDU8 : integer := 2; constant OPC_ST16 : integer := 3; constant OPC_ST32 : integer := 4; constant OPC_ST8 : integer := 5; begin -- Design-wide assertions -- coverage off -- synthesis translate_off assert register_bypass_g < 4 and register_bypass_g >= 0 report "register_bypass_g out of range" severity failure; assert little_endian_g = 0 or little_endian_g = 1 report "little_endian_g should be either 0 or 1" severity failure; -- coverage on -- synthesis translate_on avalid_out(0) <= avalid_r; awren_out(0) <= awren_r; aaddr_out <= aaddr_r; astrb_out <= astrb_r; adata_out <= adata_r; rready_out(0) <= rready_r; shadow_registers_sync : process(clk, rstx) begin if rstx = '0' then o1_data_r <= (others => '0'); result_r <= (others => '0'); elsif rising_edge(clk) then if fu_glock = '0' then if o1_load_in = '1' then o1_data_r <= o1_data_in; end if; result_r <= result; end if; end if; end process; write_data <= o1_data_in when o1_load_in = '1' else o1_data_r; gen_lockreq : process(rready_r, rvalid_in, avalid_r, aready_in, glock_in, glockreq) begin if (rready_r = '1' and rvalid_in(0) = '0') or (avalid_r = '1' and aready_in(0) = '0') then glockreq <= '1'; else glockreq <= '0'; end if; fu_glock <= glockreq or glock_in; glockreq_out <= glockreq; end process gen_lockreq; ------------------------------------------------------------------------------- -- Byte shifts and enable signal logic based on most recent opcode ------------------------------------------------------------------------------- access_channel_sync : process(clk, rstx) variable opcode : integer range 0 to 7; variable addr_low : std_logic_vector(2 - 1 downto 0); variable byte_offset : integer range 3 downto 0; begin if rstx = '0' then pipeline_r <= (others => (NOP, "00")); aaddr_r <= (others => '0'); astrb_r <= (others => '0'); adata_r <= (others => '0'); avalid_r <= '0'; awren_r <= '0'; elsif rising_edge(clk) then if avalid_r = '1' and aready_in(0) = '1' then avalid_r <= '0'; end if; if fu_glock = '0' then pipeline_r(pipeline_r'high downto 1) <= pipeline_r(pipeline_r'high-1 downto 0); if t1_load_in = '1' then avalid_r <= '1'; aaddr_r <= t1_address_in(t1_address_in'high downto 2); opcode := opcode_lut(to_integer(unsigned(t1_opcode_in)), little_endian_g); addr_low := t1_address_in(2 - 1 downto 0); case opcode is when OPC_LD32 => pipeline_r(0) <= (LD32, addr_low); awren_r <= '0'; when OPC_LDU16 => pipeline_r(0) <= (LD16, addr_low); awren_r <= '0'; when OPC_LDU8 => pipeline_r(0) <= (LD8, addr_low); awren_r <= '0'; when OPC_ST32 => pipeline_r(0) <= (STORE, "00"); awren_r <= '1'; astrb_r <= "1111"; adata_r <= write_data; when OPC_ST16 => pipeline_r(0) <= (STORE, "00"); awren_r <= '1'; adata_r <= (others => '0'); if addr_low(1) = '1' xnor is_little_endian_c then adata_r(32 - 1 downto 16) <= write_data(16 - 1 downto 0); astrb_r <= "1100"; else adata_r(16 - 1 downto 0) <= write_data(16 - 1 downto 0); astrb_r <= "0011"; end if; when others => -- OPC_ST8 pipeline_r(0) <= (STORE, "00"); awren_r <= '1'; if is_little_endian_c then byte_offset := to_integer(unsigned(addr_low)); else byte_offset := 3 - to_integer(unsigned(addr_low)); end if; adata_r <= (others => '0'); adata_r(8*byte_offset + 7 downto 8*byte_offset) <= write_data(8 - 1 downto 0); astrb_r(byte_offset) <= '1'; end case; else pipeline_r(0) <= (NOP, "00"); avalid_r <= '0'; awren_r <= '0'; aaddr_r <= (others => '0'); astrb_r <= (others => '0'); adata_r <= (others => '0'); end if; end if; end if; end process access_channel_sync; read_channel_sync : process(clk, rstx) begin if rstx = '0'then rready_r <= '0'; rdata_r <= (others => '0'); elsif rising_edge(clk) then if rvalid_in = "1" and rready_r = '1' then rdata_r <= rdata_in; rready_r <= '0'; end if; if pipeline_r(0).operation /= STORE and pipeline_r(0).operation /= NOP and fu_glock = '0' then rready_r <= '1'; end if; end if; end process read_channel_sync; bypass_read_data_register : if register_bypass_c(0) = '1' generate read_data <= rdata_in when rready_r = '1' else rdata_r; end generate; use_mem_output_register : if register_bypass_c(0) = '0' generate read_data <= rdata_r; end generate; ------------------------------------------------------------------------------- -- Read data formatting based on load type ------------------------------------------------------------------------------- shift_and_sext : process(pipeline_r, read_data, result_r) variable read_16 : std_logic_vector(16 - 1 downto 0); variable read_8 : std_logic_vector(8 - 1 downto 0); variable byte_offset : integer range 3 downto 0; begin case pipeline_r(pipeline_r'high).operation is when LD32 => result <= read_data; when LD16 => if pipeline_r(pipeline_r'high).addr_low(1) = '1' xnor is_little_endian_c then read_16 := read_data(31 downto 16); else read_16 := read_data(15 downto 0); end if; result <= (others => '0'); result(16 - 1 downto 0) <= read_16; when LD8 => if is_little_endian_c then byte_offset := to_integer(unsigned(pipeline_r(pipeline_r'high).addr_low)); else byte_offset := 3 - to_integer(unsigned(pipeline_r(pipeline_r'high).addr_low)); end if; read_8 := read_data(8*byte_offset + 7 downto 8*byte_offset); result <= (others => '0'); result(8 - 1 downto 0) <= read_8; when others => -- NOP, STORE result <= result_r; end case; end process shift_and_sext; bypass_r1data_register : if register_bypass_c(1) = '1' generate r1_data_out <= result; end generate; use_r1data_register : if register_bypass_c(1) = '0' generate r1_data_out <= result_r; end generate; end rtl;
-- Copyright (c) 2017-2019 Tampere University of Technology. -- -- This file is part of TTA-Based Codesign Environment (TCE). -- -- Permission is hereby granted, free of charge, to any person obtaining a -- copy of this software and associated documentation files (the "Software"), -- to deal in the Software without restriction, including without limitation -- the rights to use, copy, modify, merge, publish, distribute, sublicense, -- and/or sell copies of the Software, and to permit persons to whom the -- Software is furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING -- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER -- DEALINGS IN THE SOFTWARE. ------------------------------------------------------------------------------- -- Title : LSU for AlmaIF Integrator -- Project : Almarvi ------------------------------------------------------------------------------- -- File : fu_lsu_32b_slim.vhdl -- Author : Kati Tervo -- Company : -- Created : 2017-05-31 -- Last update: 2019-05-22 -- Platform : ------------------------------------------------------------------------------- -- Description: 32 bit wide LSU with parametric endianness -- External ports: -- | Signal | Comment -- --------------------------------------------------------------------------- -- | | Access channel -- | avalid_out | LSU asserts avalid when it has a valid command for the memory -- | aready_in | and considers the command accepted when both avalid and -- | | aready are asserted on the same clock cycle -- | | -- | aaddr_out | Address of the access, word-addressed -- | awren_out | High for write, low for read -- | astrb_out | Bytewise write strobe -- | adata_out | Data to write, if any -- | | -- | | Response channel -- | rvalid_in | Works like avalid/aready, in the other direction. The memory -- | rready_out | must keep read accesses in order. -- | | -- | rdata_in | Read data, if any. -- --------------------------------------------------------------------------- -- -- Revisions : -- Date Version Author Description -- 2017-05-31 1.0 katte Created -- 2019-05-22 1.0 katte Removed sign-extending operations (LD16/LD8) ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity fu_lsu_32b_slim is generic ( addrw_g : integer := 11; -- This will be converted to slv later, but passed as integer because -- some tools only handle ints (esp. mixed-language simulation or synthesis) register_bypass_g : integer := 2; -- Ditto, converted to boolean little_endian_g : integer := 1 ); port( clk : in std_logic; rstx : in std_logic; -- Control signals glock_in : in std_logic; glockreq_out : out std_logic; -- Address port, triggers t1_address_in : in std_logic_vector(addrw_g-1 downto 0); t1_load_in : in std_logic; t1_opcode_in : in std_logic_vector(2 downto 0); -- Data operand and output ports o1_data_in : in std_logic_vector(32-1 downto 0); o1_load_in : in std_logic; r1_data_out : out std_logic_vector(32-1 downto 0); -- external memory unit interface: -- Access channel avalid_out : out std_logic_vector(0 downto 0); aready_in : in std_logic_vector(0 downto 0); aaddr_out : out std_logic_vector(addrw_g-2-1 downto 0); awren_out : out std_logic_vector(0 downto 0); astrb_out : out std_logic_vector(4-1 downto 0); adata_out : out std_logic_vector(32-1 downto 0); -- Read channel rvalid_in : in std_logic_vector(0 downto 0); rready_out : out std_logic_vector(0 downto 0); rdata_in : in std_logic_vector(32-1 downto 0) ); end fu_lsu_32b_slim; architecture rtl of fu_lsu_32b_slim is constant is_little_endian_c : boolean := little_endian_g /= 0; function pipeline_depth(reg_bypass : std_logic_vector) return integer is variable result : integer := 0; begin if reg_bypass(0) = '0' then return 3; else return 2; end if; end pipeline_depth; type operations_t is (LD32, LD16, LD8, STORE, NOP); type pipeline_state_t is record operation : operations_t; addr_low : std_logic_vector(2 - 1 downto 0); end record; ------------------------------------------------------------------------- -- register_bypass_c: if element n is '1', bypass corresponding registers -- n | register name | description -- ---------------------------------------------------------------------- -- 0 | rdata_r | Registers rdata_in -- 1 | result_r | FU output port register ------------------------------------------------------------------------- constant register_bypass_c : std_logic_vector(3 - 1 downto 0) := std_logic_vector(to_unsigned(register_bypass_g, 3)); constant data_width_c : integer := 32; constant byte_width_c : integer := data_width_c/8; type pipeline_regs_t is array (pipeline_depth(register_bypass_c) - 1 downto 0) of pipeline_state_t; signal pipeline_r : pipeline_regs_t; signal o1_data_r : std_logic_vector(data_width_c - 1 downto 0); signal write_data : std_logic_vector(data_width_c - 1 downto 0); signal glockreq : std_logic; signal fu_glock : std_logic; -- Access channel registers signal avalid_r : std_logic; signal aaddr_r : std_logic_vector(aaddr_out'range); signal awren_r : std_logic; signal astrb_r : std_logic_vector(astrb_out'range); signal adata_r : std_logic_vector(adata_out'range); signal rready_r : std_logic; -- Signals between memory and LSU output signal read_data : std_logic_vector(data_width_c - 1 downto 0); signal rdata_r : std_logic_vector(data_width_c - 1 downto 0); signal result : std_logic_vector(data_width_c - 1 downto 0); signal result_r : std_logic_vector(data_width_c - 1 downto 0); ---------------------------------------------------------------------------- -- Lookup table for converting between BE and LE -- -------------------------------------- -- | LE OPC | LE # | BE OPC | BE # | -- -------------------------------------- -- | OPC_LD32 | 0 | OPC_LDW | 2 | -- | OPC_LDU16 | 1 | OPC_LDHU | 0 | -- | OPC_LDU8 | 2 | OPC_LDQU | 1 | -- | OPC_ST16 | 3 | OPC_STH | 3 | -- | OPC_ST32 | 4 | OPC_STW | 5 | -- | OPC_ST8 | 5 | OPC_STQ | 4 | -- -------------------------------------- ---------------------------------------------------------------------------- type opcode_array is array (0 to 5, 0 to 1) of integer; constant opcode_lut : opcode_array := ((0, 0), (4, 1), (1, 2), (3, 3), (5, 4), (4, 5)); -- LE opcodes for the switch statements constant OPC_LD32 : integer := 0; constant OPC_LDU16 : integer := 1; constant OPC_LDU8 : integer := 2; constant OPC_ST16 : integer := 3; constant OPC_ST32 : integer := 4; constant OPC_ST8 : integer := 5; begin -- Design-wide assertions -- coverage off -- synthesis translate_off assert register_bypass_g < 4 and register_bypass_g >= 0 report "register_bypass_g out of range" severity failure; assert little_endian_g = 0 or little_endian_g = 1 report "little_endian_g should be either 0 or 1" severity failure; -- coverage on -- synthesis translate_on avalid_out(0) <= avalid_r; awren_out(0) <= awren_r; aaddr_out <= aaddr_r; astrb_out <= astrb_r; adata_out <= adata_r; rready_out(0) <= rready_r; shadow_registers_sync : process(clk, rstx) begin if rstx = '0' then o1_data_r <= (others => '0'); result_r <= (others => '0'); elsif rising_edge(clk) then if fu_glock = '0' then if o1_load_in = '1' then o1_data_r <= o1_data_in; end if; result_r <= result; end if; end if; end process; write_data <= o1_data_in when o1_load_in = '1' else o1_data_r; gen_lockreq : process(rready_r, rvalid_in, avalid_r, aready_in, glock_in, glockreq) begin if (rready_r = '1' and rvalid_in(0) = '0') or (avalid_r = '1' and aready_in(0) = '0') then glockreq <= '1'; else glockreq <= '0'; end if; fu_glock <= glockreq or glock_in; glockreq_out <= glockreq; end process gen_lockreq; ------------------------------------------------------------------------------- -- Byte shifts and enable signal logic based on most recent opcode ------------------------------------------------------------------------------- access_channel_sync : process(clk, rstx) variable opcode : integer range 0 to 7; variable addr_low : std_logic_vector(2 - 1 downto 0); variable byte_offset : integer range 3 downto 0; begin if rstx = '0' then pipeline_r <= (others => (NOP, "00")); aaddr_r <= (others => '0'); astrb_r <= (others => '0'); adata_r <= (others => '0'); avalid_r <= '0'; awren_r <= '0'; elsif rising_edge(clk) then if avalid_r = '1' and aready_in(0) = '1' then avalid_r <= '0'; end if; if fu_glock = '0' then pipeline_r(pipeline_r'high downto 1) <= pipeline_r(pipeline_r'high-1 downto 0); if t1_load_in = '1' then avalid_r <= '1'; aaddr_r <= t1_address_in(t1_address_in'high downto 2); opcode := opcode_lut(to_integer(unsigned(t1_opcode_in)), little_endian_g); addr_low := t1_address_in(2 - 1 downto 0); case opcode is when OPC_LD32 => pipeline_r(0) <= (LD32, addr_low); awren_r <= '0'; when OPC_LDU16 => pipeline_r(0) <= (LD16, addr_low); awren_r <= '0'; when OPC_LDU8 => pipeline_r(0) <= (LD8, addr_low); awren_r <= '0'; when OPC_ST32 => pipeline_r(0) <= (STORE, "00"); awren_r <= '1'; astrb_r <= "1111"; adata_r <= write_data; when OPC_ST16 => pipeline_r(0) <= (STORE, "00"); awren_r <= '1'; adata_r <= (others => '0'); if addr_low(1) = '1' xnor is_little_endian_c then adata_r(32 - 1 downto 16) <= write_data(16 - 1 downto 0); astrb_r <= "1100"; else adata_r(16 - 1 downto 0) <= write_data(16 - 1 downto 0); astrb_r <= "0011"; end if; when others => -- OPC_ST8 pipeline_r(0) <= (STORE, "00"); awren_r <= '1'; if is_little_endian_c then byte_offset := to_integer(unsigned(addr_low)); else byte_offset := 3 - to_integer(unsigned(addr_low)); end if; adata_r <= (others => '0'); adata_r(8*byte_offset + 7 downto 8*byte_offset) <= write_data(8 - 1 downto 0); astrb_r(byte_offset) <= '1'; end case; else pipeline_r(0) <= (NOP, "00"); avalid_r <= '0'; awren_r <= '0'; aaddr_r <= (others => '0'); astrb_r <= (others => '0'); adata_r <= (others => '0'); end if; end if; end if; end process access_channel_sync; read_channel_sync : process(clk, rstx) begin if rstx = '0'then rready_r <= '0'; rdata_r <= (others => '0'); elsif rising_edge(clk) then if rvalid_in = "1" and rready_r = '1' then rdata_r <= rdata_in; rready_r <= '0'; end if; if pipeline_r(0).operation /= STORE and pipeline_r(0).operation /= NOP and fu_glock = '0' then rready_r <= '1'; end if; end if; end process read_channel_sync; bypass_read_data_register : if register_bypass_c(0) = '1' generate read_data <= rdata_in when rready_r = '1' else rdata_r; end generate; use_mem_output_register : if register_bypass_c(0) = '0' generate read_data <= rdata_r; end generate; ------------------------------------------------------------------------------- -- Read data formatting based on load type ------------------------------------------------------------------------------- shift_and_sext : process(pipeline_r, read_data, result_r) variable read_16 : std_logic_vector(16 - 1 downto 0); variable read_8 : std_logic_vector(8 - 1 downto 0); variable byte_offset : integer range 3 downto 0; begin case pipeline_r(pipeline_r'high).operation is when LD32 => result <= read_data; when LD16 => if pipeline_r(pipeline_r'high).addr_low(1) = '1' xnor is_little_endian_c then read_16 := read_data(31 downto 16); else read_16 := read_data(15 downto 0); end if; result <= (others => '0'); result(16 - 1 downto 0) <= read_16; when LD8 => if is_little_endian_c then byte_offset := to_integer(unsigned(pipeline_r(pipeline_r'high).addr_low)); else byte_offset := 3 - to_integer(unsigned(pipeline_r(pipeline_r'high).addr_low)); end if; read_8 := read_data(8*byte_offset + 7 downto 8*byte_offset); result <= (others => '0'); result(8 - 1 downto 0) <= read_8; when others => -- NOP, STORE result <= result_r; end case; end process shift_and_sext; bypass_r1data_register : if register_bypass_c(1) = '1' generate r1_data_out <= result; end generate; use_r1data_register : if register_bypass_c(1) = '0' generate r1_data_out <= result_r; end generate; end rtl;
-- -------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_STD) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type STD_LOGIC. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_STD. The NUMERIC_STD package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- -- -------------------------------------------------------------------- -- modification history : -- -------------------------------------------------------------------- -- Version: 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; package NUMERIC_STD is constant CopyRightNotice: STRING := "Copyright 1995 IEEE. All rights reserved."; --============================================================================ -- Numeric array type definitions --============================================================================ type UNSIGNED is array (NATURAL range <>) of STD_LOGIC; type SIGNED is array (NATURAL range <>) of STD_LOGIC; --============================================================================ -- Arithmetic Operators: --=========================================================================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0). -- Result: Returns the absolute value of a SIGNED vector ARG. -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0). -- Result: Returns the value of the unary minus operation on a -- SIGNED vector ARG. --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0). -- Result: Adds two UNSIGNED vectors that may be of different lengths. -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0). -- Result: Adds two SIGNED vectors that may be of different lengths. -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0). -- Result: Adds an UNSIGNED vector, L, with a non-negative INTEGER, R. -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0). -- Result: Adds a non-negative INTEGER, L, with an UNSIGNED vector, R. -- Id: A.7 function "+" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0). -- Result: Adds an INTEGER, L(may be positive or negative), to a SIGNED -- vector, R. -- Id: A.8 function "+" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0). -- Result: Adds a SIGNED vector, L, to an INTEGER, R. --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0). -- Result: Subtracts two UNSIGNED vectors that may be of different lengths. -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0). -- Result: Subtracts a SIGNED vector, R, from another SIGNED vector, L, -- that may possibly be of different lengths. -- Id: A.11 function "-" (L: UNSIGNED;R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0). -- Result: Subtracts a non-negative INTEGER, R, from an UNSIGNED vector, L. -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0). -- Result: Subtracts an UNSIGNED vector, R, from a non-negative INTEGER, L. -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0). -- Result: Subtracts an INTEGER, R, from a SIGNED vector, L. -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0). -- Result: Subtracts a SIGNED vector, R, from an INTEGER, L. --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0). -- Result: Performs the multiplication operation on two UNSIGNED vectors -- that may possibly be of different lengths. -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED((L'LENGTH+R'LENGTH-1) downto 0) -- Result: Multiplies two SIGNED vectors that may possibly be of -- different lengths. -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED((L'LENGTH+L'LENGTH-1) downto 0). -- Result: Multiplies an UNSIGNED vector, L, with a non-negative -- INTEGER, R. R is converted to an UNSIGNED vector of -- SIZE L'LENGTH before multiplication. -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED((R'LENGTH+R'LENGTH-1) downto 0). -- Result: Multiplies an UNSIGNED vector, R, with a non-negative -- INTEGER, L. L is converted to an UNSIGNED vector of -- SIZE R'LENGTH before multiplication. -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED((L'LENGTH+L'LENGTH-1) downto 0) -- Result: Multiplies a SIGNED vector, L, with an INTEGER, R. R is -- converted to a SIGNED vector of SIZE L'LENGTH before -- multiplication. -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED((R'LENGTH+R'LENGTH-1) downto 0) -- Result: Multiplies a SIGNED vector, R, with an INTEGER, L. L is -- converted to a SIGNED vector of SIZE R'LENGTH before -- multiplication. --============================================================================ -- -- NOTE: If second argument is zero for "/" operator, a severity level -- of ERROR is issued. -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Divides an UNSIGNED vector, L, by another UNSIGNED vector, R. -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Divides an SIGNED vector, L, by another SIGNED vector, R. -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Divides an UNSIGNED vector, L, by a non-negative INTEGER, R. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Divides a non-negative INTEGER, L, by an UNSIGNED vector, R. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Divides a SIGNED vector, L, by an INTEGER, R. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Divides an INTEGER, L, by a SIGNED vector, R. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. --============================================================================ -- -- NOTE: If second argument is zero for "rem" operator, a severity level -- of ERROR is issued. -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L and R are UNSIGNED vectors. -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L and R are SIGNED vectors. -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L is an UNSIGNED vector and R is a -- non-negative INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where R is an UNSIGNED vector and L is a -- non-negative INTEGER. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L is SIGNED vector and R is an INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where R is SIGNED vector and L is an INTEGER. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. --============================================================================ -- -- NOTE: If second argument is zero for "mod" operator, a severity level -- of ERROR is issued. -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L and R are UNSIGNED vectors. -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L and R are SIGNED vectors. -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L is an UNSIGNED vector and R -- is a non-negative INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where R is an UNSIGNED vector and L -- is a non-negative INTEGER. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L is a SIGNED vector and -- R is an INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L is an INTEGER and -- R is a SIGNED vector. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. --============================================================================ -- Comparison Operators --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is a INTEGER and -- R is a SIGNED vector. -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is a SIGNED vector and -- R is a INTEGER. --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Shift and Rotate Functions --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-left on an UNSIGNED vector COUNT times. -- The vacated positions are filled with '0'. -- The COUNT leftmost elements are lost. -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-right on an UNSIGNED vector COUNT times. -- The vacated positions are filled with '0'. -- The COUNT rightmost elements are lost. -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-left on a SIGNED vector COUNT times. -- The vacated positions are filled with '0'. -- The COUNT leftmost elements are lost. -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-right on a SIGNED vector COUNT times. -- The vacated positions are filled with the leftmost -- element, ARG'LEFT. The COUNT rightmost elements are lost. --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a rotate-left of an UNSIGNED vector COUNT times. -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a rotate-right of an UNSIGNED vector COUNT times. -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a logical rotate-left of a SIGNED -- vector COUNT times. -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a logical rotate-right of a SIGNED -- vector COUNT times. --============================================================================ --============================================================================ ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93 -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: SHIFT_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93 -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: SHIFT_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93 -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: SHIFT_RIGHT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93 -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)) ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93 -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93 -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93 -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_RIGHT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93 -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_RIGHT(ARG, COUNT) --============================================================================ -- RESIZE Functions --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED; -- Result subtype: SIGNED(NEW_SIZE-1 downto 0) -- Result: Resizes the SIGNED vector ARG to the specified size. -- To create a larger vector, the new [leftmost] bit positions -- are filled with the sign bit (ARG'LEFT). When truncating, -- the sign bit is retained along with the rightmost part. -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(NEW_SIZE-1 downto 0) -- Result: Resizes the SIGNED vector ARG to the specified size. -- To create a larger vector, the new [leftmost] bit positions -- are filled with '0'. When truncating, the leftmost bits -- are dropped. --============================================================================ -- Conversion Functions --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL; -- Result subtype: NATURAL. Value cannot be negative since parameter is an -- UNSIGNED vector. -- Result: Converts the UNSIGNED vector to an INTEGER. -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER; -- Result subtype: INTEGER -- Result: Converts a SIGNED vector to an INTEGER. -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(SIZE-1 downto 0) -- Result: Converts a non-negative INTEGER to an UNSIGNED vector with -- the specified SIZE. -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED; -- Result subtype: SIGNED(SIZE-1 downto 0) -- Result: Converts an INTEGER to a SIGNED vector of the specified SIZE. --============================================================================ -- Logical Operators --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Termwise inversion -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector AND operation -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector OR operation -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector NAND operation -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector NOR operation -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector XOR operation -- --------------------------------------------------------------------------- -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. -- --------------------------------------------------------------------------- -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED; --V93 -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector XNOR operation -- Id: L.8 function "not" (L: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Termwise inversion -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector AND operation -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector OR operation -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector NAND operation -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector NOR operation -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector XOR operation -- --------------------------------------------------------------------------- -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. -- --------------------------------------------------------------------------- -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED; --V93 -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector XNOR operation --============================================================================ -- Match Functions --============================================================================ -- Id: M.1 function STD_MATCH (L, R: STD_ULOGIC) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.2 function STD_MATCH (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.3 function STD_MATCH (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.4 function STD_MATCH (L, R: STD_LOGIC_VECTOR) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.5 function STD_MATCH (L, R: STD_ULOGIC_VECTOR) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent --============================================================================ -- Translation Functions --============================================================================ -- Id: T.1 function TO_01 (S: UNSIGNED; XMAP: STD_LOGIC := '0') return UNSIGNED; -- Result subtype: UNSIGNED(S'RANGE) -- Result: Termwise, 'H' is translated to '1', and 'L' is translated -- to '0'. If a value other than '0'|'1'|'H'|'L' is found, -- the array is set to (others => XMAP), and a warning is -- issued. -- Id: T.2 function TO_01 (S: SIGNED; XMAP: STD_LOGIC := '0') return SIGNED; -- Result subtype: SIGNED(S'RANGE) -- Result: Termwise, 'H' is translated to '1', and 'L' is translated -- to '0'. If a value other than '0'|'1'|'H'|'L' is found, -- the array is set to (others => XMAP), and a warning is -- issued. end NUMERIC_STD; -- -------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_STD) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type STD_LOGIC. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_STD. The NUMERIC_STD package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- -- -------------------------------------------------------------------- -- modification history : -- -------------------------------------------------------------------- -- Version: 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- --============================================================================== --============================= Package Body =================================== --============================================================================== package body NUMERIC_STD is -- null range array constants constant NAU: UNSIGNED(0 downto 1) := (others => '0'); constant NAS: SIGNED(0 downto 1) := (others => '0'); -- implementation controls constant NO_WARNING: BOOLEAN := FALSE; -- default to emit warnings --=========================Local Subprograms ================================= function MAX (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end MAX; function MIN (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT < RIGHT then return LEFT; else return RIGHT; end if; end MIN; function SIGNED_NUM_BITS (ARG: INTEGER) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin if ARG >= 0 then N := ARG; else N := -(ARG+1); end if; NBITS := 1; while N > 0 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end SIGNED_NUM_BITS; function UNSIGNED_NUM_BITS (ARG: NATURAL) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin N := ARG; NBITS := 1; while N > 1 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end UNSIGNED_NUM_BITS; ------------------------------------------------------------------------ -- this internal function computes the addition of two UNSIGNED -- with input CARRY -- * the two arguments are of the same length function ADD_UNSIGNED (L, R: UNSIGNED; C: STD_LOGIC) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(L_LEFT downto 0) is R; variable RESULT: UNSIGNED(L_LEFT downto 0); variable CBIT: STD_LOGIC := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_UNSIGNED; -- this internal function computes the addition of two SIGNED -- with input CARRY -- * the two arguments are of the same length function ADD_SIGNED (L, R: SIGNED; C: STD_LOGIC) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(L_LEFT downto 0) is R; variable RESULT: SIGNED(L_LEFT downto 0); variable CBIT: STD_LOGIC := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_SIGNED; ----------------------------------------------------------------------------- -- this internal procedure computes UNSIGNED division -- giving the quotient and remainder. procedure DIVMOD (NUM, XDENOM: UNSIGNED; XQUOT, XREMAIN: out UNSIGNED) is variable TEMP: UNSIGNED(NUM'LENGTH downto 0); variable QUOT: UNSIGNED(MAX(NUM'LENGTH, XDENOM'LENGTH)-1 downto 0); alias DENOM: UNSIGNED(XDENOM'LENGTH-1 downto 0) is XDENOM; variable TOPBIT: INTEGER; begin TEMP := "0"&NUM; QUOT := (others => '0'); TOPBIT := -1; for J in DENOM'RANGE loop if DENOM(J)='1' then TOPBIT := J; exit; end if; end loop; assert TOPBIT >= 0 report "DIV, MOD, or REM by zero" severity ERROR; for J in NUM'LENGTH-(TOPBIT+1) downto 0 loop if TEMP(TOPBIT+J+1 downto J) >= "0"&DENOM(TOPBIT downto 0) then TEMP(TOPBIT+J+1 downto J) := (TEMP(TOPBIT+J+1 downto J)) -("0"&DENOM(TOPBIT downto 0)); QUOT(J) := '1'; end if; assert TEMP(TOPBIT+J+1)='0' report "internal error in the division algorithm" severity ERROR; end loop; XQUOT := RESIZE(QUOT, XQUOT'LENGTH); XREMAIN := RESIZE(TEMP, XREMAIN'LENGTH); end DIVMOD; -----------------Local Subprograms - shift/rotate ops------------------------- function XSLL (ARG: STD_LOGIC_VECTOR; COUNT: NATURAL) return STD_LOGIC_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: STD_LOGIC_VECTOR(ARG_L downto 0) is ARG; variable RESULT: STD_LOGIC_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L downto COUNT) := XARG(ARG_L-COUNT downto 0); end if; return RESULT; end XSLL; function XSRL (ARG: STD_LOGIC_VECTOR; COUNT: NATURAL) return STD_LOGIC_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: STD_LOGIC_VECTOR(ARG_L downto 0) is ARG; variable RESULT: STD_LOGIC_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L-COUNT downto 0) := XARG(ARG_L downto COUNT); end if; return RESULT; end XSRL; function XSRA (ARG: STD_LOGIC_VECTOR; COUNT: NATURAL) return STD_LOGIC_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: STD_LOGIC_VECTOR(ARG_L downto 0) is ARG; variable RESULT: STD_LOGIC_VECTOR(ARG_L downto 0); variable XCOUNT: NATURAL := COUNT; begin if ((ARG'LENGTH <= 1) or (XCOUNT = 0)) then return ARG; else if (XCOUNT > ARG_L) then XCOUNT := ARG_L; end if; RESULT(ARG_L-XCOUNT downto 0) := XARG(ARG_L downto XCOUNT); RESULT(ARG_L downto (ARG_L - XCOUNT + 1)) := (others => XARG(ARG_L)); end if; return RESULT; end XSRA; function XROL (ARG: STD_LOGIC_VECTOR; COUNT: NATURAL) return STD_LOGIC_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: STD_LOGIC_VECTOR(ARG_L downto 0) is ARG; variable RESULT: STD_LOGIC_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L downto COUNTM) := XARG(ARG_L-COUNTM downto 0); RESULT(COUNTM-1 downto 0) := XARG(ARG_L downto ARG_L-COUNTM+1); end if; return RESULT; end XROL; function XROR (ARG: STD_LOGIC_VECTOR; COUNT: NATURAL) return STD_LOGIC_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: STD_LOGIC_VECTOR(ARG_L downto 0) is ARG; variable RESULT: STD_LOGIC_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L-COUNTM downto 0) := XARG(ARG_L downto COUNTM); RESULT(ARG_L downto ARG_L-COUNTM+1) := XARG(COUNTM-1 downto 0); end if; return RESULT; end XROR; -----------------Local Subprograms - Relational ops--------------------------- -- -- General "=" for UNSIGNED vectors, same length -- function UNSIGNED_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return STD_LOGIC_VECTOR(L) = STD_LOGIC_VECTOR(R); end UNSIGNED_EQUAL; -- -- General "=" for SIGNED vectors, same length -- function SIGNED_EQUAL (L, R: SIGNED) return BOOLEAN is begin return STD_LOGIC_VECTOR(L) = STD_LOGIC_VECTOR(R); end SIGNED_EQUAL; -- -- General "<" for UNSIGNED vectors, same length -- function UNSIGNED_LESS (L, R: UNSIGNED) return BOOLEAN is begin return STD_LOGIC_VECTOR(L) < STD_LOGIC_VECTOR(R); end UNSIGNED_LESS; -- -- General "<" function for SIGNED vectors, same length -- function SIGNED_LESS (L, R: SIGNED) return BOOLEAN is variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return STD_LOGIC_VECTOR(INTERN_L) < STD_LOGIC_VECTOR(INTERN_R); end SIGNED_LESS; -- -- General "<=" function for UNSIGNED vectors, same length -- function UNSIGNED_LESS_OR_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return STD_LOGIC_VECTOR(L) <= STD_LOGIC_VECTOR(R); end UNSIGNED_LESS_OR_EQUAL; -- -- General "<=" function for SIGNED vectors, same length -- function SIGNED_LESS_OR_EQUAL (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return STD_LOGIC_VECTOR(INTERN_L) <= STD_LOGIC_VECTOR(INTERN_R); end SIGNED_LESS_OR_EQUAL; --=========================Exported Functions ========================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: SIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: SIGNED(ARG_LEFT downto 0); begin if ARG'LENGTH < 1 then return NAS; end if; RESULT := TO_01(XARG, 'X'); if (RESULT(RESULT'LEFT)='X') then return RESULT; end if; if RESULT(RESULT'LEFT) = '1' then RESULT := -RESULT; end if; return RESULT; end "abs"; -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: SIGNED(ARG_LEFT downto 0) is ARG; variable RESULT, XARG01 : SIGNED(ARG_LEFT downto 0); variable CBIT: STD_LOGIC := '1'; begin if ARG'LENGTH < 1 then return NAS; end if; XARG01 := TO_01(ARG, 'X'); if (XARG01(XARG01'LEFT)='X') then return XARG01; end if; for I in 0 to RESULT'LEFT loop RESULT(I) := not(XARG01(I)) xor CBIT; CBIT := CBIT and not(XARG01(I)); end loop; return RESULT; end "-"; --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED is constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : UNSIGNED(SIZE-1 downto 0); variable R01 : UNSIGNED(SIZE-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; L01 := TO_01(RESIZE(L, SIZE), 'X'); if (L01(L01'LEFT)='X') then return L01; end if; R01 := TO_01(RESIZE(R, SIZE), 'X'); if (R01(R01'LEFT)='X') then return R01; end if; return ADD_UNSIGNED(L01, R01, '0'); end "+"; -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED is constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : SIGNED(SIZE-1 downto 0); variable R01 : SIGNED(SIZE-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; L01 := TO_01(RESIZE(L, SIZE), 'X'); if (L01(L01'LEFT)='X') then return L01; end if; R01 := TO_01(RESIZE(R, SIZE), 'X'); if (R01(R01'LEFT)='X') then return R01; end if; return ADD_SIGNED(L01, R01, '0'); end "+"; -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L + TO_UNSIGNED(R, L'LENGTH); end "+"; -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) + R; end "+"; -- Id: A.7 function "+" (L: SIGNED; R: INTEGER) return SIGNED is begin return L + TO_SIGNED(R, L'LENGTH); end "+"; -- Id: A.8 function "+" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) + R; end "+"; --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED is constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : UNSIGNED(SIZE-1 downto 0); variable R01 : UNSIGNED(SIZE-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; L01 := TO_01(RESIZE(L, SIZE), 'X'); if (L01(L01'LEFT)='X') then return L01; end if; R01 := TO_01(RESIZE(R, SIZE), 'X'); if (R01(R01'LEFT)='X') then return R01; end if; return ADD_UNSIGNED(L01, not(R01), '1'); end "-"; -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED is constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : SIGNED(SIZE-1 downto 0); variable R01 : SIGNED(SIZE-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; L01 := TO_01(RESIZE(L, SIZE), 'X'); if (L01(L01'LEFT)='X') then return L01; end if; R01 := TO_01(RESIZE(R, SIZE), 'X'); if (R01(R01'LEFT)='X') then return R01; end if; return ADD_SIGNED(L01, not(R01), '1'); end "-"; -- Id: A.11 function "-" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L - TO_UNSIGNED(R, L'LENGTH); end "-"; -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) - R; end "-"; -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED is begin return L - TO_SIGNED(R, L'LENGTH); end "-"; -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) - R; end "-"; --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XXL: UNSIGNED(L_LEFT downto 0) is L; alias XXR: UNSIGNED(R_LEFT downto 0) is R; variable XL: UNSIGNED(L_LEFT downto 0); variable XR: UNSIGNED(R_LEFT downto 0); variable RESULT: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0) := (others => '0'); variable ADVAL: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; XL := TO_01(XXL, 'X'); XR := TO_01(XXR, 'X'); if ((XL(XL'LEFT)='X') or (XR(XR'LEFT)='X')) then RESULT := (others => 'X'); return RESULT; end if; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; return RESULT; end "*"; -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable RESULT: SIGNED((L_LEFT+R_LEFT+1) downto 0) := (others => '0'); variable ADVAL: SIGNED((L_LEFT+R_LEFT+1) downto 0); begin if ((L_LEFT < 0) or (R_LEFT < 0)) then return NAS; end if; XL := TO_01(L, 'X'); XR := TO_01(R, 'X'); if ((XL(L_LEFT)='X') or (XR(R_LEFT)='X')) then RESULT := (others => 'X'); return RESULT; end if; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT-1 loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; if XL(L_LEFT)='1' then RESULT := RESULT - ADVAL; end if; return RESULT; end "*"; -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L * TO_UNSIGNED(R, L'LENGTH); end "*"; -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) * R; end "*"; -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED is begin return L * TO_SIGNED(R, L'LENGTH); end "*"; -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) * R; end "*"; --============================================================================ -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XXL: UNSIGNED(L_LEFT downto 0) is L; alias XXR: UNSIGNED(R_LEFT downto 0) is R; variable XL: UNSIGNED(L_LEFT downto 0); variable XR: UNSIGNED(R_LEFT downto 0); variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; XL := TO_01(XXL, 'X'); XR := TO_01(XXR, 'X'); if ((XL(XL'LEFT)='X') or (XR(XR'LEFT)='X')) then FQUOT := (others => 'X'); return FQUOT; end if; DIVMOD(XL, XR, FQUOT, FREMAIN); return FQUOT; end "/"; -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XXL: SIGNED(L_LEFT downto 0) is L; alias XXR: SIGNED(R_LEFT downto 0) is R; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable QNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; XL := TO_01(XXL, 'X'); XR := TO_01(XXR, 'X'); if ((XL(XL'LEFT)='X') or (XR(XR'LEFT)='X')) then FQUOT := (others => 'X'); return SIGNED(FQUOT); end if; if XL(XL'LEFT)='1' then XNUM := UNSIGNED(-XL); QNEG := TRUE; else XNUM := UNSIGNED(XL); end if; if XR(XR'LEFT)='1' then XDENOM := UNSIGNED(-XR); QNEG := not QNEG; else XDENOM := UNSIGNED(XR); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if QNEG then FQUOT := "0"-FQUOT; end if; return SIGNED(FQUOT); end "/"; -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, QUOT: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_UNSIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(0)/='X' and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_STD.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, QUOT: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_SIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(0)/='X' and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => QUOT(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_STD.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; --============================================================================ -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XXL: UNSIGNED(L_LEFT downto 0) is L; alias XXR: UNSIGNED(R_LEFT downto 0) is R; variable XL: UNSIGNED(L_LEFT downto 0); variable XR: UNSIGNED(R_LEFT downto 0); variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; XL := TO_01(XXL, 'X'); XR := TO_01(XXR, 'X'); if ((XL(XL'LEFT)='X') or (XR(XR'LEFT)='X')) then FREMAIN := (others => 'X'); return FREMAIN; end if; DIVMOD(XL, XR, FQUOT, FREMAIN); return FREMAIN; end "rem"; -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XXL: SIGNED(L_LEFT downto 0) is L; alias XXR: SIGNED(R_LEFT downto 0) is R; variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; XNUM := UNSIGNED(TO_01(XXL, 'X')); XDENOM := UNSIGNED(TO_01(XXR, 'X')); if ((XNUM(XNUM'LEFT)='X') or (XDENOM(XDENOM'LEFT)='X')) then FREMAIN := (others => 'X'); return SIGNED(FREMAIN); end if; if XNUM(XNUM'LEFT)='1' then XNUM := UNSIGNED(-SIGNED(XNUM)); RNEG := TRUE; else XNUM := UNSIGNED(XNUM); end if; if XDENOM(XDENOM'LEFT)='1' then XDENOM := UNSIGNED(-SIGNED(XDENOM)); else XDENOM := UNSIGNED(XDENOM); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG then FREMAIN := "0"-FREMAIN; end if; return SIGNED(FREMAIN); end "rem"; -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := L rem XR; if R_LENGTH > L'LENGTH and XREM(0)/='X' and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_STD.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin XL := TO_UNSIGNED(L, L_LENGTH); XREM := XL rem R; if L_LENGTH > R'LENGTH and XREM(0)/='X' and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_STD.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(0)/='X' and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_STD.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(0)/='X' and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_STD.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XXL: UNSIGNED(L_LEFT downto 0) is L; alias XXR: UNSIGNED(R_LEFT downto 0) is R; variable XL: UNSIGNED(L_LEFT downto 0); variable XR: UNSIGNED(R_LEFT downto 0); variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; XL := TO_01(XXL, 'X'); XR := TO_01(XXR, 'X'); if ((XL(XL'LEFT)='X') or (XR(XR'LEFT)='X')) then FREMAIN := (others => 'X'); return FREMAIN; end if; DIVMOD(XL, XR, FQUOT, FREMAIN); return FREMAIN; end "mod"; -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XXL: SIGNED(L_LEFT downto 0) is L; alias XXR: SIGNED(R_LEFT downto 0) is R; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; XL := TO_01(XXL, 'X'); XR := TO_01(XXR, 'X'); if ((XL(XL'LEFT)='X') or (XR(XR'LEFT)='X')) then FREMAIN := (others => 'X'); return SIGNED(FREMAIN); end if; if XL(XL'LEFT)='1' then XNUM := UNSIGNED(-XL); else XNUM := UNSIGNED(XL); end if; if XR(XR'LEFT)='1' then XDENOM := UNSIGNED(-XR); RNEG := TRUE; else XDENOM := UNSIGNED(XR); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG and L(L'LEFT)='1' then FREMAIN := "0"-FREMAIN; elsif RNEG and FREMAIN/="0" then FREMAIN := FREMAIN-XDENOM; elsif L(L'LEFT)='1' and FREMAIN/="0" then FREMAIN := XDENOM-FREMAIN; end if; return SIGNED(FREMAIN); end "mod"; -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(0)/='X' and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_STD.""mod"": Modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(0)/='X' and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_STD.""mod"": Modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(0)/='X' and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_STD.""mod"": Modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(0)/='X' and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_STD.""mod"": Modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : UNSIGNED(L_LEFT downto 0); variable R01 : UNSIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD."">"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(RESIZE(L01, SIZE), RESIZE(R01, SIZE)); end ">"; -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : SIGNED(L_LEFT downto 0); variable R01 : SIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD."">"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS_OR_EQUAL(RESIZE(L01, SIZE), RESIZE(R01, SIZE)); end ">"; -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable R01 : UNSIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD."">"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R01'LENGTH), R01); end ">"; -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: SIGNED(R_LEFT downto 0) is R; variable R01 : SIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD."">"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R01'LENGTH), R01); end ">"; -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; variable L01 : UNSIGNED(L_LEFT downto 0); begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD."">"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(L01, TO_UNSIGNED(R, L01'LENGTH)); end ">"; -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; variable L01 : SIGNED(L_LEFT downto 0); begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD."">"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS_OR_EQUAL(L01, TO_SIGNED(R, L01'LENGTH)); end ">"; --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : UNSIGNED(L_LEFT downto 0); variable R01 : UNSIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD.""<"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS(RESIZE(L01, SIZE), RESIZE(R01, SIZE)); end "<"; -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : SIGNED(L_LEFT downto 0); variable R01 : SIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD.""<"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS(RESIZE(L01, SIZE), RESIZE(R01, SIZE)); end "<"; -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable R01 : UNSIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""<"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS(TO_UNSIGNED(L, R01'LENGTH), R01); end "<"; -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: SIGNED(R_LEFT downto 0) is R; variable R01 : SIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""<"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS(TO_SIGNED(L, R01'LENGTH), R01); end "<"; -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; variable L01 : UNSIGNED(L_LEFT downto 0); begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""<"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS(L01, TO_UNSIGNED(R, L01'LENGTH)); end "<"; -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; variable L01 : SIGNED(L_LEFT downto 0); begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""<"": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS(L01, TO_SIGNED(R, L01'LENGTH)); end "<"; --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : UNSIGNED(L_LEFT downto 0); variable R01 : UNSIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD.""<="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS_OR_EQUAL(RESIZE(L01, SIZE), RESIZE(R01, SIZE)); end "<="; -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : SIGNED(L_LEFT downto 0); variable R01 : SIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD.""<="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS_OR_EQUAL(RESIZE(L01, SIZE), RESIZE(R01, SIZE)); end "<="; -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable R01 : UNSIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""<="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R01'LENGTH), R01); end "<="; -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: SIGNED(R_LEFT downto 0) is R; variable R01 : SIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""<="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R01'LENGTH), R01); end "<="; -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; variable L01 : UNSIGNED(L_LEFT downto 0); begin if (L_LEFT < 0) then assert NO_WARNING report "NUMERIC_STD.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""<="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS_OR_EQUAL(L01, TO_UNSIGNED(R, L01'LENGTH)); end "<="; -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; variable L01 : SIGNED(L_LEFT downto 0); begin if (L_LEFT < 0) then assert NO_WARNING report "NUMERIC_STD.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""<="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS_OR_EQUAL(L01, TO_SIGNED(R, L01'LENGTH)); end "<="; --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : UNSIGNED(L_LEFT downto 0); variable R01 : UNSIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD."">="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS(RESIZE(L01, SIZE), RESIZE(R01, SIZE)); end ">="; -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : SIGNED(L_LEFT downto 0); variable R01 : SIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD."">="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS(RESIZE(L01, SIZE), RESIZE(R01, SIZE)); end ">="; -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable R01 : UNSIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD."">="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not UNSIGNED_LESS(TO_UNSIGNED(L, R01'LENGTH), R01); end ">="; -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: SIGNED(R_LEFT downto 0) is R; variable R01 : SIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD."">="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS(TO_SIGNED(L, R01'LENGTH), R01); end ">="; -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; variable L01 : UNSIGNED(L_LEFT downto 0); begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD."">="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not UNSIGNED_LESS(L01, TO_UNSIGNED(R, L01'LENGTH)); end ">="; -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; variable L01 : SIGNED(L_LEFT downto 0); begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD."">="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS(L01, TO_SIGNED(R, L01'LENGTH)); end ">="; --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : UNSIGNED(L_LEFT downto 0); variable R01 : UNSIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD.""="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_EQUAL(RESIZE(L01, SIZE), RESIZE(R01, SIZE)); end "="; -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : SIGNED(L_LEFT downto 0); variable R01 : SIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD.""="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_EQUAL(RESIZE(L01, SIZE), RESIZE(R01, SIZE)); end "="; -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable R01 : UNSIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(TO_UNSIGNED(L, R01'LENGTH), R01); end "="; -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: SIGNED(R_LEFT downto 0) is R; variable R01 : SIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return SIGNED_EQUAL(TO_SIGNED(L, R01'LENGTH), R01); end "="; -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; variable L01 : UNSIGNED(L_LEFT downto 0); begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(L01, TO_UNSIGNED(R, L01'LENGTH)); end "="; -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; variable L01 : SIGNED(L_LEFT downto 0); begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""="": metavalue detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return SIGNED_EQUAL(L01, TO_SIGNED(R, L01'LENGTH)); end "="; --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : UNSIGNED(L_LEFT downto 0); variable R01 : UNSIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD.""/="": metavalue detected, returning TRUE" severity WARNING; return TRUE; end if; return not(UNSIGNED_EQUAL(RESIZE(L01, SIZE), RESIZE(R01, SIZE))); end "/="; -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(R_LEFT downto 0) is R; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); variable L01 : SIGNED(L_LEFT downto 0); variable R01 : SIGNED(R_LEFT downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; L01 := TO_01(XL, 'X'); R01 := TO_01(XR, 'X'); if ((L01(L01'LEFT)='X') or (R01(R01'LEFT)='X')) then assert NO_WARNING report "NUMERIC_STD.""/="": metavalue detected, returning TRUE" severity WARNING; return TRUE; end if; return not(SIGNED_EQUAL(RESIZE(L01, SIZE), RESIZE(R01, SIZE))); end "/="; -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable R01 : UNSIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""/="": metavalue detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(TO_UNSIGNED(L, R01'LENGTH), R01)); end "/="; -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN is constant R_LEFT: INTEGER := R'LENGTH-1; alias XR: SIGNED(R_LEFT downto 0) is R; variable R01 : SIGNED(R_LEFT downto 0); begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; R01 := TO_01(XR, 'X'); if (R01(R01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""/="": metavalue detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(TO_SIGNED(L, R01'LENGTH), R01)); end "/="; -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; variable L01 : UNSIGNED(L_LEFT downto 0); begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""/="": metavalue detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(L01, TO_UNSIGNED(R, L01'LENGTH))); end "/="; -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; variable L01 : SIGNED(L_LEFT downto 0); begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; L01 := TO_01(XL, 'X'); if (L01(L01'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.""/="": metavalue detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(L01, TO_SIGNED(R, L01'LENGTH))); end "/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSLL(STD_LOGIC_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSRL(STD_LOGIC_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSLL(STD_LOGIC_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSRA(STD_LOGIC_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROL(STD_LOGIC_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROR(STD_LOGIC_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROL(STD_LOGIC_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROR(STD_LOGIC_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; --============================================================================ --START-V93 ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SHIFT_RIGHT(ARG, -COUNT); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), -COUNT)); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(ARG, COUNT); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; --END-V93 --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XXARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable XARG: UNSIGNED(ARG_LEFT downto 0); variable RESULT: NATURAL := 0; begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; XARG := TO_01(XXARG, 'X'); if (XARG(XARG'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0" severity WARNING; return 0; end if; for I in XARG'RANGE loop RESULT := RESULT+RESULT; if XARG(I) = '1' then RESULT := RESULT + 1; end if; end loop; return RESULT; end TO_INTEGER; -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER is variable XARG: SIGNED(ARG'LENGTH-1 downto 0); begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; XARG := TO_01(ARG, 'X'); if (XARG(XARG'LEFT)='X') then assert NO_WARNING report "NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0" severity WARNING; return 0; end if; if XARG(XARG'LEFT) = '0' then return TO_INTEGER(UNSIGNED(XARG)); else return (- (TO_INTEGER(UNSIGNED(- (XARG + 1)))) -1); end if; end TO_INTEGER; -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin if (SIZE < 1) then return NAU; end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; if not(I_VAL =0) then assert NO_WARNING report "NUMERIC_STD.TO_UNSIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_UNSIGNED; -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED is variable RESULT: SIGNED(SIZE-1 downto 0); variable B_VAL: STD_LOGIC := '0'; variable I_VAL: INTEGER := ARG; begin if (SIZE < 1) then return NAS; end if; if (ARG < 0) then B_VAL := '1'; I_VAL := -(ARG+1); end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := B_VAL; else RESULT(I) := not B_VAL; end if; I_VAL := I_VAL/2; end loop; if ((I_VAL/=0) or (B_VAL/=RESULT(RESULT'LEFT))) then assert NO_WARNING report "NUMERIC_STD.TO_SIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_SIGNED; --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED is alias INVEC: SIGNED(ARG'LENGTH-1 downto 0) is ARG; variable RESULT: SIGNED(NEW_SIZE-1 downto 0) := (others => '0'); constant BOUND: INTEGER := MIN(ARG'LENGTH, RESULT'LENGTH)-2; begin if (NEW_SIZE < 1) then return NAS; end if; if (ARG'LENGTH = 0) then return RESULT; end if; RESULT := (others => ARG(ARG'LEFT)); if BOUND >= 0 then RESULT(BOUND downto 0) := INVEC(BOUND downto 0); end if; return RESULT; end RESIZE; -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: UNSIGNED(NEW_SIZE-1 downto 0) := (others => '0'); begin if (NEW_SIZE < 1) then return NAU; end if; if XARG'LENGTH =0 then return RESULT; end if; if (RESULT'LENGTH < ARG'LENGTH) then RESULT(RESULT'LEFT downto 0) := XARG(RESULT'LEFT downto 0); else RESULT(RESULT'LEFT downto XARG'LEFT+1) := (others => '0'); RESULT(XARG'LEFT downto 0) := XARG; end if; return RESULT; end RESIZE; --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(not(STD_LOGIC_VECTOR(L))); return RESULT; end "not"; -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(STD_LOGIC_VECTOR(L) and STD_LOGIC_VECTOR(R)); return RESULT; end "and"; -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(STD_LOGIC_VECTOR(L) or STD_LOGIC_VECTOR(R)); return RESULT; end "or"; -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(STD_LOGIC_VECTOR(L) nand STD_LOGIC_VECTOR(R)); return RESULT; end "nand"; -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(STD_LOGIC_VECTOR(L) nor STD_LOGIC_VECTOR(R)); return RESULT; end "nor"; -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(STD_LOGIC_VECTOR(L) xor STD_LOGIC_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(STD_LOGIC_VECTOR(L) xnor STD_LOGIC_VECTOR(R)); return RESULT; end "xnor"; --END-V93 -- Id: L.8 function "not" (L: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(not(STD_LOGIC_VECTOR(L))); return RESULT; end "not"; -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(STD_LOGIC_VECTOR(L) and STD_LOGIC_VECTOR(R)); return RESULT; end "and"; -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(STD_LOGIC_VECTOR(L) or STD_LOGIC_VECTOR(R)); return RESULT; end "or"; -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(STD_LOGIC_VECTOR(L) nand STD_LOGIC_VECTOR(R)); return RESULT; end "nand"; -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(STD_LOGIC_VECTOR(L) nor STD_LOGIC_VECTOR(R)); return RESULT; end "nor"; -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(STD_LOGIC_VECTOR(L) xor STD_LOGIC_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(STD_LOGIC_VECTOR(L) xnor STD_LOGIC_VECTOR(R)); return RESULT; end "xnor"; --END-V93 --============================================================================ -- support constants for STD_MATCH: type BOOLEAN_TABLE is array(STD_ULOGIC, STD_ULOGIC) of BOOLEAN; constant MATCH_TABLE: BOOLEAN_TABLE := ( -------------------------------------------------------------------------- -- U X 0 1 Z W L H - -------------------------------------------------------------------------- (FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, TRUE), -- | U | (FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, TRUE), -- | X | (FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, TRUE), -- | 0 | (FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, TRUE), -- | 1 | (FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, TRUE), -- | Z | (FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, TRUE), -- | W | (FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, TRUE), -- | L | (FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, TRUE), -- | H | ( TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE) -- | - | ); -- Id: M.1 function STD_MATCH (L, R: STD_ULOGIC) return BOOLEAN is variable VALUE: STD_ULOGIC; begin return MATCH_TABLE(L, R); end STD_MATCH; -- Id: M.2 function STD_MATCH (L, R: UNSIGNED) return BOOLEAN is alias LV: UNSIGNED(1 to L'LENGTH) is L; alias RV: UNSIGNED(1 to R'LENGTH) is R; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.STD_MATCH: null detected, returning FALSE" severity WARNING; return FALSE; end if; if LV'LENGTH /= RV'LENGTH then assert NO_WARNING report "NUMERIC_STD.STD_MATCH: L'LENGTH /= R'LENGTH, returning FALSE" severity WARNING; return FALSE; else for I in LV'LOW to LV'HIGH loop if not (MATCH_TABLE(LV(I), RV(I))) then return FALSE; end if; end loop; return TRUE; end if; end STD_MATCH; -- Id: M.3 function STD_MATCH (L, R: SIGNED) return BOOLEAN is alias LV: SIGNED(1 to L'LENGTH) is L; alias RV: SIGNED(1 to R'LENGTH) is R; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.STD_MATCH: null detected, returning FALSE" severity WARNING; return FALSE; end if; if LV'LENGTH /= RV'LENGTH then assert NO_WARNING report "NUMERIC_STD.STD_MATCH: L'LENGTH /= R'LENGTH, returning FALSE" severity WARNING; return FALSE; else for I in LV'LOW to LV'HIGH loop if not (MATCH_TABLE(LV(I), RV(I))) then return FALSE; end if; end loop; return TRUE; end if; end STD_MATCH; -- Id: M.4 function STD_MATCH (L, R: STD_LOGIC_VECTOR) return BOOLEAN is alias LV: STD_LOGIC_VECTOR(1 to L'LENGTH) is L; alias RV: STD_LOGIC_VECTOR(1 to R'LENGTH) is R; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.STD_MATCH: null detected, returning FALSE" severity WARNING; return FALSE; end if; if LV'LENGTH /= RV'LENGTH then assert NO_WARNING report "NUMERIC_STD.STD_MATCH: L'LENGTH /= R'LENGTH, returning FALSE" severity WARNING; return FALSE; else for I in LV'LOW to LV'HIGH loop if not (MATCH_TABLE(LV(I), RV(I))) then return FALSE; end if; end loop; return TRUE; end if; end STD_MATCH; -- Id: M.5 function STD_MATCH (L, R: STD_ULOGIC_VECTOR) return BOOLEAN is alias LV: STD_ULOGIC_VECTOR(1 to L'LENGTH) is L; alias RV: STD_ULOGIC_VECTOR(1 to R'LENGTH) is R; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_STD.STD_MATCH: null detected, returning FALSE" severity WARNING; return FALSE; end if; if LV'LENGTH /= RV'LENGTH then assert NO_WARNING report "NUMERIC_STD.STD_MATCH: L'LENGTH /= R'LENGTH, returning FALSE" severity WARNING; return FALSE; else for I in LV'LOW to LV'HIGH loop if not (MATCH_TABLE(LV(I), RV(I))) then return FALSE; end if; end loop; return TRUE; end if; end STD_MATCH; --============================================================================ -- function TO_01 is used to convert vectors to the -- correct form for exported functions, -- and to report if there is an element which -- is not in (0, 1, H, L). -- Id: T.1 function TO_01 (S: UNSIGNED; XMAP: STD_LOGIC := '0') return UNSIGNED is variable RESULT: UNSIGNED(S'LENGTH-1 downto 0); variable BAD_ELEMENT: BOOLEAN := FALSE; alias XS: UNSIGNED(S'LENGTH-1 downto 0) is S; begin if (S'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.TO_01: null detected, returning NAU" severity WARNING; return NAU; end if; for I in RESULT'RANGE loop case XS(I) is when '0' | 'L' => RESULT(I) := '0'; when '1' | 'H' => RESULT(I) := '1'; when others => BAD_ELEMENT := TRUE; end case; end loop; if BAD_ELEMENT then for I in RESULT'RANGE loop RESULT(I) := XMAP; -- standard fixup end loop; end if; return RESULT; end TO_01; -- Id: T.2 function TO_01 (S: SIGNED; XMAP: STD_LOGIC := '0') return SIGNED is variable RESULT: SIGNED(S'LENGTH-1 downto 0); variable BAD_ELEMENT: BOOLEAN := FALSE; alias XS: SIGNED(S'LENGTH-1 downto 0) is S; begin if (S'LENGTH < 1) then assert NO_WARNING report "NUMERIC_STD.TO_01: null detected, returning NAS" severity WARNING; return NAS; end if; for I in RESULT'RANGE loop case XS(I) is when '0' | 'L' => RESULT(I) := '0'; when '1' | 'H' => RESULT(I) := '1'; when others => BAD_ELEMENT := TRUE; end case; end loop; if BAD_ELEMENT then for I in RESULT'RANGE loop RESULT(I) := XMAP; -- standard fixup end loop; end if; return RESULT; end TO_01; --============================================================================ end NUMERIC_STD;
-- Copyright (c) 2009 Frank Buss ([email protected]) -- See license.txt for license library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.numeric_bit.all; use work.all; use work.YaGraphConPackage.all; entity YaGraphCon is generic( ADDRESS_WIDTH: natural; BIT_DEPTH: natural ); port( -- main clock clock: in std_logic; -- microcontroller interface spiChipSelect: in std_logic; spiData: in std_logic; spiClock: in std_logic; busy: out std_logic; vsync: out std_logic; -- graphics output pixel: out unsigned(BIT_DEPTH-1 downto 0); vgaHsync: out std_logic; vgaVsync: out std_logic ); end entity YaGraphCon; architecture rtl of YaGraphCon is constant PITCH_WIDTH: natural := min(16, ADDRESS_WIDTH); -- 1st RAM port for read-only access signal framebufferReadAddress1: unsigned(ADDRESS_WIDTH-1 downto 0); signal framebufferQ1: unsigned(BIT_DEPTH-1 downto 0); -- 2nd RAM port for read-only access signal framebufferReadAddress2: unsigned(ADDRESS_WIDTH-1 downto 0); signal framebufferQ2: unsigned(BIT_DEPTH-1 downto 0); -- 3rd RAM port for write access signal framebufferWriteAddress: unsigned(ADDRESS_WIDTH-1 downto 0); signal framebufferData: unsigned(BIT_DEPTH-1 downto 0); signal framebufferWriteEnable: std_logic; -- OutputGenerator signals signal framebufferStart: unsigned(ADDRESS_WIDTH-1 downto 0) := (others => '0'); signal framebufferPitch: unsigned(PITCH_WIDTH-1 downto 0) := x"0280"; -- GraphicsAccelerator signals signal reset: std_logic := '0'; signal writeStart: unsigned(ADDRESS_WIDTH-1 downto 0); signal writeSize: unsigned(ADDRESS_WIDTH-1 downto 0); signal srcStart: unsigned(ADDRESS_WIDTH-1 downto 0); signal srcPitch: unsigned(PITCH_WIDTH-1 downto 0); signal dstStart: unsigned(ADDRESS_WIDTH-1 downto 0); signal dstPitch: unsigned(PITCH_WIDTH-1 downto 0); signal color: unsigned(BIT_DEPTH-1 downto 0); signal lineX0: unsigned(15 downto 0); signal lineY0: unsigned(15 downto 0); signal blitWidth: unsigned(15 downto 0); signal blitHeight: unsigned(15 downto 0); signal blitTransparent: std_logic; signal srcX0: unsigned(15 downto 0); signal srcY0: unsigned(15 downto 0); signal srcX1: unsigned(15 downto 0); signal dstX0: unsigned(15 downto 0); signal dstY0: unsigned(15 downto 0); signal dstX1: unsigned(15 downto 0); signal dstY1: unsigned(15 downto 0); signal command: unsigned(7 downto 0); signal start: std_logic; signal acceleratorBusy: std_logic; signal acceleratorWriteAddress: unsigned(ADDRESS_WIDTH-1 downto 0); signal acceleratorData: unsigned(BIT_DEPTH-1 downto 0); signal acceleratorWriteEnable: std_logic; -- SPI signals signal spiChipSelectLatch: std_logic; signal spiChipSelectVector: std_logic_vector(1 downto 0); signal spiDataLatch: std_logic; signal spiClockLatch: std_logic; signal spiClockVector: std_logic_vector(1 downto 0); signal receivedWord: unsigned(max(ADDRESS_WIDTH-1, 15) downto 0); signal receivedBitsCount: natural range 0 to 24 := 0; -- statemachine type commandParserStateType is ( WAIT_FOR_COMMAND, WAIT_FRAMEBUFFER_START_ADDRESS, WAIT_FRAMEBUFFER_PITCH_OFFSET, WAIT_DESTINATION_START_ADDRESS, WAIT_DESTINATION_PITCH_OFFSET, WAIT_SOURCE_START_ADDRESS, WAIT_SOURCE_PITCH_ADDRESS, WAIT_COLOR, WAIT_SET_PIXEL_X, WAIT_SET_PIXEL_Y, WAIT_MOVE_TO_X, WAIT_MOVE_TO_Y, WAIT_LINE_TO_X, WAIT_LINE_TO_Y, WAIT_FILL_RECT_X0, WAIT_FILL_RECT_Y0, WAIT_FILL_RECT_WIDTH, WAIT_FILL_RECT_HEIGHT, WAIT_BLIT_SIZE_WIDTH, WAIT_BLIT_SIZE_HEIGHT, WAIT_BLIT_SOURCE_X, WAIT_BLIT_SOURCE_Y, WAIT_BLIT_DESTINATION_X, WAIT_BLIT_DESTINATION_Y, WAIT_WRITE_FRAMEBUFFER_ADDRESS, WAIT_WRITE_FRAMEBUFFER_SIZE, WAIT_WRITE_FRAMEBUFFER_BITS, WAIT_FOR_COMMAND_END ); signal commandParserState: commandParserStateType := WAIT_FOR_COMMAND; begin Framebuffer_instance: entity Framebuffer generic map(ADDRESS_WIDTH, BIT_DEPTH) port map( clock => clock, readAddress1 => framebufferReadAddress1, q1 => framebufferQ1, readAddress2 => framebufferReadAddress2, q2 => framebufferQ2, writeAddress => framebufferWriteAddress, data => framebufferData, writeEnable => framebufferWriteEnable ); OutputGenerator_instance: entity OutputGenerator generic map(ADDRESS_WIDTH, BIT_DEPTH, PITCH_WIDTH) port map( clock => clock, pixel => pixel, vgaHsync => vgaHsync, vgaVsync => vgaVsync, vsync => vsync, readAddress => framebufferReadAddress1, q => framebufferQ1, framebufferStart => framebufferStart, framebufferPitch => framebufferPitch ); GraphicsAccelerator_instance: entity GraphicsAccelerator generic map(ADDRESS_WIDTH, BIT_DEPTH, PITCH_WIDTH) port map( clock => clock, reset => reset, srcStart => srcStart, srcPitch => srcPitch, dstStart => dstStart, dstPitch => dstPitch, color => color, srcX0 => srcX0, srcY0 => srcY0, srcX1 => srcX1, dstX0 => dstX0, dstY0 => dstY0, dstX1 => dstX1, dstY1 => dstY1, blitTransparent => blitTransparent, command => command, start => start, busy => acceleratorBusy, readAddress => framebufferReadAddress2, writeAddress => acceleratorWriteAddress, data => acceleratorData, q => framebufferQ2, writeEnable => acceleratorWriteEnable ); process(clock) begin if rising_edge(clock) then reset <= '0'; start <= '0'; framebufferWriteAddress <= acceleratorWriteAddress; framebufferData <= acceleratorData; framebufferWriteEnable <= acceleratorWriteEnable; spiChipSelectLatch <= spiChipSelect; spiChipSelectVector <= spiChipSelectVector(0) & spiChipSelectLatch; spiDataLatch <= spiData; spiClockLatch <= spiClock; spiClockVector <= spiClockVector(0) & spiClockLatch; if spiChipSelectLatch = '0' then if spiClockVector = "01" then receivedWord <= receivedWord(receivedWord'high-1 downto 0) & spiDataLatch; receivedBitsCount <= receivedBitsCount + 1; end if; busy <= acceleratorBusy; end if; if spiChipSelectVector = "01" then receivedBitsCount <= 0; commandParserState <= WAIT_FOR_COMMAND; busy <= '1'; end if; case commandParserState is when WAIT_FOR_COMMAND => if receivedBitsCount = 8 then command <= receivedWord(7 downto 0); case receivedWord(7 downto 0) is when RESET_COMMAND => reset <= '1'; srcStart <= (others => '0'); srcPitch <= (others => '0'); dstStart <= (others => '0'); dstPitch <= (others => '0'); color <= (others => '0'); srcX0 <= (others => '0'); srcY0 <= (others => '0'); srcX1 <= (others => '0'); dstX0 <= (others => '0'); dstY0 <= (others => '0'); dstX1 <= (others => '0'); dstY1 <= (others => '0'); blitTransparent <= '0'; commandParserState <= WAIT_FOR_COMMAND_END; when SET_FRAMEBUFFER_START => commandParserState <= WAIT_FRAMEBUFFER_START_ADDRESS; when SET_FRAMEBUFFER_PITCH => commandParserState <= WAIT_FRAMEBUFFER_PITCH_OFFSET; when SET_DESTINATION_START => commandParserState <= WAIT_DESTINATION_START_ADDRESS; when SET_DESTINATION_PITCH => commandParserState <= WAIT_DESTINATION_PITCH_OFFSET; when SET_SOURCE_START => commandParserState <= WAIT_SOURCE_START_ADDRESS; when SET_SOURCE_PITCH => commandParserState <= WAIT_SOURCE_PITCH_ADDRESS; when SET_COLOR => commandParserState <= WAIT_COLOR; when SET_PIXEL => commandParserState <= WAIT_SET_PIXEL_X; when MOVE_TO => commandParserState <= WAIT_MOVE_TO_X; when LINE_TO => commandParserState <= WAIT_LINE_TO_X; when FILL_RECT => commandParserState <= WAIT_FILL_RECT_X0; when BLIT_SIZE => commandParserState <= WAIT_BLIT_SIZE_WIDTH; when BLIT_COMMAND => blitTransparent <= '0'; commandParserState <= WAIT_BLIT_SOURCE_X; when BLIT_TRANSPARENT => blitTransparent <= '1'; commandParserState <= WAIT_BLIT_SOURCE_X; when WRITE_FRAMEBUFFER => commandParserState <= WAIT_WRITE_FRAMEBUFFER_ADDRESS; when others => end case; receivedBitsCount <= 0; end if; when WAIT_FRAMEBUFFER_START_ADDRESS => if receivedBitsCount = 24 then framebufferStart <= receivedWord(ADDRESS_WIDTH-1 downto 0); commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_FRAMEBUFFER_PITCH_OFFSET => if receivedBitsCount = 16 then framebufferPitch <= receivedWord(PITCH_WIDTH-1 downto 0); commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_DESTINATION_START_ADDRESS => if receivedBitsCount = 24 then dstStart <= receivedWord(ADDRESS_WIDTH-1 downto 0); commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_DESTINATION_PITCH_OFFSET => if receivedBitsCount = 16 then dstPitch <= receivedWord(PITCH_WIDTH-1 downto 0); commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_SOURCE_START_ADDRESS => if receivedBitsCount = 24 then srcStart <= receivedWord(ADDRESS_WIDTH-1 downto 0); commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_SOURCE_PITCH_ADDRESS => if receivedBitsCount = 16 then srcPitch <= receivedWord(PITCH_WIDTH-1 downto 0); commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_COLOR => if receivedBitsCount = BIT_DEPTH then color <= receivedWord(BIT_DEPTH-1 downto 0); commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_SET_PIXEL_X => if receivedBitsCount = 16 then dstX0 <= receivedWord(15 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_SET_PIXEL_Y; end if; when WAIT_SET_PIXEL_Y => if receivedBitsCount = 16 then dstY0 <= receivedWord(15 downto 0); start <= '1'; commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_MOVE_TO_X => if receivedBitsCount = 16 then lineX0 <= receivedWord(15 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_MOVE_TO_Y; end if; when WAIT_MOVE_TO_Y => if receivedBitsCount = 16 then lineY0 <= receivedWord(15 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_LINE_TO_X => if receivedBitsCount = 16 then dstX0 <= receivedWord(15 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_LINE_TO_Y; end if; when WAIT_LINE_TO_Y => if receivedBitsCount = 16 then dstY0 <= receivedWord(15 downto 0); dstX1 <= lineX0; dstY1 <= lineY0; lineX0 <= dstX0; lineY0 <= receivedWord(15 downto 0); start <= '1'; commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_FILL_RECT_X0 => if receivedBitsCount = 16 then dstX0 <= receivedWord(15 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_FILL_RECT_Y0; end if; when WAIT_FILL_RECT_Y0 => if receivedBitsCount = 16 then dstY0 <= receivedWord(15 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_FILL_RECT_WIDTH; end if; when WAIT_FILL_RECT_WIDTH => if receivedBitsCount = 16 then if receivedWord(15 downto 0) = 0 then commandParserState <= WAIT_FOR_COMMAND_END; else dstX1 <= receivedWord(15 downto 0) + dstX0 - 1; receivedBitsCount <= 0; commandParserState <= WAIT_FILL_RECT_HEIGHT; end if; end if; when WAIT_FILL_RECT_HEIGHT => if receivedBitsCount = 16 then if receivedWord(15 downto 0) = 0 then commandParserState <= WAIT_FOR_COMMAND_END; else dstY1 <= receivedWord(15 downto 0) + dstY0 - 1; start <= '1'; commandParserState <= WAIT_FOR_COMMAND_END; end if; end if; when WAIT_BLIT_SIZE_WIDTH => if receivedBitsCount = 16 then blitWidth <= receivedWord(15 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_BLIT_SIZE_HEIGHT; end if; when WAIT_BLIT_SIZE_HEIGHT => if receivedBitsCount = 16 then blitHeight <= receivedWord(15 downto 0); commandParserState <= WAIT_FOR_COMMAND_END; end if; when WAIT_BLIT_SOURCE_X => if receivedBitsCount = 16 then srcX0 <= receivedWord(15 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_BLIT_SOURCE_Y; end if; when WAIT_BLIT_SOURCE_Y => if receivedBitsCount = 16 then srcY0 <= receivedWord(15 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_BLIT_DESTINATION_X; end if; when WAIT_BLIT_DESTINATION_X => if receivedBitsCount = 16 then dstX0 <= receivedWord(15 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_BLIT_DESTINATION_Y; end if; when WAIT_BLIT_DESTINATION_Y => if receivedBitsCount = 16 then if blitWidth = 0 or blitHeight = 0 then commandParserState <= WAIT_FOR_COMMAND_END; else dstY0 <= receivedWord(15 downto 0); srcX1 <= srcX0 + blitWidth - 1; dstX1 <= dstX0 + blitWidth - 1; dstY1 <= receivedWord(15 downto 0) + blitHeight - 1; start <= '1'; commandParserState <= WAIT_FOR_COMMAND_END; end if; end if; when WAIT_WRITE_FRAMEBUFFER_ADDRESS => if receivedBitsCount = 24 then writeStart <= receivedWord(ADDRESS_WIDTH-1 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_WRITE_FRAMEBUFFER_SIZE; end if; when WAIT_WRITE_FRAMEBUFFER_SIZE => if receivedBitsCount = 24 then writeSize <= receivedWord(ADDRESS_WIDTH-1 downto 0); receivedBitsCount <= 0; commandParserState <= WAIT_WRITE_FRAMEBUFFER_BITS; end if; when WAIT_WRITE_FRAMEBUFFER_BITS => if receivedBitsCount = BIT_DEPTH then if writeSize > 0 then framebufferWriteAddress <= writeStart; framebufferData <= receivedWord(BIT_DEPTH - 1 downto 0); framebufferWriteEnable <= '1'; writeStart <= writeStart + 1; writeSize <= writeSize - 1; else commandParserState <= WAIT_FOR_COMMAND_END; end if; receivedBitsCount <= 0; end if; when WAIT_FOR_COMMAND_END => null; when others => commandParserState <= WAIT_FOR_COMMAND; end case; end if; end process; end architecture rtl;
-- NEED RESULT: ARCH00397.P1: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00397.P2: Multi inertial transactions occurred on concurrent signal asg passed -- NEED RESULT: ARCH00397: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00397: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00397: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00397: Old transactions were removed on a concurrent signal asg passed -- NEED RESULT: ARCH00397: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00397: One inertial transaction occurred on a concurrent signal asg passed -- NEED RESULT: ARCH00397: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: ARCH00397: Inertial semantics check on a concurrent signal asg passed -- NEED RESULT: P2: Inertial transactions completed entirely passed -- NEED RESULT: P1: Inertial transactions completed entirely passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00397 -- -- AUTHOR: -- -- G. Tominovich -- -- TEST OBJECTIVES: -- -- 9.5 (3) -- 9.5.2 (1) -- -- DESIGN UNIT ORDERING: -- -- ENT00397(ARCH00397) -- ENT00397_Test_Bench(ARCH00397_Test_Bench) -- -- REVISION HISTORY: -- -- 30-JUL-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00397 is end ENT00397 ; -- -- architecture ARCH00397 of ENT00397 is subtype chk_sig_type is integer range -1 to 100 ; signal chk_st_arr2_vector : chk_sig_type := -1 ; signal chk_st_arr3_vector : chk_sig_type := -1 ; -- subtype chk_time_type is Time ; signal s_st_arr2_vector_savt : chk_time_type := 0 ns ; signal s_st_arr3_vector_savt : chk_time_type := 0 ns ; -- subtype chk_cnt_type is Integer ; signal s_st_arr2_vector_cnt : chk_cnt_type := 0 ; signal s_st_arr3_vector_cnt : chk_cnt_type := 0 ; -- type select_type is range 1 to 6 ; signal st_arr2_vector_select : select_type := 1 ; signal st_arr3_vector_select : select_type := 1 ; -- signal s_st_arr2_vector : st_arr2_vector := c_st_arr2_vector_1 ; signal s_st_arr3_vector : st_arr3_vector := c_st_arr3_vector_1 ; -- begin CHG1 : process variable correct : boolean ; begin case s_st_arr2_vector_cnt is when 0 => null ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns, -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns ; -- when 1 => correct := s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397.P1" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr2_vector_select <= transport 2 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , -- c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ; -- when 3 => correct := s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; st_arr2_vector_select <= transport 3 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_1(lowb)(highb,false) after 5 ns ; -- when 4 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 4 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_1(lowb)(highb,false) after 100 ns ; -- when 5 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 5 ; -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , -- c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , -- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ; -- when 6 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_2(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr2_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr2_vector(lowb)(highb,false) <= -- c_st_arr2_vector_1(lowb)(highb,false) after 40 ns ; -- when 7 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr2_vector(lowb)(highb,false) = c_st_arr2_vector_1(lowb)(highb,false) and (s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00397" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr2_vector_savt <= transport Std.Standard.Now ; chk_st_arr2_vector <= transport s_st_arr2_vector_cnt after (1 us - Std.Standard.Now) ; s_st_arr2_vector_cnt <= transport s_st_arr2_vector_cnt + 1 ; wait until (not s_st_arr2_vector(lowb)(highb,false)'Quiet) and (s_st_arr2_vector_savt /= Std.Standard.Now) ; -- end process CHG1 ; -- PGEN_CHKP_1 : process ( chk_st_arr2_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P1" , "Inertial transactions completed entirely", chk_st_arr2_vector = 8 ) ; end if ; end process PGEN_CHKP_1 ; -- -- with st_arr2_vector_select select s_st_arr2_vector(lowb)(highb,false) <= c_st_arr2_vector_2(lowb)(highb,false) after 10 ns, c_st_arr2_vector_1(lowb)(highb,false) after 20 ns when 1, -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , c_st_arr2_vector_1(lowb)(highb,false) after 40 ns when 2, -- c_st_arr2_vector_1(lowb)(highb,false) after 5 ns when 3, -- c_st_arr2_vector_1(lowb)(highb,false) after 100 ns when 4, -- c_st_arr2_vector_2(lowb)(highb,false) after 10 ns , c_st_arr2_vector_1(lowb)(highb,false) after 20 ns , c_st_arr2_vector_2(lowb)(highb,false) after 30 ns , c_st_arr2_vector_1(lowb)(highb,false) after 40 ns when 5, -- -- Last transaction above is marked c_st_arr2_vector_1(lowb)(highb,false) after 40 ns when 6 ; -- CHG2 : process variable correct : boolean ; begin case s_st_arr3_vector_cnt is when 0 => null ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns, -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns ; -- when 1 => correct := s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; -- when 2 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397.P2" , "Multi inertial transactions occurred on " & "concurrent signal asg", correct ) ; -- st_arr3_vector_select <= transport 2 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , -- c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ; -- when 3 => correct := s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; st_arr3_vector_select <= transport 3 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_1(highb)(lowb,true) after 5 ns ; -- when 4 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 5 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr3_vector_select <= transport 4 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_1(highb)(lowb,true) after 100 ns ; -- when 5 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 100 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "Old transactions were removed on a " & "concurrent signal asg", correct ) ; st_arr3_vector_select <= transport 5 ; -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , -- c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , -- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ; -- when 6 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_2(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "One inertial transaction occurred on a " & "concurrent signal asg", correct ) ; st_arr3_vector_select <= transport 6 ; -- Last transaction above is marked -- s_st_arr3_vector(highb)(lowb,true) <= -- c_st_arr3_vector_1(highb)(lowb,true) after 40 ns ; -- when 7 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 30 ns) = Std.Standard.Now ; -- when 8 => correct := correct and s_st_arr3_vector(highb)(lowb,true) = c_st_arr3_vector_1(highb)(lowb,true) and (s_st_arr3_vector_savt + 10 ns) = Std.Standard.Now ; test_report ( "ARCH00397" , "Inertial semantics check on a concurrent " & "signal asg", correct ) ; -- when others => -- No more transactions should have occurred test_report ( "ARCH00397" , "Inertial semantics check on a concurrent " & "signal asg", false ) ; -- end case ; -- s_st_arr3_vector_savt <= transport Std.Standard.Now ; chk_st_arr3_vector <= transport s_st_arr3_vector_cnt after (1 us - Std.Standard.Now) ; s_st_arr3_vector_cnt <= transport s_st_arr3_vector_cnt + 1 ; wait until (not s_st_arr3_vector(highb)(lowb,true)'Quiet) and (s_st_arr3_vector_savt /= Std.Standard.Now) ; -- end process CHG2 ; -- PGEN_CHKP_2 : process ( chk_st_arr3_vector ) begin if Std.Standard.Now > 0 ns then test_report ( "P2" , "Inertial transactions completed entirely", chk_st_arr3_vector = 8 ) ; end if ; end process PGEN_CHKP_2 ; -- -- with st_arr3_vector_select select s_st_arr3_vector(highb)(lowb,true) <= c_st_arr3_vector_2(highb)(lowb,true) after 10 ns, c_st_arr3_vector_1(highb)(lowb,true) after 20 ns when 1, -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , c_st_arr3_vector_1(highb)(lowb,true) after 40 ns when 2, -- c_st_arr3_vector_1(highb)(lowb,true) after 5 ns when 3, -- c_st_arr3_vector_1(highb)(lowb,true) after 100 ns when 4, -- c_st_arr3_vector_2(highb)(lowb,true) after 10 ns , c_st_arr3_vector_1(highb)(lowb,true) after 20 ns , c_st_arr3_vector_2(highb)(lowb,true) after 30 ns , c_st_arr3_vector_1(highb)(lowb,true) after 40 ns when 5, -- -- Last transaction above is marked c_st_arr3_vector_1(highb)(lowb,true) after 40 ns when 6 ; -- end ARCH00397 ; -- -- use WORK.STANDARD_TYPES.all ; entity ENT00397_Test_Bench is end ENT00397_Test_Bench ; -- -- architecture ARCH00397_Test_Bench of ENT00397_Test_Bench is begin L1: block component UUT end component ; -- for CIS1 : UUT use entity WORK.ENT00397 ( ARCH00397 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00397_Test_Bench ;
--------------------------------------------------------------------- -- Standard Library bits --------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- For Modelsim --use ieee.fixed_pkg.all; --use ieee.fixed_float_types.ALL; -- For ISE library ieee_proposed; use ieee_proposed.fixed_pkg.all; use ieee_proposed.fixed_float_types.ALL; use IEEE.numeric_std.all; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Entity Description --------------------------------------------------------------------- entity forwardRaten1 is Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; --SYNCHRONOUS RESET step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated component_done : out STD_LOGIC; requirement_voltage_v : in sfixed (2 downto -22); param_per_time_rate : in sfixed (18 downto -2); param_voltage_midpoint : in sfixed (2 downto -22); param_voltage_scale : in sfixed (2 downto -22); param_voltage_inv_scale_inv : in sfixed (22 downto -2); exposure_per_time_r : out sfixed (18 downto -2); derivedvariable_per_time_r_out : out sfixed (18 downto -2); derivedvariable_per_time_r_in : in sfixed (18 downto -2); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end forwardRaten1; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------- -- Architecture Begins ------------------------------------------------------------------------------------------- architecture RTL of forwardRaten1 is signal COUNT : unsigned(2 downto 0) := "000"; signal childrenCombined_Component_done_single_shot_fired : STD_LOGIC := '0'; signal childrenCombined_Component_done_single_shot : STD_LOGIC := '0'; signal childrenCombined_Component_done : STD_LOGIC := '0'; signal Component_done_int : STD_LOGIC := '0'; signal subprocess_der_int_pre_ready : STD_LOGIC := '0'; signal subprocess_der_int_ready : STD_LOGIC := '0'; signal subprocess_der_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_pre_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_ready : STD_LOGIC := '0'; signal subprocess_dyn_ready : STD_LOGIC := '0'; signal subprocess_model_ready : STD_LOGIC := '1'; signal subprocess_all_ready_shotdone : STD_LOGIC := '1'; signal subprocess_all_ready_shot : STD_LOGIC := '0'; signal subprocess_all_ready : STD_LOGIC := '0';signal pre_exp_r_exponential_result1 : sfixed(18 downto -13); signal pre_exp_r_exponential_result1_next : sfixed(18 downto -13); signal exp_r_exponential_result1 : sfixed(18 downto -13); Component ParamExp is generic( BIT_TOP : integer := 20; BIT_BOTTOM : integer := -20); port( clk : In Std_logic; init_model : In Std_logic; Start : In Std_logic; Done : Out Std_logic; X : In sfixed(BIT_TOP downto BIT_BOTTOM); Output : Out sfixed(BIT_TOP downto BIT_BOTTOM) ); end Component; component delayDone is generic( Steps : integer := 10); port( clk : In Std_logic; init_model : In Std_logic; Start : In Std_logic; Done : Out Std_logic ); end component; --------------------------------------------------------------------- -- Derived Variables and parameters --------------------------------------------------------------------- signal DerivedVariable_none_x : sfixed (18 downto -13) := to_sfixed(0.0 ,18,-13); signal DerivedVariable_none_x_next : sfixed (18 downto -13) := to_sfixed(0.0 ,18,-13); signal DerivedVariable_per_time_r : sfixed (18 downto -2) := to_sfixed(0.0 ,18,-2); signal DerivedVariable_per_time_r_next : sfixed (18 downto -2) := to_sfixed(0.0 ,18,-2); --------------------------------------------------------------------- --------------------------------------------------------------------- -- EDState internal Variables --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Output Port internal Variables --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Child Components --------------------------------------------------------------------- --------------------------------------------------------------------- -- Begin Internal Processes --------------------------------------------------------------------- begin --------------------------------------------------------------------- -- Child EDComponent Instantiations and corresponding internal variables --------------------------------------------------------------------- derived_variable_pre_process_comb :process ( sysparam_time_timestep, param_voltage_midpoint, param_voltage_scale, requirement_voltage_v ,param_voltage_inv_scale_inv, derivedvariable_none_x , param_per_time_rate,exp_r_exponential_result1, derivedvariable_none_x , param_per_time_rate, derivedvariable_none_x ) begin pre_exp_r_exponential_result1_next <= resize( ( to_sfixed ( 0 ,0 , -1 ) - derivedvariable_none_x ) ,18,-13); end process derived_variable_pre_process_comb; derived_variable_pre_process_syn :process ( clk, init_model ) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then pre_exp_r_exponential_result1 <= to_sfixed(0,18,-13); else if subprocess_all_ready_shot = '1' then pre_exp_r_exponential_result1 <= pre_exp_r_exponential_result1_next; end if; end if; end if; subprocess_der_int_pre_ready <= '1'; end process derived_variable_pre_process_syn; ParamExp_0_exponential_result1 : ParamExp generic map( BIT_TOP => 18, BIT_BOTTOM => -13 ) port map ( clk => clk, init_model => init_model, Start => step_once_go, Done => subprocess_der_int_ready, X => pre_exp_r_exponential_result1 , Output => exp_r_exponential_result1 ); derived_variable_process_comb :process ( sysparam_time_timestep, param_voltage_midpoint, param_voltage_scale, requirement_voltage_v ,param_voltage_inv_scale_inv, derivedvariable_none_x , param_per_time_rate,exp_r_exponential_result1, derivedvariable_none_x , param_per_time_rate, derivedvariable_none_x ) begin derivedvariable_none_x_next <= resize(( ( requirement_voltage_v - param_voltage_midpoint ) * param_voltage_inv_scale_inv ),18,-13); if To_slv ( resize ( derivedvariable_none_x - ( to_sfixed ( 0 ,0 , -1 ) ) ,2,-18)) /= (20 downto 0 => '0') then derivedvariable_per_time_r_next <= resize(( param_per_time_rate * derivedvariable_none_x / ( to_sfixed ( 1 ,1 , -1 ) - exp_r_exponential_result1 ) ),18,-2); end if; if To_slv ( resize ( derivedvariable_none_x - ( to_sfixed ( 0 ,0 , -1 ) ) ,2,-18)) = (20 downto 0 => '0') then derivedvariable_per_time_r_next <= resize(( param_per_time_rate ),18,-2); end if; end process derived_variable_process_comb; uut_delayDone_derivedvariable_forwardRaten1 : delayDone GENERIC MAP( Steps => 2 ) PORT MAP( clk => clk, init_model => init_model, Start => step_once_go, Done => subprocess_der_ready ); derived_variable_process_syn :process ( clk,init_model ) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then derivedvariable_none_x <= derivedvariable_none_x_next; derivedvariable_per_time_r <= derivedvariable_per_time_r_next; derivedvariable_per_time_r <= derivedvariable_per_time_r_next; end if; end if; end process derived_variable_process_syn; --------------------------------------------------------------------- dynamics_pre_process_comb :process ( sysparam_time_timestep ) begin end process dynamics_pre_process_comb; dynamics_pre_process_syn :process ( clk, init_model ) begin subprocess_dyn_int_pre_ready <= '1'; end process dynamics_pre_process_syn; --No dynamics with complex equations found subprocess_dyn_int_ready <= '1'; state_variable_process_dynamics_comb :process (sysparam_time_timestep) begin subprocess_dyn_ready <= '1'; end process state_variable_process_dynamics_comb; state_variable_process_dynamics_syn :process (CLK,init_model) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then end if; end if; end process state_variable_process_dynamics_syn; ------------------------------------------------------------------------------------------------------ -- EDState Variable Drivers ------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------ ------------------------------------------------------------------------------------------------------ --------------------------------------------------------------------- -- Assign state variables to exposures --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign state variables to output state variables --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign derived variables to exposures --------------------------------------------------------------------- exposure_per_time_r <= derivedvariable_per_time_r_in;derivedvariable_per_time_r_out <= derivedvariable_per_time_r; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Subprocess ready process --------------------------------------------------------------------- subprocess_all_ready_process: process(step_once_go,subprocess_der_int_ready,subprocess_der_int_pre_ready,subprocess_der_ready,subprocess_dyn_int_pre_ready,subprocess_dyn_int_ready,subprocess_dyn_ready,subprocess_model_ready) begin if step_once_go = '0' and subprocess_der_int_ready = '1' and subprocess_der_int_pre_ready = '1'and subprocess_der_ready ='1' and subprocess_dyn_int_ready = '1' and subprocess_dyn_int_pre_ready = '1' and subprocess_dyn_ready = '1' and subprocess_model_ready = '1' then subprocess_all_ready <= '1'; else subprocess_all_ready <= '0'; end if; end process subprocess_all_ready_process; subprocess_all_ready_shot_process : process(clk) begin if rising_edge(clk) then if (init_model='1') then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '1'; else if subprocess_all_ready = '1' and subprocess_all_ready_shotdone = '0' then subprocess_all_ready_shot <= '1'; subprocess_all_ready_shotdone <= '1'; elsif subprocess_all_ready_shot = '1' then subprocess_all_ready_shot <= '0'; elsif subprocess_all_ready = '0' then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '0'; end if; end if; end if; end process subprocess_all_ready_shot_process; --------------------------------------------------------------------- count_proc:process(clk) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then COUNT <= "001"; component_done_int <= '1'; else if step_once_go = '1' then COUNT <= "000"; component_done_int <= '0'; elsif COUNT = "001" then component_done_int <= '1'; elsif subprocess_all_ready_shot = '1' then COUNT <= COUNT + 1; component_done_int <= '0'; end if; end if; end if; end process count_proc; component_done <= component_done_int; end RTL;
package pkg_c is type byte_vector_access_t is access string; type extbuf_access_t is access string(1 to integer'high); impure function get_addr( id : integer ) return extbuf_access_t; attribute foreign of get_addr : function is "VHPIDIRECT get_addr"; impure function get_baddr( id : integer ) return byte_vector_access_t; attribute foreign of get_baddr : function is "VHPIDIRECT get_baddr"; procedure set( index : natural; value : natural ); impure function get( index : natural ) return natural; end pkg_c; package body pkg_c is impure function get_addr( id : integer ) return extbuf_access_t is begin assert false report "VHPI get_addr" severity failure; end; impure function get_baddr( id : integer ) return byte_vector_access_t is begin assert false report "VHPI get_baddr" severity failure; end; procedure set( index : natural; value : natural ) is variable a : extbuf_access_t := get_addr(0); variable b : byte_vector_access_t := get_baddr(0); variable c : byte_vector_access_t(1 to integer'high) := get_baddr(0); begin a(index+1) := character'val(value); --b(index+1) := character'val(value); c(index+1) := character'val(value); end; impure function get( index : natural ) return natural is variable a : extbuf_access_t := get_addr(0); variable b : byte_vector_access_t := get_baddr(0); variable c : byte_vector_access_t(1 to integer'high) := get_baddr(0); begin return character'pos(a(index+1)); --return character'pos(b(index+1)); return character'pos(c(index+1)); end; end pkg_c;
-- lcdctrl.vhd : High-level LCD controller with BUSY -- Copyright (C) 2011/2012 Brno University of Technology, -- Faculty of Information Technology -- Author(s): Zdenek Vasicek <xvasic11 AT stud.fit.vutbr.cz> -- -- LICENSE TERMS -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in -- the documentation and/or other materials provided with the -- distribution. -- 3. All advertising materials mentioning features or use of this software -- or firmware must display the following acknowledgement: -- -- This product includes software developed by the University of -- Technology, Faculty of Information Technology, Brno and its -- contributors. -- -- 4. Neither the name of the Company nor the names of its contributors -- may be used to endorse or promote products derived from this -- software without specific prior written permission. -- -- This software or firmware is provided ``as is'', and any express or implied -- warranties, including, but not limited to, the implied warranties of -- merchantability and fitness for a particular purpose are disclaimed. -- In no event shall the company or contributors be liable for any -- direct, indirect, incidental, special, exemplary, or consequential -- damages (including, but not limited to, procurement of substitute -- goods or services; loss of use, data, or profits; or business -- interruption) however caused and on any theory of liability, whether -- in contract, strict liability, or tort (including negligence or -- otherwise) arising in any way out of the use of this software, even -- if advised of the possibility of such damage. -- -- $Id$ -- -- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity lcd_controller is generic ( CMDLEN : integer := 10*10000; -- doba trvani prikazu (1 ms @ 20MHz ~ 10000) LCD2x16 : boolean := False -- radic pro 2x16 nebo 1x16 LCD displej ); port ( RST : in std_logic; CLK : in std_logic; -- interni rozhrani DATA_IN : in std_logic_vector (7 downto 0); WRITE_EN : in std_logic; BUSY : out std_logic; --- rozhrani LCD displeje DISPLAY_RS : out std_logic; DISPLAY_DATA : inout std_logic_vector(7 downto 0); DISPLAY_RW : out std_logic; DISPLAY_EN : out std_logic := '1' ); end lcd_controller; architecture behavioral of lcd_controller is type FSMState is (init, init0, init1, init2, init3, idle, w0, w1, w2, w3, wa0, wa1, wa2, wa3); function lcdaddrbits(islcd2x16: boolean) return integer is begin -- pragma translate off -- pro simulaci vzdy 1 radkovy displej return 4; -- pragma translate on if (islcd2x16) then return 5; end if; return 4; end function; function lcdhas2rows(islcd2x16: boolean) return boolean is begin -- pragma translate off -- pro simulaci vzdy 1 radkovy displej return false; -- pragma translate on return (islcd2x16); end function; signal pstate : FSMState := init; -- FSM present state signal nstate : FSMState; -- FSM next state signal addr_reg : std_logic_vector(lcdaddrbits(LCD2x16)-1 downto 0) := (others => '0'); signal addr_inc : std_logic; signal data_reg : std_logic_vector(7 downto 0); signal init_data : std_logic_vector(8 downto 0); signal datareg_en : std_logic; signal data_sel : std_logic_vector(1 downto 0); signal t_cnt : integer range 0 to CMDLEN-1 := 0; signal t_lst : std_logic := '0'; signal t_en : std_logic; constant INIT_ITEMS : integer := 5 + 8*2; signal init_cnt : integer range 0 to INIT_ITEMS-1; signal init_inc : std_logic; signal init_lst : std_logic; signal addr_data : std_logic_vector(7 downto 0); begin DISPLAY_RS <= '1' when (data_sel="11") else -- write char init_data(8); init_data <= "000111000" when (init_cnt=0) else -- Set Function "000000001" when (init_cnt=1) else -- Clear Display "000000010" when (init_cnt=2) else -- Cursor Home "000001100" when (init_cnt=3) else -- Display on/off Control "000000110" when (init_cnt=4) else -- Entry Mode Set "001010000" when (init_cnt=5) else -- Own character #0x02, #0x0A "100000001" when (init_cnt=6) else "001010001" when (init_cnt=7) else "100000001" when (init_cnt=8) else "001010010" when (init_cnt=9) else "100000001" when (init_cnt=10) else "001010011" when (init_cnt=11) else "100000101" when (init_cnt=12) else "001010100" when (init_cnt=13) else "100001001" when (init_cnt=14) else "001010101" when (init_cnt=15) else "100011111" when (init_cnt=16) else "001010110" when (init_cnt=17) else "100001000" when (init_cnt=18) else "001010111" when (init_cnt=19) else "100000100" when (init_cnt=20) else "000000000"; DISPLAY_DATA <= init_data(7 downto 0) when (data_sel="01") else addr_data when (data_sel="10") else -- set addr data_reg when (data_sel="11") else -- write char (others => 'Z'); addr_data <= "1" & addr_reg(4) & "00" & addr_reg(3 downto 0) when lcdhas2rows(LCD2x16) else -- LCD 2x16 (FITkit 2.x) "1" & addr_reg(3) & "000" & addr_reg(2 downto 0); -- LCD 1x16 (FITkit 1.x) process (RST, CLK) begin if (RST = '1') then t_cnt <= 0; elsif (CLK'event) and (CLK = '1') then if (t_en = '1') then t_lst <= '0'; if (t_cnt /= (CMDLEN-1)) then t_cnt <= t_cnt + 1; else t_cnt <= 0; t_lst <= '1'; end if; end if; end if; end process; -- data register process(CLK, RST) begin if (RST = '1') then data_reg <= (others => '0'); addr_reg <= (others => '0'); init_cnt <= 0; elsif (CLK='1') and (CLK'event) then if (WRITE_EN='1') and (datareg_en='1') then data_reg <= DATA_IN; end if; if (addr_inc = '1') then addr_reg <= addr_reg + 1; end if; if (init_inc = '1') then init_cnt <= init_cnt + 1; end if; end if; end process; init_lst <= '1' when init_cnt = (INIT_ITEMS - 1) else '0'; -- FSM present state process(CLK, RST) begin if (RST = '1') then pstate <= init; elsif (CLK='1') and (CLK'event) then pstate <= nstate; end if; end process; -- FSM next state logic, output logic process(pstate, WRITE_EN, t_lst, init_lst) begin nstate <= init; DISPLAY_RW <= '0'; DISPLAY_EN <= '0'; data_sel <= "00"; datareg_en <= '0'; BUSY <= '1'; t_en <= '1'; addr_inc <= '0'; init_inc <= '0'; case pstate is when init => nstate <= init0; -- Display Init when init0 => nstate <= init0; if (t_lst = '1') then nstate <= init1; end if; data_sel <= "01"; when init1 => nstate <= init1; if (t_lst = '1') then nstate <= init2; end if; data_sel <= "01"; DISPLAY_EN <= '1'; when init2 => nstate <= init2; if (t_lst = '1') then nstate <= init3; end if; data_sel <= "01"; when init3 => nstate <= init3; if (t_lst = '1') then init_inc <= '1'; if (init_lst = '1') then nstate <= idle; else nstate <= init0; end if; end if; data_sel <= "01"; -- Idle when idle => BUSY <= '0'; t_en <= '0'; datareg_en <= '1'; nstate <= idle; if (WRITE_EN = '1') then nstate <= wa0; end if; -- Write address when wa0 => nstate <= wa0; if (t_lst = '1') then nstate <= wa1; end if; data_sel <= "10"; when wa1 => nstate <= wa1; if (t_lst = '1') then nstate <= wa2; end if; data_sel <= "10"; DISPLAY_EN <= '1'; when wa2 => nstate <= wa2; if (t_lst = '1') then nstate <= wa3; end if; data_sel <= "10"; when wa3 => nstate <= wa3; if (t_lst = '1') then nstate <= w0; addr_inc <= '1'; end if; data_sel <= "10"; -- Write character when w0 => nstate <= w0; if (t_lst = '1') then nstate <= w1; end if; data_sel <= "11"; when w1 => nstate <= w1; if (t_lst = '1') then nstate <= w2; end if; data_sel <= "11"; DISPLAY_EN <= '1'; when w2 => nstate <= w2; if (t_lst = '1') then nstate <= w3; end if; data_sel <= "11"; when w3 => nstate <= w3; if (t_lst = '1') then nstate <= idle; end if; data_sel <= "11"; end case; end process; end behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity gr is port(clk, S_GRlat : in std_logic; S_ctl_a, S_ctl_b, S_ctl_c : in std_logic_vector(3 downto 0); S_BUS_C : in std_logic_vector(15 downto 0); S_BUS_A, S_BUS_B : out std_logic_vector(15 downto 0); GR0_View, GR1_View, GR2_View, GR3_View, GR4_View, GR5_View, GR6_View, GR7_View, GR8_View, GR9_View, GR10_View, GR11_View, GR12_View, GR13_View, GR14_View, GR15_View : out std_logic_vector(15 downto 0)); end gr; architecture BEHAVIOR of gr is signal S_GR0_F, S_GR1_F, S_GR2_F, S_GR3_F, S_GR4_F, S_GR5_F, S_GR6_F, S_GR7_F, S_GR8_F, S_GR9_F, S_GR10_F, S_GR11_F, S_GR12_F, S_GR13_F, S_GR14_F, S_GR15_F : std_logic_vector(15 downto 0); begin GR0_View <= S_GR0_F; GR1_View <= S_GR1_F; GR2_View <= S_GR2_F; GR3_View <= S_GR3_F; GR4_View <= S_GR4_F; GR5_View <= S_GR5_F; GR6_View <= S_GR6_F; GR7_View <= S_GR7_F; GR8_View <= S_GR8_F; GR9_View <= S_GR9_F; GR10_View <= S_GR10_F; GR11_View <= S_GR11_F; GR12_View <= S_GR12_F; GR13_View <= S_GR13_F; GR14_View <= S_GR14_F; GR15_View <= S_GR15_F; process(clk) begin if clk'event and (clk = '1') and (S_GRlat = '1') then case S_ctl_c is when "0000" => S_GR0_F <= S_BUS_C; when "0001" => S_GR1_F <= S_BUS_C; when "0010" => S_GR2_F <= S_BUS_C; when "0011" => S_GR3_F <= S_BUS_C; when "0100" => S_GR4_F <= S_BUS_C; when "0101" => S_GR5_F <= S_BUS_C; when "0110" => S_GR6_F <= S_BUS_C; when "0111" => S_GR7_F <= S_BUS_C; when "1000" => S_GR8_F <= S_BUS_C; when "1001" => S_GR9_F <= S_BUS_C; when "1010" => S_GR10_F <= S_BUS_C; when "1011" => S_GR11_F <= S_BUS_C; when "1100" => S_GR12_F <= S_BUS_C; when "1101" => S_GR13_F <= S_BUS_C; when "1110" => S_GR14_F <= S_BUS_C; when "1111" => S_GR15_F <= S_BUS_C; when others => null; end case; end if; end process; process(S_GR0_F, S_GR1_F, S_GR2_F, S_GR3_F, S_GR4_F, S_GR5_F, S_GR6_F, S_GR7_F, S_GR8_F, S_GR9_F, S_GR10_F, S_GR11_F, S_GR12_F, S_GR13_F, S_GR14_F, S_GR15_F, S_ctl_a, S_ctl_b) begin case S_ctl_a is when "0000" => S_BUS_A <= S_GR0_F; when "0001" => S_BUS_A <= S_GR1_F; when "0010" => S_BUS_A <= S_GR2_F; when "0011" => S_BUS_A <= S_GR3_F; when "0100" => S_BUS_A <= S_GR4_F; when "0101" => S_BUS_A <= S_GR5_F; when "0110" => S_BUS_A <= S_GR6_F; when "0111" => S_BUS_A <= S_GR7_F; when "1000" => S_BUS_A <= S_GR8_F; when "1001" => S_BUS_A <= S_GR9_F; when "1010" => S_BUS_A <= S_GR10_F; when "1011" => S_BUS_A <= S_GR11_F; when "1100" => S_BUS_A <= S_GR12_F; when "1101" => S_BUS_A <= S_GR13_F; when "1110" => S_BUS_A <= S_GR14_F; when "1111" => S_BUS_A <= S_GR15_F; when others => null; end case; case S_ctl_b is when "0000" => S_BUS_B <= S_GR0_F; when "0001" => S_BUS_B <= S_GR1_F; when "0010" => S_BUS_B <= S_GR2_F; when "0011" => S_BUS_B <= S_GR3_F; when "0100" => S_BUS_B <= S_GR4_F; when "0101" => S_BUS_B <= S_GR5_F; when "0110" => S_BUS_B <= S_GR6_F; when "0111" => S_BUS_B <= S_GR7_F; when "1000" => S_BUS_B <= S_GR8_F; when "1001" => S_BUS_B <= S_GR9_F; when "1010" => S_BUS_B <= S_GR10_F; when "1011" => S_BUS_B <= S_GR11_F; when "1100" => S_BUS_B <= S_GR12_F; when "1101" => S_BUS_B <= S_GR13_F; when "1110" => S_BUS_B <= S_GR14_F; when "1111" => S_BUS_B <= S_GR15_F; when others => null; end case; end process; end BEHAVIOR;
------------------------------------------------------------------------------- -- Title : Memory that is simulated with predefined values -- Project : Source files in two directories, custom library name, VHDL'87 ------------------------------------------------------------------------------- -- File : Simulated_Memory.vhd -- Author : Robert Jarzmik <[email protected]> -- : Simon Desfarges <[email protected]> -- Company : -- Created : 2016-11-20 -- Last update: 2017-01-01 -- Platform : -- Standard : VHDL'08 ------------------------------------------------------------------------------- -- Description: Simulates a constant latency memory. -- The memory content is loaded from a file, with init_ram(), and -- that part requires VHDL 2008 compliance. -- If the rom is hard encoded in this file, the file should be -- VHDL'93 compliant. -- -- It is assumed that a "memory_data.txt" file is available, and -- that is contains lines of data as would have been generated by -- hexdump -e '"%08x\n"' bin_opcodes.raw > memory_data.txt ------------------------------------------------------------------------------- -- Copyright (c) 2016 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-11-20 1.0 rj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; ------------------------------------------------------------------------------- entity Simulated_Memory is generic ( ADDR_WIDTH : integer := 32; DATA_WIDTH : integer := 32; MEMORY_LATENCY : positive := 1; MEMORY_ADDR_WIDTH : natural := 7; MEMORY_FILE : string := "memory_data.txt"; DEBUG : boolean := false ); port ( clk : in std_logic; rst : in std_logic; i_memory_req : in std_logic; i_memory_we : in std_logic; i_memory_addr : in std_logic_vector(ADDR_WIDTH - 1 downto 0); i_memory_write_data : in std_logic_vector(DATA_WIDTH - 1 downto 0); o_memory_read_data : out std_logic_vector(DATA_WIDTH - 1 downto 0); o_memory_valid : out std_logic ); end entity Simulated_Memory; ------------------------------------------------------------------------------- architecture rtl of Simulated_Memory is ----------------------------------------------------------------------------- -- Internal signal declarations ----------------------------------------------------------------------------- subtype addr_t is std_logic_vector(ADDR_WIDTH - 1 downto 0); subtype data_t is std_logic_vector(DATA_WIDTH - 1 downto 0); type memory is array(0 to 2**(MEMORY_ADDR_WIDTH - DATA_WIDTH / 8) - 1) of data_t; --constant rom : memory := ( --x"24040011", -- 0: 24040011 li a0,17 --x"2c820002", -- 4: 2c820002 sltiu v0,a0,2 --x"1440000b", -- 8: 1440000b bnez v0,38 <fibo_flat+0x38> --x"24030001", -- c: 24030001 li v1,1 --x"00003021", -- 10: 00003021 move a2,zero --x"08000008", -- 14: 08000008 j 20 <fibo_flat+0x20> --x"24050001", -- 18: 24050001 li a1,1 --x"00402821", -- 1c: 00402821 move a1,v0 --x"24630001", -- 20: 24630001 addiu v1,v1,1 --x"00c51021", -- 24: 00c51021 addu v0,a2,a1 --x"1483fffc", -- 28: 1483fffc bne a0,v1,1c <fibo_flat+0x1c> --x"00a03021", -- 2c: 00a03021 move a2,a1 --x"03e00008", -- 30: 03e00008 jr ra --x"00200825", -- 34: 00200825 move at,at --x"03e00008", -- 38: 03e00008 jr ra --x"00801021", -- 3c: 00801021 move v0,a0 --others => (others => '0') --); --function init_ram_data_offsets_addr(ofs : natural) return memory is -- variable o : memory; -- variable d : natural; --begin -- for i in o'range loop -- d := (i * DATA_WIDTH / 8 + ofs); -- mod 2**memory(0)'length; -- o(i) := std_logic_vector(to_unsigned(d, DATA_WIDTH)); -- end loop; -- return o; --end function init_ram_data_offsets_addr; --signal ram : memory := init_ram_data_offsets_addr(16#0100#); impure function init_ram(FileName : string) return memory is variable tmp : memory := (others => (others => '0')); file FileHandle : text open read_mode is FileName; variable CurrentLine : line; variable TempWord : bit_vector(DATA_WIDTH - 1 downto 0); variable good : boolean; begin for addr_pos in 0 to 2**(MEMORY_ADDR_WIDTH - DATA_WIDTH / 8) - 1 loop exit when endfile(FileHandle); good := false; while not good and not endfile(FileHandle) loop readline(FileHandle, CurrentLine); hread(CurrentLine, TempWord, good); end loop; tmp(addr_pos) := To_StdLogicVector(TempWord); end loop; return tmp; end init_ram; signal ram : memory := init_ram(MEMORY_FILE); type state_t is (idle, read_done, write_done, latency_wait); function get_done_state(req : std_logic; we : std_logic) return state_t is begin if req = '1' then if we = '0' then return read_done; else return write_done; end if; else return idle; end if; end function get_done_state; function read_ram(addr : addr_t; signal mem : in memory) return data_t is begin return mem((to_integer(unsigned(addr)) / (DATA_WIDTH / 8))); end function read_ram; procedure write_ram(addr : addr_t; wdata : data_t; signal mem : out memory) is begin mem((to_integer(unsigned(addr)) / (DATA_WIDTH / 8))) <= wdata; end procedure write_ram; procedure do_memory_op(addr : addr_t; we : std_logic; rdata : out data_t; wdata : in data_t; signal mem : inout memory) is begin if we = '0' then rdata := read_ram(addr, mem); -- pragma translate_off if DEBUG then report "Simulated_Memory: read[0x" & to_hstring(addr) & "] => 0x" & to_hstring(rdata); end if; -- pragma translate_on else write_ram(addr, wdata, mem); -- pragma translate_off if DEBUG then report "Simulated_Memory: write [0x" & to_hstring(addr) & "] <= 0x" & to_hstring(wdata); end if; -- pragma translate_on end if; end procedure do_memory_op; begin -- architecture rtl handler : process(rst, clk, i_memory_req, i_memory_we, i_memory_addr) variable mreq : std_logic; variable mwe : std_logic; variable maddr : addr_t; variable rdata : data_t; variable wdata : data_t; variable valid : std_logic; variable state : state_t := idle; variable waits : natural; begin if rst = '1' then valid := '0'; rdata := (others => 'X'); else case state is when idle => if MEMORY_LATENCY = 0 and i_memory_req = '1' then valid := '1'; do_memory_op(i_memory_addr, i_memory_we, rdata, wdata, ram); elsif MEMORY_LATENCY = 1 and i_memory_req = '1' then if rising_edge(clk) then do_memory_op(i_memory_addr, i_memory_we, rdata, wdata, ram); valid := '1'; state := get_done_state(i_memory_req, i_memory_we); end if; elsif MEMORY_LATENCY > 1 and i_memory_req = '1' then if rising_edge(clk) then state := latency_wait; maddr := i_memory_addr; mwe := i_memory_we; wdata := i_memory_write_data; waits := MEMORY_LATENCY - 1; valid := '0'; rdata := (others => 'X'); end if; end if; when read_done | write_done => if MEMORY_LATENCY = 1 and i_memory_req = '1' then if rising_edge(clk) then do_memory_op(i_memory_addr, i_memory_we, rdata, wdata, ram); valid := '1'; state := get_done_state(i_memory_req, i_memory_we); end if; elsif MEMORY_LATENCY = 1 and i_memory_req = '0' then if rising_edge(clk) then state := idle; end if; elsif MEMORY_LATENCY > 1 and i_memory_req = '1' then if rising_edge(clk) then state := latency_wait; maddr := i_memory_addr; mwe := i_memory_we; wdata := i_memory_write_data; waits := MEMORY_LATENCY - 1; valid := '0'; rdata := (others => 'X'); end if; elsif MEMORY_LATENCY > 1 and i_memory_req = '0' then if rising_edge(clk) then state := idle; end if; end if; when latency_wait => valid := '0'; rdata := (others => 'X'); if rising_edge(clk) then waits := waits - 1; if waits = 0 then state := get_done_state('1', mwe); valid := '1'; do_memory_op(maddr, mwe, rdata, wdata, ram); end if; end if; end case; end if; o_memory_read_data <= rdata; o_memory_valid <= valid; end process handler; end architecture rtl; -------------------------------------------------------------------------------
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_reset.vhd -- Description: This entity encompasses the reset logic (soft and hard) for -- distribution to the axi_vdma core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0_2; library axi_dma_v7_1_9; use axi_dma_v7_1_9.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_reset is generic( C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_AXI_PRMRY_ACLK_FREQ_HZ : integer := 100000000; -- Primary clock frequency in hertz C_AXI_SCNDRY_ACLK_FREQ_HZ : integer := 100000000 -- Secondary clock frequency in hertz ); port ( -- Clock Sources m_axi_sg_aclk : in std_logic ; -- axi_prmry_aclk : in std_logic ; -- -- -- Hard Reset -- axi_resetn : in std_logic ; -- -- -- Soft Reset -- soft_reset : in std_logic ; -- soft_reset_clr : out std_logic := '0' ; -- soft_reset_done : in std_logic ; -- -- -- all_idle : in std_logic ; -- stop : in std_logic ; -- halt : out std_logic := '0' ; -- halt_cmplt : in std_logic ; -- -- -- Secondary Reset -- scndry_resetn : out std_logic := '1' ; -- -- AXI Upsizer and Line Buffer -- prmry_resetn : out std_logic := '0' ; -- -- AXI DataMover Primary Reset (Raw) -- dm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Secondary Reset (Raw) -- dm_scndry_resetn : out std_logic := '1' ; -- -- AXI Primary Stream Reset Outputs -- prmry_reset_out_n : out std_logic := '1' ; -- -- AXI Alternat Stream Reset Outputs -- altrnt_reset_out_n : out std_logic := '1' -- ); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of scndry_resetn : signal is "TRUE"; Attribute KEEP of prmry_resetn : signal is "TRUE"; Attribute KEEP of dm_scndry_resetn : signal is "TRUE"; Attribute KEEP of dm_prmry_resetn : signal is "TRUE"; Attribute KEEP of prmry_reset_out_n : signal is "TRUE"; Attribute KEEP of altrnt_reset_out_n : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_reset_out_n : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of altrnt_reset_out_n: signal is "no"; end axi_dma_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Soft Reset Support signal s_soft_reset_i : std_logic := '0'; signal s_soft_reset_i_d1 : std_logic := '0'; signal s_soft_reset_i_re : std_logic := '0'; signal assert_sftrst_d1 : std_logic := '0'; signal min_assert_sftrst : std_logic := '0'; signal min_assert_sftrst_d1_cdc_tig : std_logic := '0'; --ATTRIBUTE async_reg OF min_assert_sftrst_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF min_assert_sftrst : SIGNAL IS "true"; signal p_min_assert_sftrst : std_logic := '0'; signal sft_rst_dly1 : std_logic := '0'; signal sft_rst_dly2 : std_logic := '0'; signal sft_rst_dly3 : std_logic := '0'; signal sft_rst_dly4 : std_logic := '0'; signal sft_rst_dly5 : std_logic := '0'; signal sft_rst_dly6 : std_logic := '0'; signal sft_rst_dly7 : std_logic := '0'; signal sft_rst_dly8 : std_logic := '0'; signal sft_rst_dly9 : std_logic := '0'; signal sft_rst_dly10 : std_logic := '0'; signal sft_rst_dly11 : std_logic := '0'; signal sft_rst_dly12 : std_logic := '0'; signal sft_rst_dly13 : std_logic := '0'; signal sft_rst_dly14 : std_logic := '0'; signal sft_rst_dly15 : std_logic := '0'; signal sft_rst_dly16 : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; -- Soft Reset to Primary clock domain signals signal p_soft_reset : std_logic := '0'; signal p_soft_reset_d1_cdc_tig : std_logic := '0'; signal p_soft_reset_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF p_soft_reset_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_soft_reset_d2 : SIGNAL IS "true"; signal p_soft_reset_d3 : std_logic := '0'; signal p_soft_reset_re : std_logic := '0'; -- Qualified soft reset in primary clock domain for -- generating mimimum reset pulse for soft reset signal p_soft_reset_i : std_logic := '0'; signal p_soft_reset_i_d1 : std_logic := '0'; signal p_soft_reset_i_re : std_logic := '0'; -- Graceful halt control signal halt_cmplt_d1_cdc_tig : std_logic := '0'; signal s_halt_cmplt : std_logic := '0'; --ATTRIBUTE async_reg OF halt_cmplt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_halt_cmplt : SIGNAL IS "true"; signal p_halt_d1_cdc_tig : std_logic := '0'; signal p_halt : std_logic := '0'; --ATTRIBUTE async_reg OF p_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_halt : SIGNAL IS "true"; signal s_halt : std_logic := '0'; -- composite reset (hard and soft) signal resetn_i : std_logic := '1'; signal scndry_resetn_i : std_logic := '1'; signal axi_resetn_d1_cdc_tig : std_logic := '1'; signal axi_resetn_d2 : std_logic := '1'; --ATTRIBUTE async_reg OF axi_resetn_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF axi_resetn_d2 : SIGNAL IS "true"; signal halt_i : std_logic := '0'; signal p_all_idle : std_logic := '1'; signal p_all_idle_d1_cdc_tig : std_logic := '1'; signal halt_cmplt_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Internal Hard Reset -- Generate reset on hardware reset or soft reset ------------------------------------------------------------------------------- resetn_i <= '0' when s_soft_reset_i = '1' or min_assert_sftrst = '1' or axi_resetn = '0' else '1'; ------------------------------------------------------------------------------- -- Minimum Reset Logic for Soft Reset ------------------------------------------------------------------------------- -- Register to generate rising edge on soft reset and falling edge -- on reset assertion. REG_SFTRST_FOR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_soft_reset_i_d1 <= s_soft_reset_i; assert_sftrst_d1 <= min_assert_sftrst; -- Register soft reset from DMACR to create -- rising edge pulse soft_reset_d1 <= soft_reset; end if; end process REG_SFTRST_FOR_RE; -- rising edge pulse on internal soft reset s_soft_reset_i_re <= s_soft_reset_i and not s_soft_reset_i_d1; -- CR605883 -- rising edge pulse on DMACR soft reset REG_SOFT_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then soft_reset_re <= soft_reset and not soft_reset_d1; end if; end process REG_SOFT_RE; -- falling edge detection on min soft rst to clear soft reset -- bit in register module soft_reset_clr <= (not min_assert_sftrst and assert_sftrst_d1) or (not axi_resetn); ------------------------------------------------------------------------------- -- Generate Reset for synchronous configuration ------------------------------------------------------------------------------- GNE_SYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly7 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle -- On soft reset or error -- mm2s dma controller will idle immediatly -- sg fetch engine will complete current task and idle (desc's will flush) -- sg update engine will update all completed descriptors then idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(resetn_i = '0')then halt_i <= '0'; elsif(soft_reset_re = '1' or stop = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- AXI Stream reset output REG_STRM_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_STRM_RESET_OUT; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream reset output REG_ALT_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then altrnt_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_ALT_RESET_OUT; end generate GEN_ALT_RESET_OUT; -- If in Simple mode or status control stream excluded GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Registered primary and secondary resets out REG_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_resetn <= resetn_i; scndry_resetn <= resetn_i; end if; end process REG_RESET_OUT; -- AXI DataMover Primary Reset (Raw) dm_prmry_resetn <= resetn_i; -- AXI DataMover Secondary Reset (Raw) dm_scndry_resetn <= resetn_i; end generate GNE_SYNC_RESET; ------------------------------------------------------------------------------- -- Generate Reset for asynchronous configuration ------------------------------------------------------------------------------- GEN_ASYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Primary clock is slower or equal to secondary therefore... -- For Halt - can simply pass secondary clock version of soft reset -- rising edge into p_halt assertion -- For Min Rst Assertion - can simply use secondary logic version of min pulse genator GEN_PRMRY_GRTR_EQL_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ >= C_AXI_SCNDRY_ACLK_FREQ_HZ generate begin -- CR605883 - Register to provide pure register output for synchronizer REG_HALT_CONDITIONS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_halt <= soft_reset_re or stop; end if; end process REG_HALT_CONDITIONS; -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s_halt, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --p_halt_d1_cdc_tig <= soft_reset_re or stop; -- CR605883 -- p_halt_d1_cdc_tig <= s_halt; -- CR605883 -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. -- Adding 5 more flops to make up for 5 stages of Sync flops MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; end generate GEN_PRMRY_GRTR_EQL_SCNDRY; -- Primary clock is running slower than secondary therefore need to use a primary clock -- based rising edge version of soft_reset for primary halt assertion GEN_PRMRY_LESS_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ < C_AXI_SCNDRY_ACLK_FREQ_HZ generate signal soft_halt_int : std_logic := '0'; begin -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running soft_halt_int <= p_soft_reset_re or stop; HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_halt_int, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_halt_d1_cdc_tig <= p_soft_reset_re or stop; -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; REG_IDLE2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => all_idle, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_all_idle, scndry_vect_out => open ); -- REG_IDLE2PRMRY : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_all_idle_d1_cdc_tig <= all_idle; -- p_all_idle <= p_all_idle_d1_cdc_tig; -- end if; -- end process REG_IDLE2PRMRY; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(p_all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 primary clocks. MIN_RESET_ASSERTION : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then p_min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then p_min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; -- register minimum reset pulse back to secondary domain REG_MINRST2SCNDRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_min_assert_sftrst, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => min_assert_sftrst, scndry_vect_out => open ); -- REG_MINRST2SCNDRY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- min_assert_sftrst_d1_cdc_tig <= p_min_assert_sftrst; -- min_assert_sftrst <= min_assert_sftrst_d1_cdc_tig; -- end if; -- end process REG_MINRST2SCNDRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate reset on hardware reset or soft reset if system is idle REG_P_SOFT_RESET : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_soft_reset = '1' and p_all_idle = '1' and halt_cmplt = '1')then p_soft_reset_i <= '1'; else p_soft_reset_i <= '0'; end if; end if; end process REG_P_SOFT_RESET; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Register qualified soft reset flag for generating rising edge -- pulse for starting minimum reset pulse REG_SOFT2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then p_soft_reset_i_d1 <= p_soft_reset_i; end if; end process REG_SOFT2PRMRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate rising edge pulse on qualified soft reset for min pulse -- logic. p_soft_reset_i_re <= p_soft_reset_i and not p_soft_reset_i_d1; end generate GEN_PRMRY_LESS_SCNDRY; -- Double register halt complete flag from primary to secondary -- clock domain. -- Note: halt complete stays asserted until halt clears therefore -- only need to double register from fast to slow clock domain. process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then halt_cmplt_reg <= halt_cmplt; end if; end process; REG_HALT_CMPLT_IN : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => halt_cmplt_reg, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_halt_cmplt, scndry_vect_out => open ); -- REG_HALT_CMPLT_IN : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- halt_cmplt_d1_cdc_tig <= halt_cmplt; -- s_halt_cmplt <= halt_cmplt_d1_cdc_tig; -- end if; -- end process REG_HALT_CMPLT_IN; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and s_halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Register soft reset flag into primary domain to correcly -- halt data mover REG_SOFT2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_reset, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_soft_reset_d2, scndry_vect_out => open ); REG_SOFT2PRMRY1 : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_soft_reset_d1_cdc_tig <= soft_reset; -- p_soft_reset_d2 <= p_soft_reset_d1_cdc_tig; p_soft_reset_d3 <= p_soft_reset_d2; end if; end process REG_SOFT2PRMRY1; -- Generate rising edge pulse for use with p_halt creation p_soft_reset_re <= p_soft_reset_d2 and not p_soft_reset_d3; -- used to mask halt reset below p_soft_reset <= p_soft_reset_d2; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(axi_resetn_d2 = '0')then halt_i <= '0'; elsif(p_halt = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- CR605883 (CDC) Create pure register out for synchronizer REG_CMB_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn_i <= resetn_i; end if; end process REG_CMB_RESET; -- Sync to mm2s primary and register resets out REG_RESET_OUT : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => scndry_resetn_i, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => axi_resetn_d2, scndry_vect_out => open ); -- REG_RESET_OUT : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --axi_resetn_d1_cdc_tig <= resetn_i; -- CR605883 -- axi_resetn_d1_cdc_tig <= scndry_resetn_i; -- axi_resetn_d2 <= axi_resetn_d1_cdc_tig; -- end if; -- end process REG_RESET_OUT; -- Register resets out to AXI DMA Logic REG_SRESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn <= resetn_i; end if; end process REG_SRESET_OUT; -- AXI Stream reset output prmry_reset_out_n <= axi_resetn_d2; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream alternate reset output altrnt_reset_out_n <= axi_resetn_d2; end generate GEN_ALT_RESET_OUT; -- If in Simple Mode or status control stream excluded. GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Register primary reset prmry_resetn <= axi_resetn_d2; -- AXI DataMover Primary Reset dm_prmry_resetn <= axi_resetn_d2; -- AXI DataMover Secondary Reset dm_scndry_resetn <= resetn_i; end generate GEN_ASYNC_RESET; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_reset.vhd -- Description: This entity encompasses the reset logic (soft and hard) for -- distribution to the axi_vdma core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0_2; library axi_dma_v7_1_9; use axi_dma_v7_1_9.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_reset is generic( C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_AXI_PRMRY_ACLK_FREQ_HZ : integer := 100000000; -- Primary clock frequency in hertz C_AXI_SCNDRY_ACLK_FREQ_HZ : integer := 100000000 -- Secondary clock frequency in hertz ); port ( -- Clock Sources m_axi_sg_aclk : in std_logic ; -- axi_prmry_aclk : in std_logic ; -- -- -- Hard Reset -- axi_resetn : in std_logic ; -- -- -- Soft Reset -- soft_reset : in std_logic ; -- soft_reset_clr : out std_logic := '0' ; -- soft_reset_done : in std_logic ; -- -- -- all_idle : in std_logic ; -- stop : in std_logic ; -- halt : out std_logic := '0' ; -- halt_cmplt : in std_logic ; -- -- -- Secondary Reset -- scndry_resetn : out std_logic := '1' ; -- -- AXI Upsizer and Line Buffer -- prmry_resetn : out std_logic := '0' ; -- -- AXI DataMover Primary Reset (Raw) -- dm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Secondary Reset (Raw) -- dm_scndry_resetn : out std_logic := '1' ; -- -- AXI Primary Stream Reset Outputs -- prmry_reset_out_n : out std_logic := '1' ; -- -- AXI Alternat Stream Reset Outputs -- altrnt_reset_out_n : out std_logic := '1' -- ); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of scndry_resetn : signal is "TRUE"; Attribute KEEP of prmry_resetn : signal is "TRUE"; Attribute KEEP of dm_scndry_resetn : signal is "TRUE"; Attribute KEEP of dm_prmry_resetn : signal is "TRUE"; Attribute KEEP of prmry_reset_out_n : signal is "TRUE"; Attribute KEEP of altrnt_reset_out_n : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_reset_out_n : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of altrnt_reset_out_n: signal is "no"; end axi_dma_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Soft Reset Support signal s_soft_reset_i : std_logic := '0'; signal s_soft_reset_i_d1 : std_logic := '0'; signal s_soft_reset_i_re : std_logic := '0'; signal assert_sftrst_d1 : std_logic := '0'; signal min_assert_sftrst : std_logic := '0'; signal min_assert_sftrst_d1_cdc_tig : std_logic := '0'; --ATTRIBUTE async_reg OF min_assert_sftrst_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF min_assert_sftrst : SIGNAL IS "true"; signal p_min_assert_sftrst : std_logic := '0'; signal sft_rst_dly1 : std_logic := '0'; signal sft_rst_dly2 : std_logic := '0'; signal sft_rst_dly3 : std_logic := '0'; signal sft_rst_dly4 : std_logic := '0'; signal sft_rst_dly5 : std_logic := '0'; signal sft_rst_dly6 : std_logic := '0'; signal sft_rst_dly7 : std_logic := '0'; signal sft_rst_dly8 : std_logic := '0'; signal sft_rst_dly9 : std_logic := '0'; signal sft_rst_dly10 : std_logic := '0'; signal sft_rst_dly11 : std_logic := '0'; signal sft_rst_dly12 : std_logic := '0'; signal sft_rst_dly13 : std_logic := '0'; signal sft_rst_dly14 : std_logic := '0'; signal sft_rst_dly15 : std_logic := '0'; signal sft_rst_dly16 : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; -- Soft Reset to Primary clock domain signals signal p_soft_reset : std_logic := '0'; signal p_soft_reset_d1_cdc_tig : std_logic := '0'; signal p_soft_reset_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF p_soft_reset_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_soft_reset_d2 : SIGNAL IS "true"; signal p_soft_reset_d3 : std_logic := '0'; signal p_soft_reset_re : std_logic := '0'; -- Qualified soft reset in primary clock domain for -- generating mimimum reset pulse for soft reset signal p_soft_reset_i : std_logic := '0'; signal p_soft_reset_i_d1 : std_logic := '0'; signal p_soft_reset_i_re : std_logic := '0'; -- Graceful halt control signal halt_cmplt_d1_cdc_tig : std_logic := '0'; signal s_halt_cmplt : std_logic := '0'; --ATTRIBUTE async_reg OF halt_cmplt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_halt_cmplt : SIGNAL IS "true"; signal p_halt_d1_cdc_tig : std_logic := '0'; signal p_halt : std_logic := '0'; --ATTRIBUTE async_reg OF p_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_halt : SIGNAL IS "true"; signal s_halt : std_logic := '0'; -- composite reset (hard and soft) signal resetn_i : std_logic := '1'; signal scndry_resetn_i : std_logic := '1'; signal axi_resetn_d1_cdc_tig : std_logic := '1'; signal axi_resetn_d2 : std_logic := '1'; --ATTRIBUTE async_reg OF axi_resetn_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF axi_resetn_d2 : SIGNAL IS "true"; signal halt_i : std_logic := '0'; signal p_all_idle : std_logic := '1'; signal p_all_idle_d1_cdc_tig : std_logic := '1'; signal halt_cmplt_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Internal Hard Reset -- Generate reset on hardware reset or soft reset ------------------------------------------------------------------------------- resetn_i <= '0' when s_soft_reset_i = '1' or min_assert_sftrst = '1' or axi_resetn = '0' else '1'; ------------------------------------------------------------------------------- -- Minimum Reset Logic for Soft Reset ------------------------------------------------------------------------------- -- Register to generate rising edge on soft reset and falling edge -- on reset assertion. REG_SFTRST_FOR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_soft_reset_i_d1 <= s_soft_reset_i; assert_sftrst_d1 <= min_assert_sftrst; -- Register soft reset from DMACR to create -- rising edge pulse soft_reset_d1 <= soft_reset; end if; end process REG_SFTRST_FOR_RE; -- rising edge pulse on internal soft reset s_soft_reset_i_re <= s_soft_reset_i and not s_soft_reset_i_d1; -- CR605883 -- rising edge pulse on DMACR soft reset REG_SOFT_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then soft_reset_re <= soft_reset and not soft_reset_d1; end if; end process REG_SOFT_RE; -- falling edge detection on min soft rst to clear soft reset -- bit in register module soft_reset_clr <= (not min_assert_sftrst and assert_sftrst_d1) or (not axi_resetn); ------------------------------------------------------------------------------- -- Generate Reset for synchronous configuration ------------------------------------------------------------------------------- GNE_SYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly7 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle -- On soft reset or error -- mm2s dma controller will idle immediatly -- sg fetch engine will complete current task and idle (desc's will flush) -- sg update engine will update all completed descriptors then idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(resetn_i = '0')then halt_i <= '0'; elsif(soft_reset_re = '1' or stop = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- AXI Stream reset output REG_STRM_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_STRM_RESET_OUT; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream reset output REG_ALT_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then altrnt_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_ALT_RESET_OUT; end generate GEN_ALT_RESET_OUT; -- If in Simple mode or status control stream excluded GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Registered primary and secondary resets out REG_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_resetn <= resetn_i; scndry_resetn <= resetn_i; end if; end process REG_RESET_OUT; -- AXI DataMover Primary Reset (Raw) dm_prmry_resetn <= resetn_i; -- AXI DataMover Secondary Reset (Raw) dm_scndry_resetn <= resetn_i; end generate GNE_SYNC_RESET; ------------------------------------------------------------------------------- -- Generate Reset for asynchronous configuration ------------------------------------------------------------------------------- GEN_ASYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Primary clock is slower or equal to secondary therefore... -- For Halt - can simply pass secondary clock version of soft reset -- rising edge into p_halt assertion -- For Min Rst Assertion - can simply use secondary logic version of min pulse genator GEN_PRMRY_GRTR_EQL_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ >= C_AXI_SCNDRY_ACLK_FREQ_HZ generate begin -- CR605883 - Register to provide pure register output for synchronizer REG_HALT_CONDITIONS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_halt <= soft_reset_re or stop; end if; end process REG_HALT_CONDITIONS; -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s_halt, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --p_halt_d1_cdc_tig <= soft_reset_re or stop; -- CR605883 -- p_halt_d1_cdc_tig <= s_halt; -- CR605883 -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. -- Adding 5 more flops to make up for 5 stages of Sync flops MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; end generate GEN_PRMRY_GRTR_EQL_SCNDRY; -- Primary clock is running slower than secondary therefore need to use a primary clock -- based rising edge version of soft_reset for primary halt assertion GEN_PRMRY_LESS_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ < C_AXI_SCNDRY_ACLK_FREQ_HZ generate signal soft_halt_int : std_logic := '0'; begin -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running soft_halt_int <= p_soft_reset_re or stop; HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_halt_int, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_halt_d1_cdc_tig <= p_soft_reset_re or stop; -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; REG_IDLE2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => all_idle, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_all_idle, scndry_vect_out => open ); -- REG_IDLE2PRMRY : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_all_idle_d1_cdc_tig <= all_idle; -- p_all_idle <= p_all_idle_d1_cdc_tig; -- end if; -- end process REG_IDLE2PRMRY; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(p_all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 primary clocks. MIN_RESET_ASSERTION : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then p_min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then p_min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; -- register minimum reset pulse back to secondary domain REG_MINRST2SCNDRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_min_assert_sftrst, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => min_assert_sftrst, scndry_vect_out => open ); -- REG_MINRST2SCNDRY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- min_assert_sftrst_d1_cdc_tig <= p_min_assert_sftrst; -- min_assert_sftrst <= min_assert_sftrst_d1_cdc_tig; -- end if; -- end process REG_MINRST2SCNDRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate reset on hardware reset or soft reset if system is idle REG_P_SOFT_RESET : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_soft_reset = '1' and p_all_idle = '1' and halt_cmplt = '1')then p_soft_reset_i <= '1'; else p_soft_reset_i <= '0'; end if; end if; end process REG_P_SOFT_RESET; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Register qualified soft reset flag for generating rising edge -- pulse for starting minimum reset pulse REG_SOFT2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then p_soft_reset_i_d1 <= p_soft_reset_i; end if; end process REG_SOFT2PRMRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate rising edge pulse on qualified soft reset for min pulse -- logic. p_soft_reset_i_re <= p_soft_reset_i and not p_soft_reset_i_d1; end generate GEN_PRMRY_LESS_SCNDRY; -- Double register halt complete flag from primary to secondary -- clock domain. -- Note: halt complete stays asserted until halt clears therefore -- only need to double register from fast to slow clock domain. process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then halt_cmplt_reg <= halt_cmplt; end if; end process; REG_HALT_CMPLT_IN : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => halt_cmplt_reg, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_halt_cmplt, scndry_vect_out => open ); -- REG_HALT_CMPLT_IN : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- halt_cmplt_d1_cdc_tig <= halt_cmplt; -- s_halt_cmplt <= halt_cmplt_d1_cdc_tig; -- end if; -- end process REG_HALT_CMPLT_IN; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and s_halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Register soft reset flag into primary domain to correcly -- halt data mover REG_SOFT2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_reset, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_soft_reset_d2, scndry_vect_out => open ); REG_SOFT2PRMRY1 : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_soft_reset_d1_cdc_tig <= soft_reset; -- p_soft_reset_d2 <= p_soft_reset_d1_cdc_tig; p_soft_reset_d3 <= p_soft_reset_d2; end if; end process REG_SOFT2PRMRY1; -- Generate rising edge pulse for use with p_halt creation p_soft_reset_re <= p_soft_reset_d2 and not p_soft_reset_d3; -- used to mask halt reset below p_soft_reset <= p_soft_reset_d2; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(axi_resetn_d2 = '0')then halt_i <= '0'; elsif(p_halt = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- CR605883 (CDC) Create pure register out for synchronizer REG_CMB_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn_i <= resetn_i; end if; end process REG_CMB_RESET; -- Sync to mm2s primary and register resets out REG_RESET_OUT : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => scndry_resetn_i, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => axi_resetn_d2, scndry_vect_out => open ); -- REG_RESET_OUT : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --axi_resetn_d1_cdc_tig <= resetn_i; -- CR605883 -- axi_resetn_d1_cdc_tig <= scndry_resetn_i; -- axi_resetn_d2 <= axi_resetn_d1_cdc_tig; -- end if; -- end process REG_RESET_OUT; -- Register resets out to AXI DMA Logic REG_SRESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn <= resetn_i; end if; end process REG_SRESET_OUT; -- AXI Stream reset output prmry_reset_out_n <= axi_resetn_d2; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream alternate reset output altrnt_reset_out_n <= axi_resetn_d2; end generate GEN_ALT_RESET_OUT; -- If in Simple Mode or status control stream excluded. GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Register primary reset prmry_resetn <= axi_resetn_d2; -- AXI DataMover Primary Reset dm_prmry_resetn <= axi_resetn_d2; -- AXI DataMover Secondary Reset dm_scndry_resetn <= resetn_i; end generate GEN_ASYNC_RESET; end implementation;
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------ ------------------------------------------------------------------------------- -- Filename: axi_dma_reset.vhd -- Description: This entity encompasses the reset logic (soft and hard) for -- distribution to the axi_vdma core. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library lib_cdc_v1_0_2; library axi_dma_v7_1_9; use axi_dma_v7_1_9.axi_dma_pkg.all; ------------------------------------------------------------------------------- entity axi_dma_reset is generic( C_INCLUDE_SG : integer range 0 to 1 := 1; -- Include or Exclude the Scatter Gather Engine -- 0 = Exclude SG Engine - Enables Simple DMA Mode -- 1 = Include SG Engine - Enables Scatter Gather Mode C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1; -- Include or Exclude AXI Status and AXI Control Streams -- 0 = Exclude Status and Control Streams -- 1 = Include Status and Control Streams C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0; -- Primary MM2S/S2MM sync/async mode -- 0 = synchronous mode - all clocks are synchronous -- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM) -- run asynchronous to AXI Lite, DMA Control, -- and SG. C_AXI_PRMRY_ACLK_FREQ_HZ : integer := 100000000; -- Primary clock frequency in hertz C_AXI_SCNDRY_ACLK_FREQ_HZ : integer := 100000000 -- Secondary clock frequency in hertz ); port ( -- Clock Sources m_axi_sg_aclk : in std_logic ; -- axi_prmry_aclk : in std_logic ; -- -- -- Hard Reset -- axi_resetn : in std_logic ; -- -- -- Soft Reset -- soft_reset : in std_logic ; -- soft_reset_clr : out std_logic := '0' ; -- soft_reset_done : in std_logic ; -- -- -- all_idle : in std_logic ; -- stop : in std_logic ; -- halt : out std_logic := '0' ; -- halt_cmplt : in std_logic ; -- -- -- Secondary Reset -- scndry_resetn : out std_logic := '1' ; -- -- AXI Upsizer and Line Buffer -- prmry_resetn : out std_logic := '0' ; -- -- AXI DataMover Primary Reset (Raw) -- dm_prmry_resetn : out std_logic := '1' ; -- -- AXI DataMover Secondary Reset (Raw) -- dm_scndry_resetn : out std_logic := '1' ; -- -- AXI Primary Stream Reset Outputs -- prmry_reset_out_n : out std_logic := '1' ; -- -- AXI Alternat Stream Reset Outputs -- altrnt_reset_out_n : out std_logic := '1' -- ); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of scndry_resetn : signal is "TRUE"; Attribute KEEP of prmry_resetn : signal is "TRUE"; Attribute KEEP of dm_scndry_resetn : signal is "TRUE"; Attribute KEEP of dm_prmry_resetn : signal is "TRUE"; Attribute KEEP of prmry_reset_out_n : signal is "TRUE"; Attribute KEEP of altrnt_reset_out_n : signal is "TRUE"; Attribute EQUIVALENT_REGISTER_REMOVAL of scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_scndry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of dm_prmry_resetn : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_reset_out_n : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of altrnt_reset_out_n: signal is "no"; end axi_dma_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_dma_reset is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ATTRIBUTE async_reg : STRING; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- -- Soft Reset Support signal s_soft_reset_i : std_logic := '0'; signal s_soft_reset_i_d1 : std_logic := '0'; signal s_soft_reset_i_re : std_logic := '0'; signal assert_sftrst_d1 : std_logic := '0'; signal min_assert_sftrst : std_logic := '0'; signal min_assert_sftrst_d1_cdc_tig : std_logic := '0'; --ATTRIBUTE async_reg OF min_assert_sftrst_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF min_assert_sftrst : SIGNAL IS "true"; signal p_min_assert_sftrst : std_logic := '0'; signal sft_rst_dly1 : std_logic := '0'; signal sft_rst_dly2 : std_logic := '0'; signal sft_rst_dly3 : std_logic := '0'; signal sft_rst_dly4 : std_logic := '0'; signal sft_rst_dly5 : std_logic := '0'; signal sft_rst_dly6 : std_logic := '0'; signal sft_rst_dly7 : std_logic := '0'; signal sft_rst_dly8 : std_logic := '0'; signal sft_rst_dly9 : std_logic := '0'; signal sft_rst_dly10 : std_logic := '0'; signal sft_rst_dly11 : std_logic := '0'; signal sft_rst_dly12 : std_logic := '0'; signal sft_rst_dly13 : std_logic := '0'; signal sft_rst_dly14 : std_logic := '0'; signal sft_rst_dly15 : std_logic := '0'; signal sft_rst_dly16 : std_logic := '0'; signal soft_reset_d1 : std_logic := '0'; signal soft_reset_re : std_logic := '0'; -- Soft Reset to Primary clock domain signals signal p_soft_reset : std_logic := '0'; signal p_soft_reset_d1_cdc_tig : std_logic := '0'; signal p_soft_reset_d2 : std_logic := '0'; --ATTRIBUTE async_reg OF p_soft_reset_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_soft_reset_d2 : SIGNAL IS "true"; signal p_soft_reset_d3 : std_logic := '0'; signal p_soft_reset_re : std_logic := '0'; -- Qualified soft reset in primary clock domain for -- generating mimimum reset pulse for soft reset signal p_soft_reset_i : std_logic := '0'; signal p_soft_reset_i_d1 : std_logic := '0'; signal p_soft_reset_i_re : std_logic := '0'; -- Graceful halt control signal halt_cmplt_d1_cdc_tig : std_logic := '0'; signal s_halt_cmplt : std_logic := '0'; --ATTRIBUTE async_reg OF halt_cmplt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF s_halt_cmplt : SIGNAL IS "true"; signal p_halt_d1_cdc_tig : std_logic := '0'; signal p_halt : std_logic := '0'; --ATTRIBUTE async_reg OF p_halt_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF p_halt : SIGNAL IS "true"; signal s_halt : std_logic := '0'; -- composite reset (hard and soft) signal resetn_i : std_logic := '1'; signal scndry_resetn_i : std_logic := '1'; signal axi_resetn_d1_cdc_tig : std_logic := '1'; signal axi_resetn_d2 : std_logic := '1'; --ATTRIBUTE async_reg OF axi_resetn_d1_cdc_tig : SIGNAL IS "true"; --ATTRIBUTE async_reg OF axi_resetn_d2 : SIGNAL IS "true"; signal halt_i : std_logic := '0'; signal p_all_idle : std_logic := '1'; signal p_all_idle_d1_cdc_tig : std_logic := '1'; signal halt_cmplt_reg : std_logic; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Internal Hard Reset -- Generate reset on hardware reset or soft reset ------------------------------------------------------------------------------- resetn_i <= '0' when s_soft_reset_i = '1' or min_assert_sftrst = '1' or axi_resetn = '0' else '1'; ------------------------------------------------------------------------------- -- Minimum Reset Logic for Soft Reset ------------------------------------------------------------------------------- -- Register to generate rising edge on soft reset and falling edge -- on reset assertion. REG_SFTRST_FOR_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_soft_reset_i_d1 <= s_soft_reset_i; assert_sftrst_d1 <= min_assert_sftrst; -- Register soft reset from DMACR to create -- rising edge pulse soft_reset_d1 <= soft_reset; end if; end process REG_SFTRST_FOR_RE; -- rising edge pulse on internal soft reset s_soft_reset_i_re <= s_soft_reset_i and not s_soft_reset_i_d1; -- CR605883 -- rising edge pulse on DMACR soft reset REG_SOFT_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then soft_reset_re <= soft_reset and not soft_reset_d1; end if; end process REG_SOFT_RE; -- falling edge detection on min soft rst to clear soft reset -- bit in register module soft_reset_clr <= (not min_assert_sftrst and assert_sftrst_d1) or (not axi_resetn); ------------------------------------------------------------------------------- -- Generate Reset for synchronous configuration ------------------------------------------------------------------------------- GNE_SYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 0 generate begin -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly7 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle -- On soft reset or error -- mm2s dma controller will idle immediatly -- sg fetch engine will complete current task and idle (desc's will flush) -- sg update engine will update all completed descriptors then idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(resetn_i = '0')then halt_i <= '0'; elsif(soft_reset_re = '1' or stop = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- AXI Stream reset output REG_STRM_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_STRM_RESET_OUT; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream reset output REG_ALT_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then altrnt_reset_out_n <= resetn_i and not s_soft_reset_i; end if; end process REG_ALT_RESET_OUT; end generate GEN_ALT_RESET_OUT; -- If in Simple mode or status control stream excluded GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Registered primary and secondary resets out REG_RESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then prmry_resetn <= resetn_i; scndry_resetn <= resetn_i; end if; end process REG_RESET_OUT; -- AXI DataMover Primary Reset (Raw) dm_prmry_resetn <= resetn_i; -- AXI DataMover Secondary Reset (Raw) dm_scndry_resetn <= resetn_i; end generate GNE_SYNC_RESET; ------------------------------------------------------------------------------- -- Generate Reset for asynchronous configuration ------------------------------------------------------------------------------- GEN_ASYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 1 generate begin -- Primary clock is slower or equal to secondary therefore... -- For Halt - can simply pass secondary clock version of soft reset -- rising edge into p_halt assertion -- For Min Rst Assertion - can simply use secondary logic version of min pulse genator GEN_PRMRY_GRTR_EQL_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ >= C_AXI_SCNDRY_ACLK_FREQ_HZ generate begin -- CR605883 - Register to provide pure register output for synchronizer REG_HALT_CONDITIONS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then s_halt <= soft_reset_re or stop; end if; end process REG_HALT_CONDITIONS; -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => s_halt, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --p_halt_d1_cdc_tig <= soft_reset_re or stop; -- CR605883 -- p_halt_d1_cdc_tig <= s_halt; -- CR605883 -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. -- Adding 5 more flops to make up for 5 stages of Sync flops MIN_PULSE_GEN : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 clocks. MIN_RESET_ASSERTION : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(s_soft_reset_i_re = '1')then min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; end generate GEN_PRMRY_GRTR_EQL_SCNDRY; -- Primary clock is running slower than secondary therefore need to use a primary clock -- based rising edge version of soft_reset for primary halt assertion GEN_PRMRY_LESS_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ < C_AXI_SCNDRY_ACLK_FREQ_HZ generate signal soft_halt_int : std_logic := '0'; begin -- Halt data mover on soft reset assertion, error (i.e. stop=1) or -- not running soft_halt_int <= p_soft_reset_re or stop; HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_halt_int, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_halt, scndry_vect_out => open ); -- HALT_PROCESS : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_halt_d1_cdc_tig <= p_soft_reset_re or stop; -- p_halt <= p_halt_d1_cdc_tig; -- end if; -- end process HALT_PROCESS; REG_IDLE2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => all_idle, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_all_idle, scndry_vect_out => open ); -- REG_IDLE2PRMRY : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_all_idle_d1_cdc_tig <= all_idle; -- p_all_idle <= p_all_idle_d1_cdc_tig; -- end if; -- end process REG_IDLE2PRMRY; -- On start of soft reset shift pulse through to assert -- 7 clock later. Used to set minimum 8clk assertion of -- reset. Shift starts when all is idle and internal reset -- is asserted. MIN_PULSE_GEN : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then sft_rst_dly1 <= '1'; sft_rst_dly2 <= '0'; sft_rst_dly3 <= '0'; sft_rst_dly4 <= '0'; sft_rst_dly5 <= '0'; sft_rst_dly6 <= '0'; sft_rst_dly7 <= '0'; sft_rst_dly8 <= '0'; sft_rst_dly9 <= '0'; sft_rst_dly10 <= '0'; sft_rst_dly11 <= '0'; sft_rst_dly12 <= '0'; sft_rst_dly13 <= '0'; sft_rst_dly14 <= '0'; sft_rst_dly15 <= '0'; sft_rst_dly16 <= '0'; elsif(p_all_idle = '1')then sft_rst_dly1 <= '0'; sft_rst_dly2 <= sft_rst_dly1; sft_rst_dly3 <= sft_rst_dly2; sft_rst_dly4 <= sft_rst_dly3; sft_rst_dly5 <= sft_rst_dly4; sft_rst_dly6 <= sft_rst_dly5; sft_rst_dly7 <= sft_rst_dly6; sft_rst_dly8 <= sft_rst_dly7; sft_rst_dly9 <= sft_rst_dly8; sft_rst_dly10 <= sft_rst_dly9; sft_rst_dly11 <= sft_rst_dly10; sft_rst_dly12 <= sft_rst_dly11; sft_rst_dly13 <= sft_rst_dly12; sft_rst_dly14 <= sft_rst_dly13; sft_rst_dly15 <= sft_rst_dly14; sft_rst_dly16 <= sft_rst_dly15; end if; end if; end process MIN_PULSE_GEN; -- Drive minimum reset assertion for 8 primary clocks. MIN_RESET_ASSERTION : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock --if(p_soft_reset_re = '1')then if(p_soft_reset_i_re = '1')then p_min_assert_sftrst <= '1'; elsif(sft_rst_dly16 = '1')then p_min_assert_sftrst <= '0'; end if; end if; end process MIN_RESET_ASSERTION; -- register minimum reset pulse back to secondary domain REG_MINRST2SCNDRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => p_min_assert_sftrst, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => min_assert_sftrst, scndry_vect_out => open ); -- REG_MINRST2SCNDRY : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- min_assert_sftrst_d1_cdc_tig <= p_min_assert_sftrst; -- min_assert_sftrst <= min_assert_sftrst_d1_cdc_tig; -- end if; -- end process REG_MINRST2SCNDRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate reset on hardware reset or soft reset if system is idle REG_P_SOFT_RESET : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(p_soft_reset = '1' and p_all_idle = '1' and halt_cmplt = '1')then p_soft_reset_i <= '1'; else p_soft_reset_i <= '0'; end if; end if; end process REG_P_SOFT_RESET; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Register qualified soft reset flag for generating rising edge -- pulse for starting minimum reset pulse REG_SOFT2PRMRY : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then p_soft_reset_i_d1 <= p_soft_reset_i; end if; end process REG_SOFT2PRMRY; -- CR574188 - fixes issue with soft reset terminating too early -- for primary slower than secondary clock -- Generate rising edge pulse on qualified soft reset for min pulse -- logic. p_soft_reset_i_re <= p_soft_reset_i and not p_soft_reset_i_d1; end generate GEN_PRMRY_LESS_SCNDRY; -- Double register halt complete flag from primary to secondary -- clock domain. -- Note: halt complete stays asserted until halt clears therefore -- only need to double register from fast to slow clock domain. process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then halt_cmplt_reg <= halt_cmplt; end if; end process; REG_HALT_CMPLT_IN : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => halt_cmplt_reg, prmry_vect_in => (others => '0'), scndry_aclk => m_axi_sg_aclk, scndry_resetn => '0', scndry_out => s_halt_cmplt, scndry_vect_out => open ); -- REG_HALT_CMPLT_IN : process(m_axi_sg_aclk) -- begin -- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- -- halt_cmplt_d1_cdc_tig <= halt_cmplt; -- s_halt_cmplt <= halt_cmplt_d1_cdc_tig; -- end if; -- end process REG_HALT_CMPLT_IN; ------------------------------------------------------------------------------- -- Soft Reset Support ------------------------------------------------------------------------------- -- Generate reset on hardware reset or soft reset if system is idle REG_SOFT_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(soft_reset = '1' and all_idle = '1' and s_halt_cmplt = '1')then s_soft_reset_i <= '1'; elsif(soft_reset_done = '1')then s_soft_reset_i <= '0'; end if; end if; end process REG_SOFT_RESET; -- Register soft reset flag into primary domain to correcly -- halt data mover REG_SOFT2PRMRY : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => soft_reset, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => p_soft_reset_d2, scndry_vect_out => open ); REG_SOFT2PRMRY1 : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- p_soft_reset_d1_cdc_tig <= soft_reset; -- p_soft_reset_d2 <= p_soft_reset_d1_cdc_tig; p_soft_reset_d3 <= p_soft_reset_d2; end if; end process REG_SOFT2PRMRY1; -- Generate rising edge pulse for use with p_halt creation p_soft_reset_re <= p_soft_reset_d2 and not p_soft_reset_d3; -- used to mask halt reset below p_soft_reset <= p_soft_reset_d2; -- Halt datamover on soft_reset or on error. Halt will stay -- asserted until s_soft_reset_i assertion which occurs when -- halt is complete or hard reset REG_DM_HALT : process(axi_prmry_aclk) begin if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then if(axi_resetn_d2 = '0')then halt_i <= '0'; elsif(p_halt = '1')then halt_i <= '1'; end if; end if; end process REG_DM_HALT; halt <= halt_i; -- CR605883 (CDC) Create pure register out for synchronizer REG_CMB_RESET : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn_i <= resetn_i; end if; end process REG_CMB_RESET; -- Sync to mm2s primary and register resets out REG_RESET_OUT : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => MTBF_STAGES ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => scndry_resetn_i, prmry_vect_in => (others => '0'), scndry_aclk => axi_prmry_aclk, scndry_resetn => '0', scndry_out => axi_resetn_d2, scndry_vect_out => open ); -- REG_RESET_OUT : process(axi_prmry_aclk) -- begin -- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then -- --axi_resetn_d1_cdc_tig <= resetn_i; -- CR605883 -- axi_resetn_d1_cdc_tig <= scndry_resetn_i; -- axi_resetn_d2 <= axi_resetn_d1_cdc_tig; -- end if; -- end process REG_RESET_OUT; -- Register resets out to AXI DMA Logic REG_SRESET_OUT : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then scndry_resetn <= resetn_i; end if; end process REG_SRESET_OUT; -- AXI Stream reset output prmry_reset_out_n <= axi_resetn_d2; -- If in Scatter Gather mode and status control stream included GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate begin -- AXI Stream alternate reset output altrnt_reset_out_n <= axi_resetn_d2; end generate GEN_ALT_RESET_OUT; -- If in Simple Mode or status control stream excluded. GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate begin altrnt_reset_out_n <= '1'; end generate GEN_NO_ALT_RESET_OUT; -- Register primary reset prmry_resetn <= axi_resetn_d2; -- AXI DataMover Primary Reset dm_prmry_resetn <= axi_resetn_d2; -- AXI DataMover Secondary Reset dm_scndry_resetn <= resetn_i; end generate GEN_ASYNC_RESET; end implementation;
architecture RTL of FIFO is begin process begin sig1 <= sig2; -- This comment is okay end process; -- Violations below process begin sig1 <= sig2 and -- Some comment sig3 or -- This comment is okay -- other comment sig4; end process; end architecture RTL;
-- This file is part of Realtimestagram. -- -- Realtimestagram is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 2 of the License, or -- (at your option) any later version. -- -- Realtimestagram is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with Realtimestagram. If not, see <http://www.gnu.org/licenses/>. --! <!------------------------------------------------------------------------------> --! <!------------------------------------------------------------------------------> --! \class vignette --! \brief Creates a faded vignette around the image --! --! \image html vignette.png --! --! \dot --! digraph vignette{ --! --! graph [rankdir=LR, splines=ortho, sep=5]; --! edge [penwidth=2.2, arrowsize=.5] --! node [height=0.25, style=filled, fontname=sans] --! --! /* single or multibit registers */ --! --! --! subgraph inputs { --! node [fontcolor=white, fontname=serif, fillcolor=gray32, shape=box, tailport=e] --! rank=same; clk rst enable hcount vcount pixel_i --! } --! --! subgraph cluster_component { --! --! color=gray64 --! label="vignette"; --! fontcolor=black; --! fontname=sans; --! --! subgraph operators{ --! node [ shape=circle, fillcolor=white, fontcolor=black, labelloc=c, fixedsize=true, tailport=e] --! and0 [label="&"] --! --! mult0 [label="x"] --! mult1 [label="x"] --! } --! --! subgraph registers{ --! node [fontcolor=white, fontname=serif, fillcolor=gray32, shape=box, headport=w] --! pixel_i_reg0 [label="p0"] --! pixel_i_reg1 [label="p1"] --! } --! --! subgraph function_blocks{ --! node [ height=1, shape=box, fillcolor=gray96, fontcolor=black, headport=w, tailport=e] --! lut_x [label="lut x"] --! lut_y [label="lut y"] --! } --! } --! --! subgraph output{ --! node [fontcolor=white, fontname=serif, fillcolor=gray32, shape=box, headport=w] --! rank=same; pixel_o --! } --! --! clk -> and0 --! enable -> and0 --! rst -> and0 [arrowhead=odot, arrowsize=0.6] --! --! and0 -> lut_x --! hcount -> lut_x -> mult0 --! --! and0 -> lut_y --! vcount -> lut_y -> mult0 --! --! pixel_i -> pixel_i_reg0 -> pixel_i_reg1 -> mult1 --! mult0 -> mult1 -> pixel_o --! } --! \enddot --! --! <!------------------------------------------------------------------------------> --! <!------------------------------------------------------------------------------> -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Used for calculation of h_count and v_cunt port width use ieee.math_real.all; use work.curves_pkg.all; --============================================================================-- --! --! --! --! entity vignette is generic ( wordsize: integer; --! input image wordsize in bits width: integer; --! width of input image height: integer; --! height of input image lut_x: array_pixel; --! pre generated lookup table lut_y: array_pixel --! pre generated lookup table ); port ( clk: in std_logic; --! completely clocked process rst: in std_logic; --! asynchronous reset enable: in std_logic; --! enables block --! x-coordinate of input pixel h_count: in std_logic_vector((integer(ceil(log2(real(width))))-1) downto 0); --! y-coordinate of input pixel v_count: in std_logic_vector((integer(ceil(log2(real(height))))-1) downto 0); pixel_i: in std_logic_vector((wordsize-1) downto 0); --! the input pixel pixel_o: out std_logic_vector((wordsize-1) downto 0) --! the output pixel ); end entity; --============================================================================-- architecture behavioural of vignette is -- signal declarations signal lut_value_x: std_logic_vector((wordsize-1) downto 0); --! Value from LUT_x signal lut_value_y: std_logic_vector((wordsize-1) downto 0); --! Value from LUT_y signal lut_x_lut_y: natural range 0 to 2**(2*wordsize); --! LUT_x * LUT_y signal p0: natural range 0 to 2**(wordsize); --! buffered pix_in signal p1: natural range 0 to 2**(wordsize); --! buffered pix_in begin --! \brief clocked process that adds an vignette to an image using precalculated LUTs --! \param[in] clk clock --! \param[in] rst asynchronous reset curve_adjustment : process(clk, rst) variable pixel_o_slv : std_logic_vector(3*wordsize-1 downto 0) := (others => '0'); begin if rst = '1' then lut_value_x <= (others => '0'); lut_value_y <= (others => '0'); lut_x_lut_y <= 0; p0 <= 0; p1 <= 0; pixel_o <= (others => '0'); elsif rising_edge(clk) then if enable = '1' then p0 <= to_integer(unsigned(pixel_i)); lut_value_x <= lut_x(to_integer(unsigned(h_count))); lut_value_y <= lut_y(to_integer(unsigned(v_count))); p1 <= p0; lut_x_lut_y <= to_integer(unsigned(lut_value_x)) * to_integer(unsigned(lut_value_y)); pixel_o_slv := std_logic_vector(to_unsigned(lut_x_lut_y * p1, 3*wordsize)); pixel_o <= pixel_o_slv(3*wordsize-1 downto 2*wordsize); else pixel_o <= (others => '0'); end if; -- end if enable = '1' end if; -- end if rst = '1' end process; end architecture; --============================================================================--
-- This file is part of Realtimestagram. -- -- Realtimestagram is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 2 of the License, or -- (at your option) any later version. -- -- Realtimestagram is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with Realtimestagram. If not, see <http://www.gnu.org/licenses/>. --! <!------------------------------------------------------------------------------> --! <!------------------------------------------------------------------------------> --! \class vignette --! \brief Creates a faded vignette around the image --! --! \image html vignette.png --! --! \dot --! digraph vignette{ --! --! graph [rankdir=LR, splines=ortho, sep=5]; --! edge [penwidth=2.2, arrowsize=.5] --! node [height=0.25, style=filled, fontname=sans] --! --! /* single or multibit registers */ --! --! --! subgraph inputs { --! node [fontcolor=white, fontname=serif, fillcolor=gray32, shape=box, tailport=e] --! rank=same; clk rst enable hcount vcount pixel_i --! } --! --! subgraph cluster_component { --! --! color=gray64 --! label="vignette"; --! fontcolor=black; --! fontname=sans; --! --! subgraph operators{ --! node [ shape=circle, fillcolor=white, fontcolor=black, labelloc=c, fixedsize=true, tailport=e] --! and0 [label="&"] --! --! mult0 [label="x"] --! mult1 [label="x"] --! } --! --! subgraph registers{ --! node [fontcolor=white, fontname=serif, fillcolor=gray32, shape=box, headport=w] --! pixel_i_reg0 [label="p0"] --! pixel_i_reg1 [label="p1"] --! } --! --! subgraph function_blocks{ --! node [ height=1, shape=box, fillcolor=gray96, fontcolor=black, headport=w, tailport=e] --! lut_x [label="lut x"] --! lut_y [label="lut y"] --! } --! } --! --! subgraph output{ --! node [fontcolor=white, fontname=serif, fillcolor=gray32, shape=box, headport=w] --! rank=same; pixel_o --! } --! --! clk -> and0 --! enable -> and0 --! rst -> and0 [arrowhead=odot, arrowsize=0.6] --! --! and0 -> lut_x --! hcount -> lut_x -> mult0 --! --! and0 -> lut_y --! vcount -> lut_y -> mult0 --! --! pixel_i -> pixel_i_reg0 -> pixel_i_reg1 -> mult1 --! mult0 -> mult1 -> pixel_o --! } --! \enddot --! --! <!------------------------------------------------------------------------------> --! <!------------------------------------------------------------------------------> -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Used for calculation of h_count and v_cunt port width use ieee.math_real.all; use work.curves_pkg.all; --============================================================================-- --! --! --! --! entity vignette is generic ( wordsize: integer; --! input image wordsize in bits width: integer; --! width of input image height: integer; --! height of input image lut_x: array_pixel; --! pre generated lookup table lut_y: array_pixel --! pre generated lookup table ); port ( clk: in std_logic; --! completely clocked process rst: in std_logic; --! asynchronous reset enable: in std_logic; --! enables block --! x-coordinate of input pixel h_count: in std_logic_vector((integer(ceil(log2(real(width))))-1) downto 0); --! y-coordinate of input pixel v_count: in std_logic_vector((integer(ceil(log2(real(height))))-1) downto 0); pixel_i: in std_logic_vector((wordsize-1) downto 0); --! the input pixel pixel_o: out std_logic_vector((wordsize-1) downto 0) --! the output pixel ); end entity; --============================================================================-- architecture behavioural of vignette is -- signal declarations signal lut_value_x: std_logic_vector((wordsize-1) downto 0); --! Value from LUT_x signal lut_value_y: std_logic_vector((wordsize-1) downto 0); --! Value from LUT_y signal lut_x_lut_y: natural range 0 to 2**(2*wordsize); --! LUT_x * LUT_y signal p0: natural range 0 to 2**(wordsize); --! buffered pix_in signal p1: natural range 0 to 2**(wordsize); --! buffered pix_in begin --! \brief clocked process that adds an vignette to an image using precalculated LUTs --! \param[in] clk clock --! \param[in] rst asynchronous reset curve_adjustment : process(clk, rst) variable pixel_o_slv : std_logic_vector(3*wordsize-1 downto 0) := (others => '0'); begin if rst = '1' then lut_value_x <= (others => '0'); lut_value_y <= (others => '0'); lut_x_lut_y <= 0; p0 <= 0; p1 <= 0; pixel_o <= (others => '0'); elsif rising_edge(clk) then if enable = '1' then p0 <= to_integer(unsigned(pixel_i)); lut_value_x <= lut_x(to_integer(unsigned(h_count))); lut_value_y <= lut_y(to_integer(unsigned(v_count))); p1 <= p0; lut_x_lut_y <= to_integer(unsigned(lut_value_x)) * to_integer(unsigned(lut_value_y)); pixel_o_slv := std_logic_vector(to_unsigned(lut_x_lut_y * p1, 3*wordsize)); pixel_o <= pixel_o_slv(3*wordsize-1 downto 2*wordsize); else pixel_o <= (others => '0'); end if; -- end if enable = '1' end if; -- end if rst = '1' end process; end architecture; --============================================================================--
library ieee; use ieee.std_logic_1164.all; --use ieee.numeric_std.all; Library UNISIM; use UNISIM.vcomponents.all; use work.UtilityPkg.all; entity GtpS6 is generic ( -- Reference clock selection -- -- 000: CLK00/CLK01 selected -- 001: GCLK00/GCLK01 selected -- 010: PLLCLK00/PLLCLK01 selected -- 011: CLKINEAST0/CLKINEAST0 selected -- 100: CLK10/CLK11 selected -- 101: GCLK10/GCLK11 selected -- 110: PLLCLK10/PLLCLK11 selected -- 111: CLKINWEST0/CLKINWEST1 selected REF_SEL_PLL0_G : slv(2 downto 0) := "001"; REF_SEL_PLL1_G : slv(2 downto 0) := "001" ); port ( -- Clocking & reset gtpClkIn : in std_logic; gtpReset0 : in std_logic; gtpReset1 : in std_logic; txReset0 : in std_logic; txReset1 : in std_logic; rxReset0 : in std_logic; rxReset1 : in std_logic; rxBufReset0 : in std_logic; rxBufReset1 : in std_logic; -- User clock out usrClkOut : out std_logic; usrClkX2Out : out std_logic; -- DCM clocking dcmClkValid : out std_logic; dcmSpLocked : out std_logic; usrClkValid : out std_logic; usrClkLocked : out std_logic; -- General status outputs pllLock0 : out std_logic; pllLock1 : out std_logic; gtpResetDone0 : out std_logic; gtpResetDone1 : out std_logic; -- Input signals (raw) gtpRxP0 : in std_logic; gtpRxN0 : in std_logic; gtpTxP0 : out std_logic; gtpTxN0 : out std_logic; gtpRxP1 : in std_logic; gtpRxN1 : in std_logic; gtpTxP1 : out std_logic; gtpTxN1 : out std_logic; -- Data interfaces rxDataOut0 : out std_logic_vector(15 downto 0); rxDataOut1 : out std_logic_vector(15 downto 0); txDataIn0 : in std_logic_vector(15 downto 0); txDataIn1 : in std_logic_vector(15 downto 0); -- RX status rxCharIsComma0 : out std_logic_vector(1 downto 0); rxCharIsComma1 : out std_logic_vector(1 downto 0); rxCharIsK0 : out std_logic_vector(1 downto 0); rxCharIsK1 : out std_logic_vector(1 downto 0); rxDispErr0 : out std_logic_vector(1 downto 0); rxDispErr1 : out std_logic_vector(1 downto 0); rxNotInTable0 : out std_logic_vector(1 downto 0); rxNotInTable1 : out std_logic_vector(1 downto 0); rxRunDisp0 : out std_logic_vector(1 downto 0); rxRunDisp1 : out std_logic_vector(1 downto 0); rxClkCor0 : out std_logic_vector(2 downto 0); rxClkCor1 : out std_logic_vector(2 downto 0); rxByteAligned0 : out std_logic; rxByteAligned1 : out std_logic; rxEnMCommaAlign0 : in std_logic; rxEnMCommaAlign1 : in std_logic; rxEnPCommaAlign0 : in std_logic; rxEnPCommaAlign1 : in std_logic; rxBufStatus0 : out std_logic_vector(2 downto 0); rxBufStatus1 : out std_logic_vector(2 downto 0); -- TX status txCharDispMode0 : in std_logic_vector(1 downto 0) := "00"; txCharDispMode1 : in std_logic_vector(1 downto 0) := "00"; txCharDispVal0 : in std_logic_vector(1 downto 0) := "00"; txCharDispVal1 : in std_logic_vector(1 downto 0) := "00"; txCharIsK0 : in std_logic_vector(1 downto 0); txCharIsK1 : in std_logic_vector(1 downto 0); txRunDisp0 : out std_logic_vector(1 downto 0); txRunDisp1 : out std_logic_vector(1 downto 0); txBufStatus0 : out std_logic_vector(1 downto 0); txBufStatus1 : out std_logic_vector(1 downto 0); -- Loopback settings loopbackIn0 : in std_logic_vector(2 downto 0) := "000"; loopbackIn1 : in std_logic_vector(2 downto 0) := "000" ); end GtpS6; architecture rtl of GtpS6 is constant slZero : std_logic := '0'; -- Reference clock selection -- -- 000: CLK00/CLK01 selected -- 001: GCLK00/GCLK01 selected -- 010: PLLCLK00/PLLCLK01 selected -- 011: CLKINEAST0/CLKINEAST0 selected -- 100: CLK10/CLK11 selected -- 101: GCLK10/GCLK11 selected -- 110: PLLCLK10/PLLCLK11 selected -- 111: CLKINWEST0/CLKINWEST1 selected -- constant refSelDyPll0 : std_logic_vector(2 downto 0) := "001"; -- constant refSelDyPll1 : std_logic_vector(2 downto 0) := "001"; -- DCM signals signal clk0 : std_logic; signal clkOut1 : std_logic; signal clkDv : std_logic; signal dcmInputClockStopped : std_logic; signal clkIn1 : std_logic; signal clkFbIn : std_logic; signal gclkDcm : std_logic; signal dcmSpStatus : std_logic_vector(7 downto 0); signal dcmSpLockedInternal : std_logic; signal usrClkStatus : std_logic_vector(7 downto 0); signal usrClkLockedInternal : std_logic; signal pllLock0Internal : std_logic; signal pllLock1Internal : std_logic; signal rxClkCorr0 : std_logic_vector(2 downto 0); signal rxClkCorr1 : std_logic_vector(2 downto 0); -- User clocking signal gtpClkOut0 : std_logic_vector(1 downto 0); signal gtpClkOut1 : std_logic_vector(1 downto 0); signal txOutClk0 : std_logic; signal txOutClk1 : std_logic; signal usrClkSource : std_logic; signal usrClkSourceBufG : std_logic; signal txRxUsrClkRaw : std_logic; signal txRxUsrClk2Raw : std_logic; signal txRxUsrClk : std_logic; signal txRxUsrClk2 : std_logic; signal usrClkX2Raw : std_logic; begin -- Set up input clocking here U_S6_DCM : DCM_SP generic map ( CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 1, CLKFX_MULTIPLY => 4, CLKIN_DIVIDE_BY_2 => false, CLKIN_PERIOD => 4.0, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "1X", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => false ) port map ( -- CLKIN => clkIn1, CLKIN => gtpClkIn, CLKFB => clkFbIn, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => open, CLKFX180 => open, CLKDV => clkDv, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Control & status LOCKED => dcmSpLockedInternal, STATUS => dcmSpStatus, RST => '0', -- Unused, tie low DSSEN => '0' ); dcmInputClockStopped <= dcmSpStatus(1); dcmSpLocked <= dcmSpLockedInternal; dcmClkValid <= dcmSpLockedInternal and (not dcmSpStatus(1)); -- U_DcmClkIn_BufG : BUFG port map ( I => gtpClkIn, O => clkIn1 ); U_DcmFb_BufG : BUFG port map ( I => clk0, O => clkFbIn ); U_DcmClkOut_BufG : BUFG port map ( I => clkDv, O => gClkDcm ); -- Set up USR clocks (see UG386 p. 74 for TX) -- (see UG386 p. 159 for RX) U_USRCLK_DCM : DCM_SP generic map ( CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 1, CLKFX_MULTIPLY => 4, CLKIN_DIVIDE_BY_2 => false, CLKIN_PERIOD => 8.0, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "1X", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => false ) port map ( CLKIN => usrClkSource, CLKFB => txRxUsrClk, -- Output clocks CLK0 => txRxUsrClkRaw, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => open, CLKFX180 => open, CLKDV => txRxUsrClk2Raw, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Control & status LOCKED => usrClkLockedInternal, STATUS => usrClkStatus, RST => not(pllLock0Internal), -- Unused, tie low DSSEN => '0' ); pllLock0 <= pllLock0Internal; -- usrInputClockStopped <= usrClkStatus(1); usrClkLocked <= usrClkLockedInternal; usrClkValid <= usrClkLockedInternal and (not usrClkStatus(1)); U_BufIo2 : BUFIO2 port map ( I => gtpClkOut0(0), DIVCLK => usrClkSource ); -- U_usrClk_BufG : BUFG port map ( I => usrClkSource, O => usrClkSourceBufG ); U_UsrClkOut_BufG : BUFG port map ( I => txRxUsrClkRaw, O => txRxUsrClk ); U_UsrClk2Out_BufG : BUFG port map ( I => txRxUsrClk2Raw, O => txRxUsrClk2 ); usrClkX2Out <= txRxUsrClk; -- U_UsrClkX2_BufG : BUFG port map ( I => usrClkX2Raw, O => usrClkX2Out ); -- Clock out to the rest of the system usrClkOut <= txRxUsrClk2; -------------------------- -- Instantiate the tile -- -------------------------- U_GtpS6Tile : entity work.GtpS6Tile generic map ( -- Simulation attributes TILE_SIM_GTPRESET_SPEEDUP => 0, -- Set to 1 to speed up sim reset TILE_CLK25_DIVIDER_0 => 5, TILE_CLK25_DIVIDER_1 => 5, TILE_PLL_DIVSEL_FB_0 => 2, TILE_PLL_DIVSEL_FB_1 => 2, TILE_PLL_DIVSEL_REF_0 => 1, TILE_PLL_DIVSEL_REF_1 => 1, TILE_SIM_REFCLK0_SOURCE => "000", TILE_SIM_REFCLK1_SOURCE => "000", -- TILE_PLL_SOURCE_0 => "PLL0", TILE_PLL_SOURCE_1 => "PLL0" ) port map ( ------------------------ Loopback and Powerdown Ports ---------------------- LOOPBACK0_IN => loopbackIn0, -- in std_logic_vector(2 downto 0); LOOPBACK1_IN => loopbackIn1, -- in std_logic_vector(2 downto 0); --------------------------------- PLL Ports -------------------------------- CLK00_IN => slZero, -- in std_logic; CLK01_IN => slZero, -- in std_logic; CLK10_IN => slZero, -- in std_logic; CLK11_IN => slZero, -- in std_logic; GCLK00_IN => gClkDcm, -- in std_logic; GCLK01_IN => slZero, -- in std_logic; GCLK10_IN => slZero, -- in std_logic; GCLK11_IN => slZero, -- in std_logic; CLKINEAST0_IN => slZero, -- in std_logic; CLKINEAST1_IN => slZero, -- in std_logic; CLKINWEST0_IN => slZero, -- in std_logic; CLKINWEST1_IN => slZero, -- in std_logic; GTPRESET0_IN => gtpReset0, -- in std_logic; GTPRESET1_IN => gtpReset1, -- in std_logic; TXRESET0_IN => txReset0, -- in std_logic; TXRESET1_IN => txReset1, -- in std_logic; RXRESET0_IN => rxReset0, -- in std_logic; RXRESET1_IN => rxReset1, -- in std_logic; PLLLKDET0_OUT => pllLock0Internal, -- out std_logic; PLLLKDET1_OUT => pllLock1Internal, -- out std_logic; REFSELDYPLL0_IN => REF_SEL_PLL0_G, -- in std_logic_vector(2 downto 0); REFSELDYPLL1_IN => REF_SEL_PLL1_G, -- in std_logic_vector(2 downto 0); RESETDONE0_OUT => gtpResetDone0, -- out std_logic; RESETDONE1_OUT => gtpResetDone1, -- out std_logic; ----------------------- Receive Ports - 8b10b Decoder ---------------------- RXCHARISCOMMA0_OUT => rxCharIsComma0, -- out std_logic_vector(1 downto 0); RXCHARISCOMMA1_OUT => rxCharIsComma1, -- out std_logic_vector(1 downto 0); RXCHARISK0_OUT => rxCharIsK0, -- out std_logic_vector(1 downto 0); RXCHARISK1_OUT => rxCharIsK1, -- out std_logic_vector(1 downto 0); RXDISPERR0_OUT => rxDispErr0, -- out std_logic_vector(1 downto 0); RXDISPERR1_OUT => rxDispErr1, -- out std_logic_vector(1 downto 0); RXNOTINTABLE0_OUT => rxNotInTable0, -- out std_logic_vector(1 downto 0); RXNOTINTABLE1_OUT => rxNotInTable1, -- out std_logic_vector(1 downto 0); RXRUNDISP0_OUT => rxRunDisp0, -- out std_logic_vector(1 downto 0); RXRUNDISP1_OUT => rxRunDisp1, -- out std_logic_vector(1 downto 0); --------------- Receive Ports - RX Buffer and Phase Alignment -------------- RXBUFRESET0_IN => rxBufReset0, -- in std_logic; RXBUFRESET1_IN => rxBufReset1, -- in std_logic; RXBUFSTATUS0_OUT => rxBufStatus0, -- out std_logic_vector(2 downto 0); RXBUFSTATUS1_OUT => rxBufStatus1, -- out std_logic_vector(2 downto 0); ---------------------- Receive Ports - Clock Correction -------------------- RXCLKCORCNT0_OUT => rxClkCorr0, -- out std_logic_vector(2 downto 0); RXCLKCORCNT1_OUT => rxClkCorr1, -- out std_logic_vector(2 downto 0); --------------- Receive Ports - Comma Detection and Alignment -------------- RXBYTEISALIGNED0_OUT => rxByteAligned0, -- out std_logic; RXBYTEISALIGNED1_OUT => rxByteAligned1, -- out std_logic; RXENMCOMMAALIGN0_IN => rxEnMCommaAlign0, -- in std_logic; RXENMCOMMAALIGN1_IN => rxEnMCommaAlign1, -- in std_logic; RXENPCOMMAALIGN0_IN => rxEnPCommaAlign0, -- in std_logic; RXENPCOMMAALIGN1_IN => rxEnPCommaAlign1, -- in std_logic; ------------------- Receive Ports - RX Data Path interface ----------------- RXDATA0_OUT => rxDataOut0, -- out std_logic_vector(15 downto 0); RXDATA1_OUT => rxDataOut1, -- out std_logic_vector(15 downto 0); RXUSRCLK0_IN => txRxUsrClk, -- in std_logic; RXUSRCLK1_IN => txRxUsrClk, -- in std_logic; RXUSRCLK20_IN => txRxUsrClk2, -- in std_logic; RXUSRCLK21_IN => txRxUsrClk2, -- in std_logic; ------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------ RXN0_IN => gtpRxN0, -- in std_logic; RXN1_IN => gtpRxN1, -- in std_logic; RXP0_IN => gtpRxP0, -- in std_logic; RXP1_IN => gtpRxP1, -- in std_logic; ---------------------------- TX/RX Datapath Ports -------------------------- GTPCLKOUT0_OUT => gtpClkOut0, -- out std_logic_vector(1 downto 0); GTPCLKOUT1_OUT => gtpClkOut1, -- out std_logic_vector(1 downto 0); ------------------- Transmit Ports - 8b10b Encoder Control ----------------- TXCHARDISPMODE0_IN => txCharDispMode0, -- in std_logic_vector(1 downto 0); TXCHARDISPMODE1_IN => txCharDispMode1, -- in std_logic_vector(1 downto 0); TXCHARDISPVAL0_IN => txCharDispVal0, -- in std_logic_vector(1 downto 0); TXCHARDISPVAL1_IN => txCharDispVal1, -- in std_logic_vector(1 downto 0); TXCHARISK0_IN => txCharIsK0, -- in std_logic_vector(1 downto 0); TXCHARISK1_IN => txCharIsK1, -- in std_logic_vector(1 downto 0); TXRUNDISP0_OUT => txRunDisp0, -- out std_logic_vector(1 downto 0); TXRUNDISP1_OUT => txRunDisp1, -- out std_logic_vector(1 downto 0); --------------- Transmit Ports - TX Buffer and Phase Alignment ------------- TXBUFSTATUS0_OUT => txBufStatus0, -- out std_logic_vector(1 downto 0); TXBUFSTATUS1_OUT => txBufStatus1, -- out std_logic_vector(1 downto 0); ------------------ Transmit Ports - TX Data Path interface ----------------- TXDATA0_IN => txDataIn0, -- in std_logic_vector(15 downto 0); TXDATA1_IN => txDataIn1, -- in std_logic_vector(15 downto 0); TXOUTCLK0_OUT => txOutClk0, -- out std_logic; TXOUTCLK1_OUT => txOutClk1, -- out std_logic; TXUSRCLK0_IN => txRxUsrClk, -- in std_logic; TXUSRCLK1_IN => txRxUsrClk, -- in std_logic; TXUSRCLK20_IN => txRxUsrClk2, -- in std_logic; TXUSRCLK21_IN => txRxUsrClk2, -- in std_logic; --------------- Transmit Ports - TX Driver and OOB signalling -------------- TXN0_OUT => gtpTxN0, -- out std_logic; TXN1_OUT => gtpTxN1, -- out std_logic; TXP0_OUT => gtpTxP0, -- out std_logic; TXP1_OUT => gtpTxP1 -- out std_logic ); end rtl;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Tue Sep 19 09:38:22 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/Users/markb/Source/Repos/FPGA_Sandbox/RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ip/zynq_design_1_rst_ps7_0_100M_0/zynq_design_1_rst_ps7_0_100M_0_sim_netlist.vhdl -- Design : zynq_design_1_rst_ps7_0_100M_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_0_cdc_sync is port ( lpf_asr_reg : out STD_LOGIC; scndry_out : out STD_LOGIC; aux_reset_in : in STD_LOGIC; lpf_asr : in STD_LOGIC; asr_lpf : in STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : in STD_LOGIC; p_2_in : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_0_cdc_sync : entity is "cdc_sync"; end zynq_design_1_rst_ps7_0_100M_0_cdc_sync; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_0_cdc_sync is signal asr_d1 : STD_LOGIC; signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; signal \^scndry_out\ : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; begin scndry_out <= \^scndry_out\; \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => asr_d1, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aux_reset_in, O => asr_d1 ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d3, Q => \^scndry_out\, R => '0' ); lpf_asr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EAAAAAA8" ) port map ( I0 => lpf_asr, I1 => asr_lpf(0), I2 => \^scndry_out\, I3 => p_1_in, I4 => p_2_in, O => lpf_asr_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_0_cdc_sync_0 is port ( lpf_exr_reg : out STD_LOGIC; scndry_out : out STD_LOGIC; lpf_exr : in STD_LOGIC; p_3_out : in STD_LOGIC_VECTOR ( 2 downto 0 ); mb_debug_sys_rst : in STD_LOGIC; ext_reset_in : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_0_cdc_sync_0 : entity is "cdc_sync"; end zynq_design_1_rst_ps7_0_100M_0_cdc_sync_0; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_0_cdc_sync_0 is signal \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\ : STD_LOGIC; signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; signal \^scndry_out\ : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; begin scndry_out <= \^scndry_out\; \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => mb_debug_sys_rst, I1 => ext_reset_in, O => \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\ ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d3, Q => \^scndry_out\, R => '0' ); lpf_exr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EAAAAAA8" ) port map ( I0 => lpf_exr, I1 => p_3_out(0), I2 => \^scndry_out\, I3 => p_3_out(1), I4 => p_3_out(2), O => lpf_exr_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_0_upcnt_n is port ( Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); seq_clr : in STD_LOGIC; seq_cnt_en : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_0_upcnt_n : entity is "upcnt_n"; end zynq_design_1_rst_ps7_0_100M_0_upcnt_n; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_0_upcnt_n is signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal clear : STD_LOGIC; signal q_int0 : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \q_int[1]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \q_int[2]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \q_int[3]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \q_int[4]_i_1\ : label is "soft_lutpair0"; begin Q(5 downto 0) <= \^q\(5 downto 0); \q_int[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => q_int0(0) ); \q_int[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => q_int0(1) ); \q_int[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => q_int0(2) ); \q_int[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => q_int0(3) ); \q_int[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => q_int0(4) ); \q_int[5]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => seq_clr, O => clear ); \q_int[5]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(4), I5 => \^q\(5), O => q_int0(5) ); \q_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(0), Q => \^q\(0), R => clear ); \q_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(1), Q => \^q\(1), R => clear ); \q_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(2), Q => \^q\(2), R => clear ); \q_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(3), Q => \^q\(3), R => clear ); \q_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(4), Q => \^q\(4), R => clear ); \q_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(5), Q => \^q\(5), R => clear ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_0_lpf is port ( lpf_int : out STD_LOGIC; slowest_sync_clk : in STD_LOGIC; dcm_locked : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; ext_reset_in : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_0_lpf : entity is "lpf"; end zynq_design_1_rst_ps7_0_100M_0_lpf; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_0_lpf is signal \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\ : STD_LOGIC; signal \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\ : STD_LOGIC; signal Q : STD_LOGIC; signal asr_lpf : STD_LOGIC_VECTOR ( 0 to 0 ); signal lpf_asr : STD_LOGIC; signal lpf_exr : STD_LOGIC; signal \lpf_int0__0\ : STD_LOGIC; signal p_1_in : STD_LOGIC; signal p_2_in : STD_LOGIC; signal p_3_in1_in : STD_LOGIC; signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of POR_SRL_I : label is "SRL16"; attribute box_type : string; attribute box_type of POR_SRL_I : label is "PRIMITIVE"; attribute srl_name : string; attribute srl_name of POR_SRL_I : label is "U0/\EXT_LPF/POR_SRL_I "; begin \ACTIVE_LOW_AUX.ACT_LO_AUX\: entity work.zynq_design_1_rst_ps7_0_100M_0_cdc_sync port map ( asr_lpf(0) => asr_lpf(0), aux_reset_in => aux_reset_in, lpf_asr => lpf_asr, lpf_asr_reg => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\, p_1_in => p_1_in, p_2_in => p_2_in, scndry_out => p_3_in1_in, slowest_sync_clk => slowest_sync_clk ); \ACTIVE_LOW_EXT.ACT_LO_EXT\: entity work.zynq_design_1_rst_ps7_0_100M_0_cdc_sync_0 port map ( ext_reset_in => ext_reset_in, lpf_exr => lpf_exr, lpf_exr_reg => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\, mb_debug_sys_rst => mb_debug_sys_rst, p_3_out(2 downto 0) => p_3_out(2 downto 0), scndry_out => p_3_out(3), slowest_sync_clk => slowest_sync_clk ); \AUX_LPF[1].asr_lpf_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_in1_in, Q => p_2_in, R => '0' ); \AUX_LPF[2].asr_lpf_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_2_in, Q => p_1_in, R => '0' ); \AUX_LPF[3].asr_lpf_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_1_in, Q => asr_lpf(0), R => '0' ); \EXT_LPF[1].exr_lpf_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(3), Q => p_3_out(2), R => '0' ); \EXT_LPF[2].exr_lpf_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(2), Q => p_3_out(1), R => '0' ); \EXT_LPF[3].exr_lpf_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(1), Q => p_3_out(0), R => '0' ); POR_SRL_I: unisim.vcomponents.SRL16E generic map( INIT => X"FFFF" ) port map ( A0 => '1', A1 => '1', A2 => '1', A3 => '1', CE => '1', CLK => slowest_sync_clk, D => '0', Q => Q ); lpf_asr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\, Q => lpf_asr, R => '0' ); lpf_exr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\, Q => lpf_exr, R => '0' ); lpf_int0: unisim.vcomponents.LUT4 generic map( INIT => X"FFEF" ) port map ( I0 => Q, I1 => lpf_asr, I2 => dcm_locked, I3 => lpf_exr, O => \lpf_int0__0\ ); lpf_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \lpf_int0__0\, Q => lpf_int, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_0_sequence_psr is port ( Core : out STD_LOGIC; bsr : out STD_LOGIC; pr : out STD_LOGIC; \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ : out STD_LOGIC; \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ : out STD_LOGIC; lpf_int : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_0_sequence_psr : entity is "sequence_psr"; end zynq_design_1_rst_ps7_0_100M_0_sequence_psr; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_0_sequence_psr is signal \^core\ : STD_LOGIC; signal Core_i_1_n_0 : STD_LOGIC; signal \^bsr\ : STD_LOGIC; signal \bsr_dec_reg_n_0_[0]\ : STD_LOGIC; signal \bsr_dec_reg_n_0_[2]\ : STD_LOGIC; signal bsr_i_1_n_0 : STD_LOGIC; signal \core_dec[0]_i_1_n_0\ : STD_LOGIC; signal \core_dec[2]_i_1_n_0\ : STD_LOGIC; signal \core_dec_reg_n_0_[0]\ : STD_LOGIC; signal \core_dec_reg_n_0_[1]\ : STD_LOGIC; signal from_sys_i_1_n_0 : STD_LOGIC; signal p_0_in : STD_LOGIC; signal p_3_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^pr\ : STD_LOGIC; signal \pr_dec0__0\ : STD_LOGIC; signal \pr_dec_reg_n_0_[0]\ : STD_LOGIC; signal \pr_dec_reg_n_0_[2]\ : STD_LOGIC; signal pr_i_1_n_0 : STD_LOGIC; signal seq_clr : STD_LOGIC; signal seq_cnt : STD_LOGIC_VECTOR ( 5 downto 0 ); signal seq_cnt_en : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn[0]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn[0]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of Core_i_1 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \bsr_dec[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of bsr_i_1 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \core_dec[0]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \core_dec[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of from_sys_i_1 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \pr_dec[0]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of pr_i_1 : label is "soft_lutpair4"; begin Core <= \^core\; bsr <= \^bsr\; pr <= \^pr\; \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^bsr\, O => \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ ); \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^pr\, O => \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ ); Core_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^core\, I1 => p_0_in, O => Core_i_1_n_0 ); Core_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => Core_i_1_n_0, Q => \^core\, S => lpf_int ); SEQ_COUNTER: entity work.zynq_design_1_rst_ps7_0_100M_0_upcnt_n port map ( Q(5 downto 0) => seq_cnt(5 downto 0), seq_clr => seq_clr, seq_cnt_en => seq_cnt_en, slowest_sync_clk => slowest_sync_clk ); \bsr_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0804" ) port map ( I0 => seq_cnt_en, I1 => seq_cnt(3), I2 => seq_cnt(5), I3 => seq_cnt(4), O => p_5_out(0) ); \bsr_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \bsr_dec_reg_n_0_[0]\, O => p_5_out(2) ); \bsr_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_5_out(0), Q => \bsr_dec_reg_n_0_[0]\, R => '0' ); \bsr_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_5_out(2), Q => \bsr_dec_reg_n_0_[2]\, R => '0' ); bsr_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^bsr\, I1 => \bsr_dec_reg_n_0_[2]\, O => bsr_i_1_n_0 ); bsr_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => bsr_i_1_n_0, Q => \^bsr\, S => lpf_int ); \core_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8040" ) port map ( I0 => seq_cnt(4), I1 => seq_cnt(3), I2 => seq_cnt(5), I3 => seq_cnt_en, O => \core_dec[0]_i_1_n_0\ ); \core_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \core_dec_reg_n_0_[0]\, O => \core_dec[2]_i_1_n_0\ ); \core_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \core_dec[0]_i_1_n_0\, Q => \core_dec_reg_n_0_[0]\, R => '0' ); \core_dec_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \pr_dec0__0\, Q => \core_dec_reg_n_0_[1]\, R => '0' ); \core_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \core_dec[2]_i_1_n_0\, Q => p_0_in, R => '0' ); from_sys_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^core\, I1 => seq_cnt_en, O => from_sys_i_1_n_0 ); from_sys_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => from_sys_i_1_n_0, Q => seq_cnt_en, S => lpf_int ); pr_dec0: unisim.vcomponents.LUT4 generic map( INIT => X"0210" ) port map ( I0 => seq_cnt(0), I1 => seq_cnt(1), I2 => seq_cnt(2), I3 => seq_cnt_en, O => \pr_dec0__0\ ); \pr_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"1080" ) port map ( I0 => seq_cnt_en, I1 => seq_cnt(5), I2 => seq_cnt(3), I3 => seq_cnt(4), O => p_3_out(0) ); \pr_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \pr_dec_reg_n_0_[0]\, O => p_3_out(2) ); \pr_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(0), Q => \pr_dec_reg_n_0_[0]\, R => '0' ); \pr_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(2), Q => \pr_dec_reg_n_0_[2]\, R => '0' ); pr_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^pr\, I1 => \pr_dec_reg_n_0_[2]\, O => pr_i_1_n_0 ); pr_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => pr_i_1_n_0, Q => \^pr\, S => lpf_int ); seq_clr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => '1', Q => seq_clr, R => lpf_int ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute C_AUX_RESET_HIGH : string; attribute C_AUX_RESET_HIGH of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset : entity is "1'b0"; attribute C_AUX_RST_WIDTH : integer; attribute C_AUX_RST_WIDTH of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset : entity is 4; attribute C_EXT_RESET_HIGH : string; attribute C_EXT_RESET_HIGH of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset : entity is "1'b0"; attribute C_EXT_RST_WIDTH : integer; attribute C_EXT_RST_WIDTH of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset : entity is 4; attribute C_FAMILY : string; attribute C_FAMILY of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset : entity is "zynq"; attribute C_NUM_BUS_RST : integer; attribute C_NUM_BUS_RST of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset : entity is 1; attribute C_NUM_INTERCONNECT_ARESETN : integer; attribute C_NUM_INTERCONNECT_ARESETN of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset : entity is 1; attribute C_NUM_PERP_ARESETN : integer; attribute C_NUM_PERP_ARESETN of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset : entity is 1; attribute C_NUM_PERP_RST : integer; attribute C_NUM_PERP_RST of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset : entity is "proc_sys_reset"; end zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset is signal Core : STD_LOGIC; signal SEQ_n_3 : STD_LOGIC; signal SEQ_n_4 : STD_LOGIC; signal bsr : STD_LOGIC; signal lpf_int : STD_LOGIC; signal pr : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ : label is "no"; attribute equivalent_register_removal of \BSR_OUT_DFF[0].bus_struct_reset_reg[0]\ : label is "no"; attribute equivalent_register_removal of \PR_OUT_DFF[0].peripheral_reset_reg[0]\ : label is "no"; begin \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => '1', D => SEQ_n_3, Q => interconnect_aresetn(0), R => '0' ); \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => '1', D => SEQ_n_4, Q => peripheral_aresetn(0), R => '0' ); \BSR_OUT_DFF[0].bus_struct_reset_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => bsr, Q => bus_struct_reset(0), R => '0' ); EXT_LPF: entity work.zynq_design_1_rst_ps7_0_100M_0_lpf port map ( aux_reset_in => aux_reset_in, dcm_locked => dcm_locked, ext_reset_in => ext_reset_in, lpf_int => lpf_int, mb_debug_sys_rst => mb_debug_sys_rst, slowest_sync_clk => slowest_sync_clk ); \PR_OUT_DFF[0].peripheral_reset_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => pr, Q => peripheral_reset(0), R => '0' ); SEQ: entity work.zynq_design_1_rst_ps7_0_100M_0_sequence_psr port map ( \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ => SEQ_n_3, \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ => SEQ_n_4, Core => Core, bsr => bsr, lpf_int => lpf_int, pr => pr, slowest_sync_clk => slowest_sync_clk ); mb_reset_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => Core, Q => mb_reset, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity zynq_design_1_rst_ps7_0_100M_0 is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of zynq_design_1_rst_ps7_0_100M_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of zynq_design_1_rst_ps7_0_100M_0 : entity is "zynq_design_1_rst_ps7_0_100M_0,proc_sys_reset,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of zynq_design_1_rst_ps7_0_100M_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of zynq_design_1_rst_ps7_0_100M_0 : entity is "proc_sys_reset,Vivado 2017.2"; end zynq_design_1_rst_ps7_0_100M_0; architecture STRUCTURE of zynq_design_1_rst_ps7_0_100M_0 is attribute C_AUX_RESET_HIGH : string; attribute C_AUX_RESET_HIGH of U0 : label is "1'b0"; attribute C_AUX_RST_WIDTH : integer; attribute C_AUX_RST_WIDTH of U0 : label is 4; attribute C_EXT_RESET_HIGH : string; attribute C_EXT_RESET_HIGH of U0 : label is "1'b0"; attribute C_EXT_RST_WIDTH : integer; attribute C_EXT_RST_WIDTH of U0 : label is 4; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_NUM_BUS_RST : integer; attribute C_NUM_BUS_RST of U0 : label is 1; attribute C_NUM_INTERCONNECT_ARESETN : integer; attribute C_NUM_INTERCONNECT_ARESETN of U0 : label is 1; attribute C_NUM_PERP_ARESETN : integer; attribute C_NUM_PERP_ARESETN of U0 : label is 1; attribute C_NUM_PERP_RST : integer; attribute C_NUM_PERP_RST of U0 : label is 1; begin U0: entity work.zynq_design_1_rst_ps7_0_100M_0_proc_sys_reset port map ( aux_reset_in => aux_reset_in, bus_struct_reset(0) => bus_struct_reset(0), dcm_locked => dcm_locked, ext_reset_in => ext_reset_in, interconnect_aresetn(0) => interconnect_aresetn(0), mb_debug_sys_rst => mb_debug_sys_rst, mb_reset => mb_reset, peripheral_aresetn(0) => peripheral_aresetn(0), peripheral_reset(0) => peripheral_reset(0), slowest_sync_clk => slowest_sync_clk ); end STRUCTURE;
-- LEON4 Statistics Module constant CFG_STAT_ENABLE : integer := CONFIG_STAT_ENABLE; constant CFG_STAT_CNT : integer := CONFIG_STAT_CNT; constant CFG_STAT_NMAX : integer := CONFIG_STAT_NMAX;
------------------------------------------------------------------------------- --! @project Iterated hardware implementation of Asconv12864 --! @author Michael Fivez --! @license This project is released under the GNU Public License. --! The license and distribution terms for this file may be --! found in the file LICENSE in this distribution or at --! http://www.gnu.org/licenses/gpl-3.0.txt --! @note This is an hardware implementation made for my graduation thesis --! at the KULeuven, in the COSIC department (year 2015-2016) --! The thesis is titled 'Energy efficient hardware implementations of CAESAR submissions', --! and can be found on the COSIC website (www.esat.kuleuven.be/cosic/publications) ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Ascon_StateUpdate_datapath is port( Clk : in std_logic; -- Clock Reset : in std_logic; -- Reset (synchronous) -- Control signals RoundNr : in std_logic_vector(3 downto 0); -- biggest round is 12 sel1,sel2,sel3,sel4 : in std_logic_vector(1 downto 0); sel0 : in std_logic_vector(2 downto 0); selout : in std_logic; Reg0En,Reg1En,Reg2En,Reg3En,Reg4En,RegOutEn : in std_logic; ActivateGen : in std_logic; GenSize : in std_logic_vector(2 downto 0); -- Data signals IV : in std_logic_vector(127 downto 0); Key : in std_logic_vector(127 downto 0); DataIn : in std_logic_vector(63 downto 0); DataOut : out std_logic_vector(127 downto 0) ); end entity Ascon_StateUpdate_datapath; architecture structural of Ascon_StateUpdate_datapath is -- constants constant EXTRAIV : std_logic_vector(63 downto 0) := x"80400c0600000000"; -- used in the initialization constant SEPCONSTANT : std_logic_vector(63 downto 0) := x"0000000000000001"; constant ADCONSTANT : std_logic_vector(63 downto 0) := x"8000000000000000"; -- Register signals signal Reg0In,Reg1In,Reg2In,Reg3In,Reg4In : std_logic_vector(63 downto 0); signal Reg0Out,Reg1Out,Reg2Out,Reg3Out,Reg4Out : std_logic_vector(63 downto 0); signal RegOutIn,RegOutOut : std_logic_vector(127 downto 0); -- Internal signals on datapath signal SboxOut0,SboxOut1,SboxOut2,SboxOut3,SboxOut4 : std_logic_vector(63 downto 0); signal DiffOut0,DiffOut1,DiffOut2,DiffOut3,DiffOut4 : std_logic_vector(63 downto 0); signal XorReg01,XorReg02,XorReg12,XorReg13,XorReg22 : std_logic_vector(63 downto 0); signal XorReg2,XorReg31,XorReg4 : std_logic_vector(63 downto 0); signal OutSig0: std_logic_vector(63 downto 0); signal OutSig1: std_logic_vector(127 downto 0); begin -- declare and connect all sub entities sbox: entity work.Sbox port map(Reg0Out,Reg1Out,Reg2Out,Reg3Out,Reg4Out,RoundNr,SboxOut0,SboxOut1,SboxOut2,SboxOut3,SboxOut4); difflayer: entity work.FullDiffusionLayer port map(SboxOut0,SboxOut1,SboxOut2,SboxOut3,SboxOut4,DiffOut0,DiffOut1,DiffOut2,DiffOut3,DiffOut4); outpgen: entity work.OutputGenerator port map(Reg0Out,DataIn,GenSize,ActivateGen,XorReg01,OutSig0); -- ActivateGen is a bit that indicates decryption or not --------------------------------------------- ------ Combinatorial logic for a round ------ --------------------------------------------- datapath: process(IV,Key,DataIn,Reg0Out,Reg1Out,Reg2Out,Reg3Out,Reg4Out,RegOutOut, -- inputs blocks and registers SboxOut0,SboxOut1,SboxOut2,SboxOut3,SboxOut4,DiffOut0,DiffOut1,DiffOut2,DiffOut3,DiffOut4, -- internal signals XorReg01,XorReg02,XorReg12,XorReg13,XorReg22,XorReg2,XorReg31,XorReg4,OutSig0,OutSig1, -- internal signals RoundNr,sel0,sel1,sel2,sel3,sel4,ActivateGen,selout,GenSize) is -- control signals begin -- Set correct inputs in registers if sel0 = "000" then Reg0In <= DiffOut0; elsif sel0 = "001" then Reg0In <= EXTRAIV; elsif sel0 = "010" then Reg0In <= XorReg01; elsif sel0 = "011" then Reg0In <= XorReg02; else Reg0In <= Reg0Out xor ADCONSTANT; end if; if sel1 = "00" then Reg1In <= DiffOut1; elsif sel1 = "01" then Reg1In <= Key(127 downto 64); elsif sel1 = "10" then Reg1In <= XorReg13; else Reg1In <= XorReg12; end if; if sel2 = "00" then Reg2In <= DiffOut2; elsif sel2 = "01" then Reg2In <= Key(63 downto 0); elsif sel2 = "10" then Reg2In <= XorReg2; else Reg2In <= XorReg22; end if; if sel3 = "00" then Reg3In <= DiffOut3; elsif sel3 = "01" then Reg3In <= IV(127 downto 64); else Reg3In <= XorReg31; end if; if sel4 = "00" then Reg4In <= DiffOut4; elsif sel4 = "01" then Reg4In <= IV(63 downto 0); elsif sel4 = "10" then Reg4In <= XorReg4; else Reg4In <= Reg4Out xor SEPCONSTANT; end if; XorReg02 <= Reg0Out xor Key(127 downto 64); XorReg12 <= Reg1Out xor Key(63 downto 0); XorReg13 <= Reg1Out xor Key(127 downto 64); XorReg22 <= Reg2Out xor Key(63 downto 0); XorReg31 <= Reg3Out xor Key(127 downto 64); XorReg4 <= Reg4Out xor Key(63 downto 0); -- Set output OutSig1(127 downto 64) <= XorReg31; OutSig1(63 downto 0) <= XorReg4; if selout = '0' then RegOutIn(127 downto 64) <= (others => '0'); RegOutIn(63 downto 0) <= OutSig0; else RegOutIn <= OutSig1; end if; DataOut <= RegOutOut; end process datapath; --------------------------------------------- ------ The registers in the datapath -------- --------------------------------------------- registerdatapath : process(Clk,Reset) is begin if(Clk = '1' and Clk'event) then if Reset = '1' then -- synchronous reset Reg0Out <= (others => '0'); Reg1Out <= (others => '0'); Reg2Out <= (others => '0'); Reg3Out <= (others => '0'); Reg4Out <= (others => '0'); RegOutOut <= (others => '0'); else -- update registers with enable if Reg0En = '1' then Reg0Out <= Reg0In; end if; if Reg1En = '1' then Reg1Out <= Reg1In; end if; if Reg2En = '1' then Reg2Out <= Reg2In; end if; if Reg3En = '1' then Reg3Out <= Reg3In; end if; if Reg4En = '1' then Reg4Out <= Reg4In; end if; if RegOutEn = '1' then RegOutOut <= RegOutIn; end if; end if; end if; end process registerdatapath; end architecture structural;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2605.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02605ent IS END c13s03b01x00p02n01i02605ent; ARCHITECTURE c13s03b01x00p02n01i02605arch OF c13s03b01x00p02n01i02605ent IS BEGIN TESTING: PROCESS variable k< : integer := 0; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02605 - Identifier can not end with '<'." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02605arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2605.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02605ent IS END c13s03b01x00p02n01i02605ent; ARCHITECTURE c13s03b01x00p02n01i02605arch OF c13s03b01x00p02n01i02605ent IS BEGIN TESTING: PROCESS variable k< : integer := 0; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02605 - Identifier can not end with '<'." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02605arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2605.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c13s03b01x00p02n01i02605ent IS END c13s03b01x00p02n01i02605ent; ARCHITECTURE c13s03b01x00p02n01i02605arch OF c13s03b01x00p02n01i02605ent IS BEGIN TESTING: PROCESS variable k< : integer := 0; BEGIN assert FALSE report "***FAILED TEST: c13s03b01x00p02n01i02605 - Identifier can not end with '<'." severity ERROR; wait; END PROCESS TESTING; END c13s03b01x00p02n01i02605arch;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity F_DIV50000000 is Port ( F_IN : in std_logic; -- Eingangsfrequenz F_OUT : out std_logic); -- Ausgangsfrequen -- FOUT ändert sich mit der -- 0/1-Flanke von F_IN end F_DIV50000000; architecture Behavioral of F_DIV50000000 is signal COUNTER : integer; -- Maximalwert: Teilungsfaktor - 1 begin process (F_IN,COUNTER ) begin if (F_IN'event and F_IN = '1') then -- am Eingang des Frequenzteilers ist eine 0/1-Flanke aufgetreten if COUNTER = 0 then COUNTER <= 49999999; -- Teilungsfaktor -1 else COUNTER <= COUNTER -1; end if; end if; if COUNTER < 25000000 -- Teilungsfaktor / 2 (abgerundet) then F_OUT <= '0'; else F_OUT <= '1'; end if; end process; end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity F_DIV50000000 is Port ( F_IN : in std_logic; -- Eingangsfrequenz F_OUT : out std_logic); -- Ausgangsfrequen -- FOUT ändert sich mit der -- 0/1-Flanke von F_IN end F_DIV50000000; architecture Behavioral of F_DIV50000000 is signal COUNTER : integer; -- Maximalwert: Teilungsfaktor - 1 begin process (F_IN,COUNTER ) begin if (F_IN'event and F_IN = '1') then -- am Eingang des Frequenzteilers ist eine 0/1-Flanke aufgetreten if COUNTER = 0 then COUNTER <= 49999999; -- Teilungsfaktor -1 else COUNTER <= COUNTER -1; end if; end if; if COUNTER < 25000000 -- Teilungsfaktor / 2 (abgerundet) then F_OUT <= '0'; else F_OUT <= '1'; end if; end process; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package TIA_NTSCLookups is constant sync_level: unsigned(7 downto 0) := X"05";--was 05 is 0 constant blank_level: unsigned(7 downto 0) := X"5a";--was 5a is 41 type lum_lut_type is array (0 to 7) of unsigned(7 downto 0); constant lum_lut: lum_lut_type := ( X"6a", X"74", X"7e", X"88", X"91", X"9b", X"a5", X"af"); type col_lut_type is array (0 to 255) of unsigned(7 downto 0); constant col_lut: col_lut_type := ( X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"00", X"f8", X"f1", X"ec", X"eb", X"ec", X"f1", X"f8", X"00", X"08", X"0f", X"14", X"15", X"14", X"0f", X"08", X"0a", X"02", X"f9", X"f2", X"ed", X"eb", X"ec", X"f0", X"f6", X"fe", X"07", X"0e", X"13", X"15", X"14", X"10", X"11", X"0b", X"03", X"fb", X"f4", X"ee", X"eb", X"eb", X"ef", X"f5", X"fd", X"05", X"0c", X"12", X"15", X"15", X"15", X"12", X"0d", X"05", X"fd", X"f5", X"ef", X"eb", X"eb", X"ee", X"f3", X"fb", X"03", X"0b", X"11", X"15", X"14", X"15", X"13", X"0e", X"07", X"fe", X"f6", X"f0", X"ec", X"eb", X"ed", X"f2", X"f9", X"02", X"0a", X"10", X"0f", X"14", X"15", X"14", X"0f", X"08", X"00", X"f8", X"f1", X"ec", X"eb", X"ec", X"f1", X"f8", X"00", X"08", X"06", X"0e", X"13", X"15", X"14", X"10", X"0a", X"02", X"fa", X"f2", X"ed", X"eb", X"ec", X"f0", X"f6", X"fe", X"fd", X"05", X"0c", X"12", X"15", X"15", X"11", X"0b", X"03", X"fb", X"f4", X"ee", X"eb", X"eb", X"ef", X"f5", X"f3", X"fb", X"03", X"0b", X"11", X"15", X"15", X"12", X"0d", X"05", X"fd", X"f5", X"ef", X"eb", X"eb", X"ee", X"ed", X"f2", X"f9", X"01", X"09", X"10", X"14", X"15", X"13", X"0e", X"07", X"ff", X"f7", X"f0", X"ec", X"eb", X"eb", X"ec", X"f1", X"f8", X"00", X"08", X"0f", X"14", X"15", X"14", X"0f", X"08", X"00", X"f8", X"f1", X"ec", X"ed", X"eb", X"ec", X"f0", X"f6", X"fe", X"06", X"0e", X"13", X"15", X"14", X"10", X"0a", X"02", X"fa", X"f2", X"f4", X"ee", X"eb", X"eb", X"ef", X"f5", X"fc", X"05", X"0c", X"12", X"15", X"15", X"11", X"0b", X"04", X"fb", X"fd", X"f5", X"ef", X"eb", X"eb", X"ee", X"f3", X"fb", X"03", X"0b", X"11", X"15", X"15", X"12", X"0d", X"05", X"07", X"ff", X"f7", X"f0", X"ec", X"eb", X"ed", X"f2", X"f9", X"01", X"09", X"10", X"14", X"15", X"13", X"0e"); end TIA_NTSCLookups; package body TIA_NTSCLookups is end TIA_NTSCLookups;
library ieee; use ieee.std_logic_1164.all; entity cmp_880 is port ( eq : out std_logic; in1 : in std_logic_vector(31 downto 0); in0 : in std_logic_vector(31 downto 0) ); end cmp_880; architecture augh of cmp_880 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in1 /= in0 else '1'; -- Set the outputs eq <= tmp; end architecture;
library ieee; use ieee.std_logic_1164.all; entity cmp_880 is port ( eq : out std_logic; in1 : in std_logic_vector(31 downto 0); in0 : in std_logic_vector(31 downto 0) ); end cmp_880; architecture augh of cmp_880 is signal tmp : std_logic; begin -- Compute the result tmp <= '0' when in1 /= in0 else '1'; -- Set the outputs eq <= tmp; end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: grlfpwx -- File: grlfpwx.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: GRFPU LITE / GRFPC wrapper and FP register file ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; library grlib; use grlib.stdlib.all; library gaisler; use gaisler.leon3.all; use gaisler.libleon3.all; use gaisler.libfpu.all; library techmap; use techmap.gencomp.all; use techmap.netcomp.all; entity grlfpwx is generic ( tech : integer := 0; pclow : integer range 0 to 2 := 2; dsu : integer range 0 to 1 := 0; disas : integer range 0 to 2 := 0; pipe : integer := 0; netlist : integer := 0; index : integer := 0); port ( rst : in std_ulogic; -- Reset clk : in std_ulogic; holdn : in std_ulogic; -- pipeline hold cpi : in fpc_in_type; cpo : out fpc_out_type ); end; architecture rtl of grlfpwx is signal rfi1, rfi2 : fp_rf_in_type; signal rfo1, rfo2 : fp_rf_out_type; begin x1 : if true generate grlfpw0 : grlfpw_net generic map (tech, pclow, dsu, disas, pipe) port map ( rst , clk , holdn , cpi.flush , cpi.exack , cpi.a_rs1 , cpi.d.pc , cpi.d.inst , cpi.d.cnt , cpi.d.trap , cpi.d.annul , cpi.d.pv , cpi.a.pc , cpi.a.inst , cpi.a.cnt , cpi.a.trap , cpi.a.annul , cpi.a.pv , cpi.e.pc , cpi.e.inst , cpi.e.cnt , cpi.e.trap , cpi.e.annul , cpi.e.pv , cpi.m.pc , cpi.m.inst , cpi.m.cnt , cpi.m.trap , cpi.m.annul , cpi.m.pv , cpi.x.pc , cpi.x.inst , cpi.x.cnt , cpi.x.trap , cpi.x.annul , cpi.x.pv , cpi.lddata , cpi.dbg.enable , cpi.dbg.write , cpi.dbg.fsr , cpi.dbg.addr , cpi.dbg.data , cpo.data , cpo.exc , cpo.cc , cpo.ccv , cpo.ldlock , cpo.holdn , cpo.dbg.data , rfi1.rd1addr , rfi1.rd2addr , rfi1.wraddr , rfi1.wrdata , rfi1.ren1 , rfi1.ren2 , rfi1.wren , rfi2.rd1addr , rfi2.rd2addr , rfi2.wraddr , rfi2.wrdata , rfi2.ren1 , rfi2.ren2 , rfi2.wren , rfo1.data1 , rfo1.data2 , rfo2.data1 , rfo2.data2 ); end generate; rf1 : regfile_3p_l3 generic map (tech, 4, 32, 1, 16 ) port map (clk, rfi1.wraddr, rfi1.wrdata, rfi1.wren, clk, rfi1.rd1addr, rfi1.ren1, rfo1.data1, rfi1.rd2addr, rfi1.ren2, rfo1.data2 ); rf2 : regfile_3p_l3 generic map (tech, 4, 32, 1, 16 ) port map (clk, rfi2.wraddr, rfi2.wrdata, rfi2.wren, clk, rfi2.rd1addr, rfi2.ren1, rfo2.data1, rfi2.rd2addr, rfi2.ren2, rfo2.data2 ); end;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- board options constant CFG_ADS_DAU_MEZZ : integer := 1; -- Technology and synthesis options constant CFG_FABTECH : integer := spartan3; constant CFG_MEMTECH : integer := spartan3; constant CFG_PADTECH : integer := spartan3; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan3; constant CFG_CLKMUL : integer := (3); constant CFG_CLKDIV : integer := (5); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 1; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 1; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 1; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 1; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 4 + 64*0; constant CFG_ATBSZ : integer := 4; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000013#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 1; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- CAN 2.0 interface constant CFG_CAN : integer := 1; constant CFG_CANIO : integer := 16#C00#; constant CFG_CANIRQ : integer := (13); constant CFG_CANLOOP : integer := 0; constant CFG_CAN_SYNCRST : integer := 0; constant CFG_CANFT : integer := 0; -- GRPCI2 interface constant CFG_GRPCI2_MASTER : integer := 1; constant CFG_GRPCI2_TARGET : integer := 1; constant CFG_GRPCI2_DMA : integer := 0; constant CFG_GRPCI2_VID : integer := 16#1AC8#; constant CFG_GRPCI2_DID : integer := 16#0054#; constant CFG_GRPCI2_CLASS : integer := 16#000000#; constant CFG_GRPCI2_RID : integer := 16#00#; constant CFG_GRPCI2_CAP : integer := 16#40#; constant CFG_GRPCI2_NCAP : integer := 16#00#; constant CFG_GRPCI2_BAR0 : integer := (26); constant CFG_GRPCI2_BAR1 : integer := (0); constant CFG_GRPCI2_BAR2 : integer := (0); constant CFG_GRPCI2_BAR3 : integer := (0); constant CFG_GRPCI2_BAR4 : integer := (0); constant CFG_GRPCI2_BAR5 : integer := (0); constant CFG_GRPCI2_FDEPTH : integer := 3; constant CFG_GRPCI2_FCOUNT : integer := 2; constant CFG_GRPCI2_ENDIAN : integer := 0; constant CFG_GRPCI2_DEVINT : integer := 0; constant CFG_GRPCI2_DEVINTMSK : integer := 16#0#; constant CFG_GRPCI2_HOSTINT : integer := 0; constant CFG_GRPCI2_HOSTINTMSK: integer := 16#0#; constant CFG_GRPCI2_TRACE : integer := 0; constant CFG_GRPCI2_TRACEAPB : integer := 0; constant CFG_GRPCI2_BYPASS : integer := 0; constant CFG_GRPCI2_EXTCFG : integer := (0); -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 8; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 1; constant CFG_VGA_ENABLE : integer := 0; constant CFG_SVGA_ENABLE : integer := 1; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; library bitvis_vip_sbi; context bitvis_vip_sbi.vvc_context; library bitvis_vip_uart; context bitvis_vip_uart.vvc_context; use bitvis_vip_uart.monitor_cmd_pkg.all; library bitvis_vip_clock_generator; context bitvis_vip_clock_generator.vvc_context; --hdlunit:tb -- Test bench entity entity uvvm_demo_tb is end entity uvvm_demo_tb; -- Test bench architecture architecture func of uvvm_demo_tb is constant C_SCOPE : string := C_TB_SCOPE_DEFAULT; constant C_MONITOR_SCOPE : string := "UART Monitor"; -- Clock and bit period settings constant C_CLK_PERIOD : time := 10 ns; constant C_BIT_PERIOD : time := 16 * C_CLK_PERIOD; -- Predefined SBI addresses constant C_ADDR_RX_DATA : unsigned(2 downto 0) := "000"; constant C_ADDR_RX_DATA_VALID : unsigned(2 downto 0) := "001"; constant C_ADDR_TX_DATA : unsigned(2 downto 0) := "010"; constant C_ADDR_TX_READY : unsigned(2 downto 0) := "011"; -- Activity watchdog VVC inactivity timeout constant C_ACTIVITY_WATCHDOG_TIMEOUT : time := 50 * C_BIT_PERIOD; -- Watchdog timer control signal constant C_GENERAL_WATCHDOG_TIMEOUT : time := 1 sec; -- never timeout during DEMO TB signal watchdog_ctrl_terminate : t_watchdog_ctrl := C_WATCHDOG_CTRL_DEFAULT; signal watchdog_ctrl_init : t_watchdog_ctrl := C_WATCHDOG_CTRL_DEFAULT; signal watchdog_ctrl_extend : t_watchdog_ctrl := C_WATCHDOG_CTRL_DEFAULT; signal watchdog_ctrl_reinit : t_watchdog_ctrl := C_WATCHDOG_CTRL_DEFAULT; begin ------------------------------------------------ -- Process: general watchdog timer ------------------------------------------------ -- Note: these timers should have a minimum timeout that -- covers all the tests in this testbench or else it will fail. watchdog_timer(watchdog_ctrl_terminate, C_GENERAL_WATCHDOG_TIMEOUT, ERROR, "Watchdog A"); watchdog_timer(watchdog_ctrl_init, C_GENERAL_WATCHDOG_TIMEOUT, ERROR, "Watchdog B"); watchdog_timer(watchdog_ctrl_extend, C_GENERAL_WATCHDOG_TIMEOUT, ERROR, "Watchdog C"); watchdog_timer(watchdog_ctrl_reinit, C_GENERAL_WATCHDOG_TIMEOUT, ERROR, "Watchdog D"); ----------------------------------------------------------------------------- -- Instantiate test harness, containing DUT and Executors -- -- Map timing constants and DUT addresses for VIPs and Model ----------------------------------------------------------------------------- i_test_harness : entity work.uvvm_demo_th generic map( GC_CLK_PERIOD => C_CLK_PERIOD, GC_BIT_PERIOD => C_BIT_PERIOD, GC_ADDR_RX_DATA => C_ADDR_RX_DATA, GC_ADDR_RX_DATA_VALID => C_ADDR_RX_DATA_VALID, GC_ADDR_TX_DATA => C_ADDR_TX_DATA, GC_ADDR_TX_READY => C_ADDR_TX_READY, GC_ACTIVITY_WATCHDOG_TIMEOUT => C_ACTIVITY_WATCHDOG_TIMEOUT ); ------------------------------------------------ -- PROCESS: p_main ------------------------------------------------ p_main: process variable v_data : std_logic_vector(7 downto 0); -- Description: -- -- 1. UART TX VVC is configured with parity and stop bit error -- probability from 0-100%, and transmits data to the DUT. -- 2. Model will put expected data on SBI Scoreboard. -- 3. Model will issue a SBI VVC read request. -- 4. SBI VVC will put actual data on SBI Scoreboard. -- 5. UART Monitor (and DUT) will alert when any illegal transaction -- is detected. -- 6. Sequencer present SBI Scoreboard statistics. -- procedure test_error_injection(void : t_void) is variable v_prob : real; begin log(ID_LOG_HDR_XL, "Test error injection.\n\n"& "UART TX VVC is used to randomly send error injected data to DUT.\n"& "The UART Monitor will report any transfer errors detected.", C_SCOPE); -- Print info log(ID_SEQUENCER, "Note: SBI_READ() is requested by Model.\nResults are checked in Scoreboard.\n", C_SCOPE); -- Set UART TX VVC error injection probability to 0%. -- Note that error injection is set to C_VVC_ERROR_INJECTION_INACTIVE in vvc_methods_pkg -- when the VVCs are initialized. shared_uart_vvc_config(TX,1).error_injection.parity_bit_error_prob := 0.0; shared_uart_vvc_config(TX,1).error_injection.stop_bit_error_prob := 0.0; log(ID_LOG_HDR, "Performing 10x SBI Write and UART Reveive with random parity bit error injection", C_SCOPE); -- This test will use UART TX VVC to write data to DUT, with randomly inserted parity bit error injection. -- The probability of an error injection will increase with 10% for each write access. -- Note that DUT will alert parity bit error, and Monitor will report illegal transaction. for idx in 1 to 10 loop -- Get write data and error injection probability v_data := std_logic_vector(to_unsigned(idx, v_data'length)); v_prob := real(idx) / real(10); -- Configure the parity bit error injection probability log(ID_SEQUENCER, "\nSetting parity error probability to " & to_string(v_prob) & "%", C_SCOPE); shared_uart_vvc_config(TX,1).error_injection.parity_bit_error_prob := v_prob; -- Request UART TX VVC write uart_transmit(UART_VVCT,1,TX, v_data, "UART TX"); await_completion(UART_VVCT,1,TX, 16 * C_BIT_PERIOD); wait for 200 ns; -- margin -- Add delay for DUT to prepare for next transaction insert_delay(UART_VVCT, 1, TX, C_BIT_PERIOD, "Insert delay before next UART TX"); end loop; -- Set UART TX VVC parity bit error injection probability to 0%, i.e. off. log(ID_SEQUENCER, "\nSetting parity error probability to 0%", C_SCOPE); shared_uart_vvc_config(TX,1).error_injection.parity_bit_error_prob := 0.0; log(ID_LOG_HDR, "Performing 10x SBI Write and UART Reveive with random stop bit error injection", C_SCOPE); -- This test will use UART TX VVC to write data to DUT, with randomly inserted stop bit error injection. -- The probability of an error injection will increase with 10% for each write access. -- Note that DUT will alert stop bit error, and Monitor will report illegal transaction. for idx in 1 to 10 loop -- Get write data and error injection probability v_data := std_logic_vector(to_unsigned(idx, v_data'length)); v_prob := real(idx) / real(10); -- Configure the parity bit error injection probability log(ID_SEQUENCER, "\nSetting stop error probability to " & to_string(v_prob) & "%", C_SCOPE); shared_uart_vvc_config(TX,1).error_injection.stop_bit_error_prob := v_prob; -- Request UART TX VVC write uart_transmit(UART_VVCT,1,TX, v_data, "UART TX"); await_completion(UART_VVCT,1,TX, 16 * C_BIT_PERIOD); wait for 200 ns; -- margin -- Add delay for DUT to prepare for next transaction insert_delay(UART_VVCT, 1, TX, C_BIT_PERIOD, "Insert delay before next UART TX"); end loop; -- Set UART TX VVC stop bit error injection probability to 0%, i.e. off. log(ID_SEQUENCER, "\nSetting stop error probability to 0%", C_SCOPE); shared_uart_vvc_config(TX,1).error_injection.stop_bit_error_prob := 0.0; -- Print report of Scoreboard counters SBI_VVC_SB.report_counters(VOID); -- Empty SB for next test SBI_VVC_SB.reset("Empty SB for next test"); -- Add small delay before next test wait for 3 * C_BIT_PERIOD; end procedure test_error_injection; -- Description: -- -- 1. UART TX VVC is instructed to send 1 and 3 randomised -- data to DUT. -- 2. Model will put expected data on SBI Scoreboard. -- 3. Model will issue a SBI VVC read request. -- 4. SBI VVC will put actual data on SBI Scoreboard. -- 5. Sequencer present SBI Scoreboard statistics. -- procedure test_randomise(void : t_void) is begin log(ID_LOG_HDR_XL, "Test randomise data.\n\n"& "UART TX VVC is used to send randomised data to DUT.", C_SCOPE); -- Print info log(ID_SEQUENCER, "Note: SBI_READ() is requested by Model.\nResults are checked in Scoreboard.\n", C_SCOPE); log(ID_LOG_HDR, "Check 1 byte random transmit", C_SCOPE); -- This test will request the UART TX VVC to send a random byte to the DUT. -- SBI_READ() is requested by Model and the randomised data is checked in SB. uart_transmit(UART_VVCT, 1, TX, 1, RANDOM, "UART TX RANDOM"); await_completion(UART_VVCT, 1, TX, 13 * C_BIT_PERIOD); -- Add a delay for DUT to prepare for next transaction insert_delay(UART_VVCT, 1, TX, 20*C_CLK_PERIOD, "Insert delay before next UART TX"); log(ID_LOG_HDR, "Check 3 byte random transmit", C_SCOPE); -- This test will request the UART TX VVC to send 3 random bytes to the DUT. -- SBI_READ() is requested by Model and the randomised data is checked in SB. uart_transmit(UART_VVCT, 1, TX, 3, RANDOM, "UART TX RANDOM"); await_completion(UART_VVCT,1,TX, 3 * 13 * C_BIT_PERIOD); -- Wait for final SBI READ to finish and update SB await_completion(SBI_VVCT, 1, 13 * C_BIT_PERIOD); -- Print report of Scoreboard counters SBI_VVC_SB.report_counters(VOID); -- Empty SBI SB for next test SBI_VVC_SB.reset("Empty SB for next test"); -- Add small delay before next test wait for 3 * C_BIT_PERIOD; end procedure test_randomise; -- Description: -- -- 1. UART RX VVC is configured with control of a protocol checker (bit rate). -- 2. 6 bytes are transmitted using SBI VVC. -- 3. Protocol checker is reconfigured during the transfer of the 6 bytes, -- and will alert when bit rate is not within specs. -- 4. Model puts expected data on UART Scoreboard. -- 5. UART RX VVC receives data and puts actual data on UART Scoreboard. -- 6. Sequencer present UART Scoreboard statistics. -- procedure test_protocol_checker(void : t_void) is begin log(ID_LOG_HDR_XL, "Test protocol checker.\n\n"& "UART RX VVC is configured to control the bit rate checker,\n"& "which is will monitor the bit periods.", C_SCOPE); -- Print info log(ID_SEQUENCER, "Note: results are checked in Scoreboard.\n", C_SCOPE); -- Allow for some time to pass for bit rate checker calculations (a short stable period of the UART line). wait for C_BIT_PERIOD; -- Bit rate checker will alert when bit rate is not as expected. log(ID_SEQUENCER, "\nIncrease number of expected alerts with 5.", C_SCOPE); increment_expected_alerts(WARNING, 5); -- Enable and configure bit rate checker. -- Note that protocol checker (bit rate checker) is set to C_BIT_RATE_CHECKER_DEFAULT in vvc_methods_pkg -- when the VVCs are initilized. log(ID_SEQUENCER, "\nEnable and configure bit rate checker."); shared_uart_vvc_config(RX, 1).bit_rate_checker.enable := true; -- enable checker shared_uart_vvc_config(RX, 1).bit_rate_checker.min_period := C_BIT_PERIOD; -- set minimum alowed period -- Use SBI VVC to transmit 6 random bytes. -- The bit rate checker settings are changed during the 6 bytes to test various settings. log(ID_SEQUENCER, "\nSBI Write 6 bytes to DUT, UART Receive bytes from DUT. Changing protocol checker min_period during sequence.\n", C_SCOPE); for idx in 1 to 6 loop v_data := std_logic_vector(to_unsigned(idx+16#50#, 8)); -- + x50 to get more edges if idx = 3 then log(ID_SEQUENCER, "\nSetting bit rate checker min_period="&to_string(C_BIT_PERIOD * 0.95)&" (bit period="&to_string(C_BIT_PERIOD)&").", C_SCOPE); shared_uart_vvc_config(RX, 1).bit_rate_checker.min_period := C_BIT_PERIOD * 0.95; elsif idx = 4 then log(ID_SEQUENCER, "\nSetting bit rate checker min_period="&to_string(C_BIT_PERIOD * 1.05)&" (bit period="&to_string(C_BIT_PERIOD)&").", C_SCOPE); shared_uart_vvc_config(RX, 1).bit_rate_checker.min_period := C_BIT_PERIOD * 1.05; elsif idx = 5 then log(ID_SEQUENCER, "\nDisable bit rate checker.", C_SCOPE); shared_uart_vvc_config(RX, 1).bit_rate_checker.enable := false; end if; -- Request SBI Write and UART Receive sbi_write(SBI_VVCT,1, C_ADDR_TX_DATA, v_data, "SBI WRITE"); uart_receive(UART_VVCT, 1, RX, TO_SB, "UART TX"); await_completion(UART_VVCT, 1, RX, 20 * C_BIT_PERIOD); end loop; -- Print report of Scoreboard counters UART_VVC_SB.report_counters(VOID); -- Empty SB for next test UART_VVC_SB.reset("Empty SB for next test"); -- Add small delay before next test wait for 3 * C_BIT_PERIOD; end procedure test_protocol_checker; -- Description: -- -- 1. SBI VVC will send 3 bytes to the DUT. -- 2. Model will put expected data on UART Scoreboard. -- 3. UART RX VVC will read data from the DUT and put actual -- data on the UART Scoreboard. -- 4. All activity is stalled and Activity Watchdog will start -- timeout calculations. -- 5. Timeout is reached and Activity Watchdog alerts. -- 6. Step 1 to 3 is repeated. -- 7. Sequencer present UART Scoreboard statistics. -- procedure test_activity_watchdog(void : t_void) is begin log(ID_LOG_HDR_XL, "Test activity watchdog.\n\n"& "SBI VVC will transmit 3 bytes and UART RX VVC will receive 3 bytes,\n"& "before a pause of TB sequencer will make the activity watchdog\n"& "timeout and alert. Then a new 3 bytes transmit and receive sequence is performed.", C_SCOPE); -- Print info log(ID_SEQUENCER, "Note: results are checked in Scoreboard.\n", C_SCOPE); -- Activity Watchdog will alert when VVC inactivity cause timeout log(ID_SEQUENCER, "\nIncrease number of expected alerts for activity watchdog testing.", C_SCOPE); -- The activity watchdog timeout is tested, expect the number of alerts to increase. increment_expected_alerts(TB_ERROR, 1); -- To prevent activity watchdog from stopping the TB, increase the stop limit. set_alert_stop_limit(TB_ERROR, 2); log(ID_SEQUENCER, "\nSBI Write 3 bytes to DUT, UART Receive 5 bytes from DUT. No activity watchdog timeout.\n", C_SCOPE); -- Activate VVCs with write and receive activity. for idx in 1 to 3 loop v_data := std_logic_vector(to_unsigned(idx+16#50#, 8)); -- + x50 to get more edges sbi_write(SBI_VVCT,1, C_ADDR_TX_DATA, v_data, "DUT TX DATA"); uart_receive(UART_VVCT, 1, RX, TO_SB, "UART TX"); await_completion(UART_VVCT, 1, RX, 20 * C_BIT_PERIOD); end loop; log(ID_SEQUENCER, "\nStalling TB to trigger inactivity watchdog timeout.\n", C_SCOPE); -- Stall all VVC activity for the Activity Watchdog timeout period + 1 ns, -- this will make the watchdog alert. wait for C_ACTIVITY_WATCHDOG_TIMEOUT + 1 ns; log(ID_SEQUENCER, "\nSBI Write 3 bytes to DUT, UART Receive 5 bytes from DUT. No activity watchdog timeout.\n", C_SCOPE); -- Activate VVCs with write and receive activity. for idx in 1 to 3 loop v_data := std_logic_vector(to_unsigned(idx+16#50#, 8)); -- + x50 to get more edges sbi_write(SBI_VVCT,1, C_ADDR_TX_DATA, v_data, "DUT TX DATA"); uart_receive(UART_VVCT, 1, RX, TO_SB, "UART TX"); await_completion(UART_VVCT, 1, RX, 20 * C_BIT_PERIOD); end loop; -- Print report of Scoreboard counters UART_VVC_SB.report_counters(VOID); -- Empty SB for next test UART_VVC_SB.reset("Empty SB for next test"); -- Add small delay before next test wait for 3 * C_BIT_PERIOD; end procedure test_activity_watchdog; -- Description: -- -- 1. Watchdog A-D is reconfigured with a smaller timeout value. -- 2. Terminating of watchdog A is tested. -- 3. Timeout of watchdog B is tested. -- 4. Extending watchdog C timeout is tested, and timeout is tested. -- 5. Reinitialization of watchdog D is tested, and timeout is tested. -- procedure test_general_watchdog(void : t_void) is begin log(ID_LOG_HDR_XL, "Test general watchdog.\n\n"& "This test demonstrate configuration and usage of the general watchdog.", C_SCOPE); log(ID_SEQUENCER, "Incrementing UVVM stop limit\n", C_SCOPE); -- To prevent the 4 general watchdogs from stopping the TB, increase the stop limit. set_alert_stop_limit(ERROR, 6); -- Note: stop limit was set to 2 in test_activity_watchdog() log(ID_SEQUENCER, "Reconfigure general watchdogs for test\n", C_SCOPE); -- Reinitialize the watchdogs with short timeout reinitialize_watchdog(watchdog_ctrl_terminate, 110 ns); -- wd A reinitialize_watchdog(watchdog_ctrl_init, 120 ns); -- wd B reinitialize_watchdog(watchdog_ctrl_extend, 130 ns); -- wd C reinitialize_watchdog(watchdog_ctrl_reinit, 2000 ns); -- wd D -- Wait until watchdog A almost has timeout, then terminate it. wait for 100 ns; log(ID_LOG_HDR, "Testing general watchdog timer A (110 ns) - terminate command", C_SCOPE); terminate_watchdog(watchdog_ctrl_terminate); -- terminate general watchdog A -- Wait until watchdog B has a timeout, and let it timeout with alert. log(ID_LOG_HDR, "Testing general watchdog timer B (120 ns) - initial timeout", C_SCOPE); wait for 19 ns; log(ID_SEQUENCER, "General watchdog B still running - waiting for timeout", C_SCOPE); increment_expected_alerts(ERROR); wait for 1 ns; -- general watchdog B has timeout -- Extend watchdog C timeout with 100 ns, new timeout will be 230 ns. log(ID_LOG_HDR, "Testing general watchdog timer C (130 ns) - extend command with input value", C_SCOPE); extend_watchdog(watchdog_ctrl_extend, 100 ns); -- 120 ns wait for 100 ns; -- 10 ns util watchdog C has a timeout, exted with previous timeout log(ID_SEQUENCER, "General watchdog C still running - extend command with previous input value (100 ns)", C_SCOPE); extend_watchdog(watchdog_ctrl_extend); -- 220 wait for 130 ns; log(ID_SEQUENCER, "General watchdog C still running - extend command with input value 300 ns", C_SCOPE); extend_watchdog(watchdog_ctrl_extend, 300 ns); -- 350 wait for 300 ns; log(ID_SEQUENCER, "General watchdog C still running - extend command with input value 300 ns", C_SCOPE); extend_watchdog(watchdog_ctrl_extend, 300 ns); -- 650 wait for 300 ns; log(ID_SEQUENCER, "General watchdog C still running - extend command with previous input value (300 ns)", C_SCOPE); extend_watchdog(watchdog_ctrl_extend); -- 950 wait for 130 ns; log(ID_SEQUENCER, "General watchdog C still running - reinitialize command with input value 101 ns", C_SCOPE); reinitialize_watchdog(watchdog_ctrl_extend, 101 ns); -- 1080 wait for 100 ns; log(ID_SEQUENCER, "General watchdog C still running - extend command with input value 300 ns", C_SCOPE); extend_watchdog(watchdog_ctrl_extend, 300 ns); -- 1180 wait for 300 ns; log(ID_SEQUENCER, "General watchdog C still running - waiting for timeout", C_SCOPE); increment_expected_alerts(ERROR); -- 1480 wait for 1 ns; -- general wathdog C has timeout log(ID_LOG_HDR, "Testing general watchdog timer D (5000 ns) - reinitialize command (100 ns)", C_SCOPE); reinitialize_watchdog(watchdog_ctrl_reinit, 100 ns); wait for 99 ns; log(ID_SEQUENCER, "General watchdog D still running - waiting for timeout", C_SCOPE); increment_expected_alerts(ERROR); wait for 1 ns; -- genral watchdog D has timeout -- Add small delay before next test wait for 3 * C_BIT_PERIOD; end procedure test_general_watchdog; begin -- Wait for UVVM to finish initialization await_uvvm_initialization(VOID); start_clock(CLOCK_GENERATOR_VVCT, 1, "Start clock generator"); -- Set verbosity level --============================================================================================================ --enable_log_msg(ALL_MESSAGES); disable_log_msg(ALL_MESSAGES); enable_log_msg(ID_LOG_HDR); enable_log_msg(ID_LOG_HDR_XL); enable_log_msg(ID_SEQUENCER); enable_log_msg(ID_SEQUENCER_SUB); enable_log_msg(ID_UVVM_SEND_CMD); --enable_log_msg(ID_BFM); disable_log_msg(SBI_VVCT, 1, ALL_MESSAGES); --enable_log_msg(SBI_VVCT, 1, ID_BFM); --enable_log_msg(SBI_VVCT, 1, ID_FINISH_OR_STOP); disable_log_msg(UART_VVCT, 1, RX, ALL_MESSAGES); --enable_log_msg(UART_VVCT, 1, RX, ID_BFM); disable_log_msg(UART_VVCT, 1, TX, ALL_MESSAGES); --enable_log_msg(UART_VVCT, 1, TX, ID_BFM); -- Print the configuration to the log report_global_ctrl(VOID); report_msg_id_panel(VOID); log(ID_LOG_HDR, "Configure UART Monitor", C_SCOPE); --============================================================================================================ -- UART Monitor is initialized with C_UART_MONITOR_CONFIG_DEFAULT in vvc_methods_pkg, setting scope. shared_uart_monitor_config(TX, 1).scope_name(1 to C_MONITOR_SCOPE'length) := C_MONITOR_SCOPE; shared_uart_monitor_config(RX, 1).scope_name(1 to C_MONITOR_SCOPE'length) := C_MONITOR_SCOPE; log(ID_LOG_HDR, "Starting simulation of UVVM DEMO TB using SBI and UART VVCs", C_SCOPE); --============================================================================================================ log("Wait 10 clock period for reset to be turned off"); wait for (10 * C_CLK_PERIOD); log(ID_LOG_HDR, "Configure UART VVC 1", C_SCOPE); --============================================================================================================ shared_uart_vvc_config(RX,1).bfm_config.bit_time := C_BIT_PERIOD; shared_uart_vvc_config(TX,1).bfm_config.bit_time := C_BIT_PERIOD; shared_uart_vvc_config(RX,1).bfm_config.num_stop_bits := STOP_BITS_ONE; shared_uart_vvc_config(TX,1).bfm_config.num_stop_bits := STOP_BITS_ONE; shared_uart_vvc_config(RX,1).bfm_config.parity := PARITY_ODD; shared_uart_vvc_config(TX,1).bfm_config.parity := PARITY_ODD; ----------------------------------------------------------------------------- -- Tests -- Comment out tests below to run a selection of tests. ----------------------------------------------------------------------------- test_error_injection(VOID); test_randomise(VOID); test_protocol_checker(VOID); test_activity_watchdog(VOID); test_general_watchdog(VOID); ----------------------------------------------------------------------------- -- Ending the simulation ----------------------------------------------------------------------------- wait for 1000 ns; -- to allow some time for completion report_alert_counters(FINAL); -- Report final counters and print conclusion for simulation (Success/Fail) log(ID_LOG_HDR, "SIMULATION COMPLETED", C_SCOPE); -- Finish the simulation std.env.stop; wait; -- to stop completely end process p_main; end func;
------------------------------------------------------------------------------ -- hwt_matrixmul - entity/architecture pair ------------------------------------------------------------------------------ -- Filename: hwt_matrixmul -- Version: 2.00.a -- Description: ReconOS matrix multiplier hardware thread (VHDL). -- Date: Wed June 7 16:32:00 2013 -- VHDL Standard: VHDL'93 -- Author: Achim Loesch ------------------------------------------------------------------------------ -- Feel free to modify this file. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; library reconos_v3_01_a; use reconos_v3_01_a.reconos_pkg.all; ------------------------------------------------------------------------------ -- Entity Section ------------------------------------------------------------------------------ entity hwt_matrixmul is port ( -- OSIF FIFO ports OSIF_FIFO_Sw2Hw_Data : in std_logic_vector(31 downto 0); OSIF_FIFO_Sw2Hw_Fill : in std_logic_vector(15 downto 0); OSIF_FIFO_Sw2Hw_Empty : in std_logic; OSIF_FIFO_Sw2Hw_RE : out std_logic; OSIF_FIFO_Hw2Sw_Data : out std_logic_vector(31 downto 0); OSIF_FIFO_Hw2Sw_Rem : in std_logic_vector(15 downto 0); OSIF_FIFO_Hw2Sw_Full : in std_logic; OSIF_FIFO_Hw2Sw_WE : out std_logic; -- MEMIF FIFO ports MEMIF_FIFO_Hwt2Mem_Data : out std_logic_vector(31 downto 0); MEMIF_FIFO_Hwt2Mem_Rem : in std_logic_vector(15 downto 0); MEMIF_FIFO_Hwt2Mem_Full : in std_logic; MEMIF_FIFO_Hwt2Mem_WE : out std_logic; MEMIF_FIFO_Mem2Hwt_Data : in std_logic_vector(31 downto 0); MEMIF_FIFO_Mem2Hwt_Fill : in std_logic_vector(15 downto 0); MEMIF_FIFO_Mem2Hwt_Empty : in std_logic; MEMIF_FIFO_Mem2Hwt_RE : out std_logic; HWT_Clk : in std_logic; HWT_Rst : in std_logic ); attribute SIGIS : string; attribute SIGIS of HWT_Clk : signal is "Clk"; attribute SIGIS of HWT_Rst : signal is "Rst"; end hwt_matrixmul; ------------------------------------------------------------------------------ -- Architecture Section ------------------------------------------------------------------------------ architecture implementation of hwt_matrixmul is type STATE_TYPE is ( STATE_GET_ADDR2MADDRS, STATE_READ_MADDRS, STATE_READ_MATRIX_B, STATE_READ_MATRIX_ROW_FROM_A, STATE_MULTIPLY_MATRIX_ROW, STATE_WRITE_MATRIX_ROW_TO_C, STATE_ACK, STATE_THREAD_EXIT ); component matrixmultiplier is generic ( G_LINE_LEN_MATRIX : integer := 128; G_RAM_DATA_WIDTH : integer := 32; G_RAM_SIZE_MATRIX_A_C : integer := 128; G_RAM_ADDR_WIDTH_MATRIX_A_C : integer := 7; G_RAM_SIZE_MATRIX_B : integer := 16384; G_RAM_ADDR_WIDTH_MATRIX_B : integer := 14 ); port ( clk : in std_logic; reset : in std_logic; start : in std_logic; done : out std_logic; o_RAM_A_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_A_C - 1); i_RAM_A_Data : in std_logic_vector(0 to G_RAM_DATA_WIDTH - 1); o_RAM_B_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_B - 1); i_RAM_B_Data : in std_logic_vector(0 to G_RAM_DATA_WIDTH - 1); o_RAM_C_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_A_C - 1); o_RAM_C_Data : out std_logic_vector(0 to G_RAM_DATA_WIDTH - 1); o_RAM_C_WE : out std_logic ); end component; --constant C_LINE_LEN_MATRIX : integer := 128; -- Use the following line for testing purposes. constant C_LINE_LEN_MATRIX : integer := 4; -- const for matrixes A and C constant C_LOCAL_RAM_SIZE_MATRIX_A_C : integer := C_LINE_LEN_MATRIX; constant C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C : integer := clog2(C_LOCAL_RAM_SIZE_MATRIX_A_C); constant C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_A_C : integer := 4*C_LOCAL_RAM_SIZE_MATRIX_A_C; type LOCAL_MEMORY_TYPE_MATRIX_A_C is array(0 to C_LOCAL_RAM_SIZE_MATRIX_A_C - 1) of std_logic_vector(31 downto 0); -- const for matrix B constant C_LOCAL_RAM_SIZE_MATRIX_B : integer := C_LINE_LEN_MATRIX*C_LINE_LEN_MATRIX; constant C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B : integer := clog2(C_LOCAL_RAM_SIZE_MATRIX_B); constant C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_B : integer := 4*C_LOCAL_RAM_SIZE_MATRIX_B; type LOCAL_MEMORY_TYPE_MATRIX_B is array(0 to C_LOCAL_RAM_SIZE_MATRIX_B - 1) of std_logic_vector(31 downto 0); -- communication with microblaze core constant C_MBOX_RECV : std_logic_vector(31 downto 0) := x"00000000"; constant C_MBOX_SEND : std_logic_vector(31 downto 0) := x"00000001"; signal ignore : std_logic_vector(31 downto 0); -- maddr is an acronym for "matrix address" (address that points to a matrix) constant C_MADDRS : integer := 3; type MADDR_BOX_TYPE is array(0 to C_MADDRS-1) of std_logic_vector(31 downto 0); -- container for adresses pointing to the first element of matrixes A, B and C signal maddrs : MADDR_BOX_TYPE; -- points to pointers to the matrixes signal addr2maddrs : std_logic_vector(31 downto 0); -- temporary signals signal temp_addr_A : std_logic_vector(31 downto 0); signal temp_addr_C : std_logic_vector(31 downto 0); -- fsm state signal state : STATE_TYPE; -- additional data for memif interfaces signal len_data_MATRIX_A_C : std_logic_vector(23 downto 0); signal len_data_MATRIX_B : std_logic_vector(23 downto 0); -- osif, memif and different local BRAM interfaces signal i_osif : i_osif_t; signal o_osif : o_osif_t; signal i_memif : i_memif_t; signal o_memif : o_memif_t; signal i_ram_A : i_ram_t; signal o_ram_A : o_ram_t; signal i_ram_B : i_ram_t; signal o_ram_B : o_ram_t; signal i_ram_C : i_ram_t; signal o_ram_C : o_ram_t; signal o_RAM_A_Addr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1); signal o_RAM_A_Addr_reconos_2 : std_logic_vector(0 to 31); signal o_RAM_A_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_A_WE_reconos : std_logic; signal i_RAM_A_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_B_Addr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B - 1); signal o_RAM_B_Addr_reconos_2 : std_logic_vector(0 to 31); signal o_RAM_B_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_B_WE_reconos : std_logic; signal i_RAM_B_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_C_Addr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1); signal o_RAM_C_Addr_reconos_2 : std_logic_vector(0 to 31); signal o_RAM_C_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_C_WE_reconos : std_logic; signal i_RAM_C_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_A_Addr_mul : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1); signal i_RAM_A_Data_mul : std_logic_vector(0 to 31); signal o_RAM_B_Addr_mul : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B - 1); signal i_RAM_B_Data_mul : std_logic_vector(0 to 31); signal o_RAM_C_Addr_mul : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1); signal o_RAM_C_Data_mul : std_logic_vector(0 to 31); signal o_RAM_C_WE_mul : std_logic; shared variable local_ram_a : LOCAL_MEMORY_TYPE_MATRIX_A_C; shared variable local_ram_b : LOCAL_MEMORY_TYPE_MATRIX_B; shared variable local_ram_c : LOCAL_MEMORY_TYPE_MATRIX_A_C; signal multiplier_start : std_logic; signal multiplier_done : std_logic; signal clk, rst : std_logic; begin clk <= HWT_Clk; rst <= HWT_Rst; -- local BRAM read and write access local_ram_ctrl_1 : process (clk) is begin if (clk'event and clk = '1') then if (o_RAM_A_WE_reconos = '1') then local_ram_A(conv_integer(unsigned(o_RAM_A_Addr_reconos))) := o_RAM_A_Data_reconos; end if; if (o_RAM_B_WE_reconos = '1') then local_ram_B(conv_integer(unsigned(o_RAM_B_Addr_reconos))) := o_RAM_B_Data_reconos; end if; if (o_RAM_C_WE_reconos = '0') then i_RAM_C_Data_reconos <= local_ram_C(conv_integer(unsigned(o_RAM_C_Addr_reconos))); end if; end if; end process; local_ram_ctrl_2 : process (clk) is begin if (rising_edge(clk)) then if (o_RAM_C_WE_mul = '1') then local_ram_C(conv_integer(unsigned(o_RAM_C_Addr_mul))) := o_RAM_C_Data_mul; else i_RAM_A_Data_mul <= local_ram_A(conv_integer(unsigned(o_RAM_A_Addr_mul))); i_RAM_B_Data_mul <= local_ram_B(conv_integer(unsigned(o_RAM_B_Addr_mul))); end if; end if; end process; -- the matrix multiplication module matrixmultiplier_i : matrixmultiplier generic map( G_LINE_LEN_MATRIX => C_LINE_LEN_MATRIX, G_RAM_DATA_WIDTH => 32, G_RAM_SIZE_MATRIX_A_C => C_LOCAL_RAM_SIZE_MATRIX_A_C, G_RAM_ADDR_WIDTH_MATRIX_A_C => C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C, G_RAM_SIZE_MATRIX_B => C_LOCAL_RAM_SIZE_MATRIX_B, G_RAM_ADDR_WIDTH_MATRIX_B => C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B ) port map( clk => clk, reset => rst, start => multiplier_start, done => multiplier_done, o_RAM_A_Addr => o_RAM_A_Addr_mul, i_RAM_A_Data => i_RAM_A_Data_mul, o_RAM_B_Addr => o_RAM_B_Addr_mul, i_RAM_B_Data => i_RAM_B_Data_mul, o_RAM_C_Addr => o_RAM_C_Addr_mul, o_RAM_C_Data => o_RAM_C_Data_mul, o_RAM_C_WE => o_RAM_C_WE_mul ); -- setup interfaces (FIFOs, FSL,...) -- ReconOS initilization osif_setup ( i_osif, o_osif, OSIF_FIFO_Sw2Hw_Data, OSIF_FIFO_Sw2Hw_Fill, OSIF_FIFO_Sw2Hw_Empty, OSIF_FIFO_Hw2Sw_Rem, OSIF_FIFO_Hw2Sw_Full, OSIF_FIFO_Sw2Hw_RE, OSIF_FIFO_Hw2Sw_Data, OSIF_FIFO_Hw2Sw_WE ); memif_setup ( i_memif, o_memif, MEMIF_FIFO_Mem2Hwt_Data, MEMIF_FIFO_Mem2Hwt_Fill, MEMIF_FIFO_Mem2Hwt_Empty, MEMIF_FIFO_Hwt2Mem_Rem, MEMIF_FIFO_Hwt2Mem_Full, MEMIF_FIFO_Mem2Hwt_RE, MEMIF_FIFO_Hwt2Mem_Data, MEMIF_FIFO_Hwt2Mem_WE ); ram_setup ( i_ram_A, o_ram_A, o_RAM_A_Addr_reconos_2, o_RAM_A_WE_reconos, o_RAM_A_Data_reconos, i_RAM_A_Data_reconos ); ram_setup ( i_ram_B, o_ram_B, o_RAM_B_Addr_reconos_2, o_RAM_B_WE_reconos, o_RAM_B_Data_reconos, i_RAM_B_Data_reconos ); ram_setup ( i_ram_C, o_ram_C, o_RAM_C_Addr_reconos_2, o_RAM_C_WE_reconos, o_RAM_C_Data_reconos, i_RAM_C_Data_reconos ); o_RAM_A_Addr_reconos(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1) <= o_RAM_A_Addr_reconos_2((32-C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C) to 31); o_RAM_B_Addr_reconos(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B - 1) <= o_RAM_B_Addr_reconos_2((32-C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B ) to 31); o_RAM_C_Addr_reconos(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1) <= o_RAM_C_Addr_reconos_2((32-C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C) to 31); reconos_fsm : process(clk, rst, o_osif, o_memif, o_ram_a, o_ram_b, o_ram_c) is variable done : boolean; variable addr_pos : integer; variable calculated_rows : integer; begin if (rst = '1') then osif_reset(o_osif); memif_reset(o_memif); ram_reset(o_ram_A); ram_reset(o_ram_B); ram_reset(o_ram_C); multiplier_start <= '0'; done := false; calculated_rows := 0; len_data_MATRIX_A_C <= conv_std_logic_vector(C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_A_C, 24); len_data_MATRIX_B <= conv_std_logic_vector(C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_B , 24); -- important to know: -- maddrs(0) = C, maddrs(1) = B, maddrs(2) = A addr2maddrs <= (others => '0'); addr_pos := C_MADDRS - 1; for i in 0 to (C_MADDRS - 1) loop maddrs(i) <= (others => '0'); end loop; temp_addr_A <= (others => '0'); temp_addr_C <= (others => '0'); state <= STATE_GET_ADDR2MADDRS; elsif (clk'event and clk = '1') then case state is -- Get address pointing to the addresses pointing to the 3 matrixes via FSL. when STATE_GET_ADDR2MADDRS => osif_mbox_get(i_osif, o_osif, C_MBOX_RECV, addr2maddrs, done); if (done) then if (addr2maddrs = x"FFFFFFFF") then state <= STATE_THREAD_EXIT; else addr2maddrs <= addr2maddrs(31 downto 2) & "00"; addr_pos := C_MADDRS - 1; state <= STATE_READ_MADDRS; end if; end if; -- Read addresses pointing to input matrixes A, B and output matrix C from main memory. when STATE_READ_MADDRS => memif_read_word(i_memif, o_memif, addr2maddrs, maddrs(addr_pos), done); if done then if (addr_pos = 0) then state <= STATE_READ_MATRIX_B; else addr_pos := addr_pos - 1; addr2maddrs <= conv_std_logic_vector(unsigned(addr2maddrs) + 4, 32); end if; end if; -- Read matrix B from main memory. when STATE_READ_MATRIX_B => memif_read(i_ram_B, o_ram_B, i_memif, o_memif, maddrs(1), X"00000000", len_data_MATRIX_B, done); if done then temp_addr_A <= maddrs(2); temp_addr_C <= maddrs(0); state <= STATE_READ_MATRIX_ROW_FROM_A; end if; -- Read a row of matrix A. when STATE_READ_MATRIX_ROW_FROM_A => memif_read(i_ram_A, o_ram_A, i_memif, o_memif, temp_addr_A, X"00000000", len_data_MATRIX_A_C, done); if done then multiplier_start <= '1'; state <= STATE_MULTIPLY_MATRIX_ROW; end if; -- Multiply row of matrix A with matrix B. when STATE_MULTIPLY_MATRIX_ROW => multiplier_start <= '0'; if (multiplier_done = '1') then calculated_rows := calculated_rows + 1; state <= STATE_WRITE_MATRIX_ROW_TO_C; end if; -- Write multiplication result (row of matrix C) to main memory. when STATE_WRITE_MATRIX_ROW_TO_C => memif_write(i_ram_C, o_ram_C, i_memif, o_memif, X"00000000", temp_addr_C, len_data_MATRIX_A_C, done); if (done) then if (calculated_rows < C_LINE_LEN_MATRIX) then -- Calculate new temporary addresses -- => to fetch next matrix row of matrix A -- => to store calculated values to next matrix row of matrix C temp_addr_A <= conv_std_logic_vector(unsigned(temp_addr_A) + C_LINE_LEN_MATRIX*4, 32); temp_addr_C <= conv_std_logic_vector(unsigned(temp_addr_C) + C_LINE_LEN_MATRIX*4, 32); state <= STATE_READ_MATRIX_ROW_FROM_A; else state <= STATE_ACK; end if; end if; -- We finished calculating matrix multiplication A * B = C. when STATE_ACK => osif_mbox_put(i_osif, o_osif, C_MBOX_SEND, maddrs(addr_pos), ignore, done); if (done) then calculated_rows := 0; addr_pos := C_MADDRS - 1; temp_addr_A <= (others => '0'); temp_addr_C <= (others => '0'); state <= STATE_GET_ADDR2MADDRS; end if; -- Terminate hardware thread. when STATE_THREAD_EXIT => osif_thread_exit(i_osif, o_osif); end case; end if; end process; end architecture implementation;
------------------------------------------------------------------------------ -- hwt_matrixmul - entity/architecture pair ------------------------------------------------------------------------------ -- Filename: hwt_matrixmul -- Version: 2.00.a -- Description: ReconOS matrix multiplier hardware thread (VHDL). -- Date: Wed June 7 16:32:00 2013 -- VHDL Standard: VHDL'93 -- Author: Achim Loesch ------------------------------------------------------------------------------ -- Feel free to modify this file. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; library reconos_v3_01_a; use reconos_v3_01_a.reconos_pkg.all; ------------------------------------------------------------------------------ -- Entity Section ------------------------------------------------------------------------------ entity hwt_matrixmul is port ( -- OSIF FIFO ports OSIF_FIFO_Sw2Hw_Data : in std_logic_vector(31 downto 0); OSIF_FIFO_Sw2Hw_Fill : in std_logic_vector(15 downto 0); OSIF_FIFO_Sw2Hw_Empty : in std_logic; OSIF_FIFO_Sw2Hw_RE : out std_logic; OSIF_FIFO_Hw2Sw_Data : out std_logic_vector(31 downto 0); OSIF_FIFO_Hw2Sw_Rem : in std_logic_vector(15 downto 0); OSIF_FIFO_Hw2Sw_Full : in std_logic; OSIF_FIFO_Hw2Sw_WE : out std_logic; -- MEMIF FIFO ports MEMIF_FIFO_Hwt2Mem_Data : out std_logic_vector(31 downto 0); MEMIF_FIFO_Hwt2Mem_Rem : in std_logic_vector(15 downto 0); MEMIF_FIFO_Hwt2Mem_Full : in std_logic; MEMIF_FIFO_Hwt2Mem_WE : out std_logic; MEMIF_FIFO_Mem2Hwt_Data : in std_logic_vector(31 downto 0); MEMIF_FIFO_Mem2Hwt_Fill : in std_logic_vector(15 downto 0); MEMIF_FIFO_Mem2Hwt_Empty : in std_logic; MEMIF_FIFO_Mem2Hwt_RE : out std_logic; HWT_Clk : in std_logic; HWT_Rst : in std_logic ); attribute SIGIS : string; attribute SIGIS of HWT_Clk : signal is "Clk"; attribute SIGIS of HWT_Rst : signal is "Rst"; end hwt_matrixmul; ------------------------------------------------------------------------------ -- Architecture Section ------------------------------------------------------------------------------ architecture implementation of hwt_matrixmul is type STATE_TYPE is ( STATE_GET_ADDR2MADDRS, STATE_READ_MADDRS, STATE_READ_MATRIX_B, STATE_READ_MATRIX_ROW_FROM_A, STATE_MULTIPLY_MATRIX_ROW, STATE_WRITE_MATRIX_ROW_TO_C, STATE_ACK, STATE_THREAD_EXIT ); component matrixmultiplier is generic ( G_LINE_LEN_MATRIX : integer := 128; G_RAM_DATA_WIDTH : integer := 32; G_RAM_SIZE_MATRIX_A_C : integer := 128; G_RAM_ADDR_WIDTH_MATRIX_A_C : integer := 7; G_RAM_SIZE_MATRIX_B : integer := 16384; G_RAM_ADDR_WIDTH_MATRIX_B : integer := 14 ); port ( clk : in std_logic; reset : in std_logic; start : in std_logic; done : out std_logic; o_RAM_A_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_A_C - 1); i_RAM_A_Data : in std_logic_vector(0 to G_RAM_DATA_WIDTH - 1); o_RAM_B_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_B - 1); i_RAM_B_Data : in std_logic_vector(0 to G_RAM_DATA_WIDTH - 1); o_RAM_C_Addr : out std_logic_vector(0 to G_RAM_ADDR_WIDTH_MATRIX_A_C - 1); o_RAM_C_Data : out std_logic_vector(0 to G_RAM_DATA_WIDTH - 1); o_RAM_C_WE : out std_logic ); end component; --constant C_LINE_LEN_MATRIX : integer := 128; -- Use the following line for testing purposes. constant C_LINE_LEN_MATRIX : integer := 4; -- const for matrixes A and C constant C_LOCAL_RAM_SIZE_MATRIX_A_C : integer := C_LINE_LEN_MATRIX; constant C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C : integer := clog2(C_LOCAL_RAM_SIZE_MATRIX_A_C); constant C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_A_C : integer := 4*C_LOCAL_RAM_SIZE_MATRIX_A_C; type LOCAL_MEMORY_TYPE_MATRIX_A_C is array(0 to C_LOCAL_RAM_SIZE_MATRIX_A_C - 1) of std_logic_vector(31 downto 0); -- const for matrix B constant C_LOCAL_RAM_SIZE_MATRIX_B : integer := C_LINE_LEN_MATRIX*C_LINE_LEN_MATRIX; constant C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B : integer := clog2(C_LOCAL_RAM_SIZE_MATRIX_B); constant C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_B : integer := 4*C_LOCAL_RAM_SIZE_MATRIX_B; type LOCAL_MEMORY_TYPE_MATRIX_B is array(0 to C_LOCAL_RAM_SIZE_MATRIX_B - 1) of std_logic_vector(31 downto 0); -- communication with microblaze core constant C_MBOX_RECV : std_logic_vector(31 downto 0) := x"00000000"; constant C_MBOX_SEND : std_logic_vector(31 downto 0) := x"00000001"; signal ignore : std_logic_vector(31 downto 0); -- maddr is an acronym for "matrix address" (address that points to a matrix) constant C_MADDRS : integer := 3; type MADDR_BOX_TYPE is array(0 to C_MADDRS-1) of std_logic_vector(31 downto 0); -- container for adresses pointing to the first element of matrixes A, B and C signal maddrs : MADDR_BOX_TYPE; -- points to pointers to the matrixes signal addr2maddrs : std_logic_vector(31 downto 0); -- temporary signals signal temp_addr_A : std_logic_vector(31 downto 0); signal temp_addr_C : std_logic_vector(31 downto 0); -- fsm state signal state : STATE_TYPE; -- additional data for memif interfaces signal len_data_MATRIX_A_C : std_logic_vector(23 downto 0); signal len_data_MATRIX_B : std_logic_vector(23 downto 0); -- osif, memif and different local BRAM interfaces signal i_osif : i_osif_t; signal o_osif : o_osif_t; signal i_memif : i_memif_t; signal o_memif : o_memif_t; signal i_ram_A : i_ram_t; signal o_ram_A : o_ram_t; signal i_ram_B : i_ram_t; signal o_ram_B : o_ram_t; signal i_ram_C : i_ram_t; signal o_ram_C : o_ram_t; signal o_RAM_A_Addr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1); signal o_RAM_A_Addr_reconos_2 : std_logic_vector(0 to 31); signal o_RAM_A_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_A_WE_reconos : std_logic; signal i_RAM_A_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_B_Addr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B - 1); signal o_RAM_B_Addr_reconos_2 : std_logic_vector(0 to 31); signal o_RAM_B_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_B_WE_reconos : std_logic; signal i_RAM_B_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_C_Addr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1); signal o_RAM_C_Addr_reconos_2 : std_logic_vector(0 to 31); signal o_RAM_C_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_C_WE_reconos : std_logic; signal i_RAM_C_Data_reconos : std_logic_vector(0 to 31); signal o_RAM_A_Addr_mul : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1); signal i_RAM_A_Data_mul : std_logic_vector(0 to 31); signal o_RAM_B_Addr_mul : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B - 1); signal i_RAM_B_Data_mul : std_logic_vector(0 to 31); signal o_RAM_C_Addr_mul : std_logic_vector(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1); signal o_RAM_C_Data_mul : std_logic_vector(0 to 31); signal o_RAM_C_WE_mul : std_logic; shared variable local_ram_a : LOCAL_MEMORY_TYPE_MATRIX_A_C; shared variable local_ram_b : LOCAL_MEMORY_TYPE_MATRIX_B; shared variable local_ram_c : LOCAL_MEMORY_TYPE_MATRIX_A_C; signal multiplier_start : std_logic; signal multiplier_done : std_logic; signal clk, rst : std_logic; begin clk <= HWT_Clk; rst <= HWT_Rst; -- local BRAM read and write access local_ram_ctrl_1 : process (clk) is begin if (clk'event and clk = '1') then if (o_RAM_A_WE_reconos = '1') then local_ram_A(conv_integer(unsigned(o_RAM_A_Addr_reconos))) := o_RAM_A_Data_reconos; end if; if (o_RAM_B_WE_reconos = '1') then local_ram_B(conv_integer(unsigned(o_RAM_B_Addr_reconos))) := o_RAM_B_Data_reconos; end if; if (o_RAM_C_WE_reconos = '0') then i_RAM_C_Data_reconos <= local_ram_C(conv_integer(unsigned(o_RAM_C_Addr_reconos))); end if; end if; end process; local_ram_ctrl_2 : process (clk) is begin if (rising_edge(clk)) then if (o_RAM_C_WE_mul = '1') then local_ram_C(conv_integer(unsigned(o_RAM_C_Addr_mul))) := o_RAM_C_Data_mul; else i_RAM_A_Data_mul <= local_ram_A(conv_integer(unsigned(o_RAM_A_Addr_mul))); i_RAM_B_Data_mul <= local_ram_B(conv_integer(unsigned(o_RAM_B_Addr_mul))); end if; end if; end process; -- the matrix multiplication module matrixmultiplier_i : matrixmultiplier generic map( G_LINE_LEN_MATRIX => C_LINE_LEN_MATRIX, G_RAM_DATA_WIDTH => 32, G_RAM_SIZE_MATRIX_A_C => C_LOCAL_RAM_SIZE_MATRIX_A_C, G_RAM_ADDR_WIDTH_MATRIX_A_C => C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C, G_RAM_SIZE_MATRIX_B => C_LOCAL_RAM_SIZE_MATRIX_B, G_RAM_ADDR_WIDTH_MATRIX_B => C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B ) port map( clk => clk, reset => rst, start => multiplier_start, done => multiplier_done, o_RAM_A_Addr => o_RAM_A_Addr_mul, i_RAM_A_Data => i_RAM_A_Data_mul, o_RAM_B_Addr => o_RAM_B_Addr_mul, i_RAM_B_Data => i_RAM_B_Data_mul, o_RAM_C_Addr => o_RAM_C_Addr_mul, o_RAM_C_Data => o_RAM_C_Data_mul, o_RAM_C_WE => o_RAM_C_WE_mul ); -- setup interfaces (FIFOs, FSL,...) -- ReconOS initilization osif_setup ( i_osif, o_osif, OSIF_FIFO_Sw2Hw_Data, OSIF_FIFO_Sw2Hw_Fill, OSIF_FIFO_Sw2Hw_Empty, OSIF_FIFO_Hw2Sw_Rem, OSIF_FIFO_Hw2Sw_Full, OSIF_FIFO_Sw2Hw_RE, OSIF_FIFO_Hw2Sw_Data, OSIF_FIFO_Hw2Sw_WE ); memif_setup ( i_memif, o_memif, MEMIF_FIFO_Mem2Hwt_Data, MEMIF_FIFO_Mem2Hwt_Fill, MEMIF_FIFO_Mem2Hwt_Empty, MEMIF_FIFO_Hwt2Mem_Rem, MEMIF_FIFO_Hwt2Mem_Full, MEMIF_FIFO_Mem2Hwt_RE, MEMIF_FIFO_Hwt2Mem_Data, MEMIF_FIFO_Hwt2Mem_WE ); ram_setup ( i_ram_A, o_ram_A, o_RAM_A_Addr_reconos_2, o_RAM_A_WE_reconos, o_RAM_A_Data_reconos, i_RAM_A_Data_reconos ); ram_setup ( i_ram_B, o_ram_B, o_RAM_B_Addr_reconos_2, o_RAM_B_WE_reconos, o_RAM_B_Data_reconos, i_RAM_B_Data_reconos ); ram_setup ( i_ram_C, o_ram_C, o_RAM_C_Addr_reconos_2, o_RAM_C_WE_reconos, o_RAM_C_Data_reconos, i_RAM_C_Data_reconos ); o_RAM_A_Addr_reconos(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1) <= o_RAM_A_Addr_reconos_2((32-C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C) to 31); o_RAM_B_Addr_reconos(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B - 1) <= o_RAM_B_Addr_reconos_2((32-C_LOCAL_RAM_ADDR_WIDTH_MATRIX_B ) to 31); o_RAM_C_Addr_reconos(0 to C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C - 1) <= o_RAM_C_Addr_reconos_2((32-C_LOCAL_RAM_ADDR_WIDTH_MATRIX_A_C) to 31); reconos_fsm : process(clk, rst, o_osif, o_memif, o_ram_a, o_ram_b, o_ram_c) is variable done : boolean; variable addr_pos : integer; variable calculated_rows : integer; begin if (rst = '1') then osif_reset(o_osif); memif_reset(o_memif); ram_reset(o_ram_A); ram_reset(o_ram_B); ram_reset(o_ram_C); multiplier_start <= '0'; done := false; calculated_rows := 0; len_data_MATRIX_A_C <= conv_std_logic_vector(C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_A_C, 24); len_data_MATRIX_B <= conv_std_logic_vector(C_LOCAL_RAM_SIZE_IN_BYTES_MATRIX_B , 24); -- important to know: -- maddrs(0) = C, maddrs(1) = B, maddrs(2) = A addr2maddrs <= (others => '0'); addr_pos := C_MADDRS - 1; for i in 0 to (C_MADDRS - 1) loop maddrs(i) <= (others => '0'); end loop; temp_addr_A <= (others => '0'); temp_addr_C <= (others => '0'); state <= STATE_GET_ADDR2MADDRS; elsif (clk'event and clk = '1') then case state is -- Get address pointing to the addresses pointing to the 3 matrixes via FSL. when STATE_GET_ADDR2MADDRS => osif_mbox_get(i_osif, o_osif, C_MBOX_RECV, addr2maddrs, done); if (done) then if (addr2maddrs = x"FFFFFFFF") then state <= STATE_THREAD_EXIT; else addr2maddrs <= addr2maddrs(31 downto 2) & "00"; addr_pos := C_MADDRS - 1; state <= STATE_READ_MADDRS; end if; end if; -- Read addresses pointing to input matrixes A, B and output matrix C from main memory. when STATE_READ_MADDRS => memif_read_word(i_memif, o_memif, addr2maddrs, maddrs(addr_pos), done); if done then if (addr_pos = 0) then state <= STATE_READ_MATRIX_B; else addr_pos := addr_pos - 1; addr2maddrs <= conv_std_logic_vector(unsigned(addr2maddrs) + 4, 32); end if; end if; -- Read matrix B from main memory. when STATE_READ_MATRIX_B => memif_read(i_ram_B, o_ram_B, i_memif, o_memif, maddrs(1), X"00000000", len_data_MATRIX_B, done); if done then temp_addr_A <= maddrs(2); temp_addr_C <= maddrs(0); state <= STATE_READ_MATRIX_ROW_FROM_A; end if; -- Read a row of matrix A. when STATE_READ_MATRIX_ROW_FROM_A => memif_read(i_ram_A, o_ram_A, i_memif, o_memif, temp_addr_A, X"00000000", len_data_MATRIX_A_C, done); if done then multiplier_start <= '1'; state <= STATE_MULTIPLY_MATRIX_ROW; end if; -- Multiply row of matrix A with matrix B. when STATE_MULTIPLY_MATRIX_ROW => multiplier_start <= '0'; if (multiplier_done = '1') then calculated_rows := calculated_rows + 1; state <= STATE_WRITE_MATRIX_ROW_TO_C; end if; -- Write multiplication result (row of matrix C) to main memory. when STATE_WRITE_MATRIX_ROW_TO_C => memif_write(i_ram_C, o_ram_C, i_memif, o_memif, X"00000000", temp_addr_C, len_data_MATRIX_A_C, done); if (done) then if (calculated_rows < C_LINE_LEN_MATRIX) then -- Calculate new temporary addresses -- => to fetch next matrix row of matrix A -- => to store calculated values to next matrix row of matrix C temp_addr_A <= conv_std_logic_vector(unsigned(temp_addr_A) + C_LINE_LEN_MATRIX*4, 32); temp_addr_C <= conv_std_logic_vector(unsigned(temp_addr_C) + C_LINE_LEN_MATRIX*4, 32); state <= STATE_READ_MATRIX_ROW_FROM_A; else state <= STATE_ACK; end if; end if; -- We finished calculating matrix multiplication A * B = C. when STATE_ACK => osif_mbox_put(i_osif, o_osif, C_MBOX_SEND, maddrs(addr_pos), ignore, done); if (done) then calculated_rows := 0; addr_pos := C_MADDRS - 1; temp_addr_A <= (others => '0'); temp_addr_C <= (others => '0'); state <= STATE_GET_ADDR2MADDRS; end if; -- Terminate hardware thread. when STATE_THREAD_EXIT => osif_thread_exit(i_osif, o_osif); end case; end if; end process; end architecture implementation;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Tue Sep 19 00:30:32 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zynq_design_1_auto_pc_0_sim_netlist.vhdl -- Design : zynq_design_1_auto_pc_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); axaddr_incr_reg : out STD_LOGIC_VECTOR ( 7 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]_0\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; \axlen_cnt_reg[4]_0\ : out STD_LOGIC; \m_axi_awaddr[1]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr[4]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5_n_0\ : STD_LOGIC; signal \^axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC; signal \^axaddr_incr_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1_n_7\ : STD_LOGIC; signal \axlen_cnt[3]_i_1_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_4_n_0\ : STD_LOGIC; signal \^axlen_cnt_reg[7]_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 7 downto 2 ); signal \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2\ : label is "soft_lutpair113"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_3\ : label is "soft_lutpair113"; begin Q(3 downto 0) <= \^q\(3 downto 0); axaddr_incr_reg(7 downto 0) <= \^axaddr_incr_reg\(7 downto 0); \axaddr_incr_reg[11]_0\ <= \^axaddr_incr_reg[11]_0\; \axaddr_incr_reg[3]_0\(3 downto 0) <= \^axaddr_incr_reg[3]_0\(3 downto 0); \axlen_cnt_reg[7]_0\ <= \^axlen_cnt_reg[7]_0\; \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"559AAAAAAAAAAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"0000AAAA559AAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000559AAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(4), I5 => \m_payload_i_reg[51]\(5), O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"000000000000559A" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => \state_reg[0]_rep\, I4 => \m_payload_i_reg[51]\(5), I5 => \m_payload_i_reg[51]\(4), O => S(0) ); \axaddr_incr[4]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(3), O => \axaddr_incr[4]_i_2_n_0\ ); \axaddr_incr[4]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(2), O => \axaddr_incr[4]_i_3_n_0\ ); \axaddr_incr[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(1), O => \axaddr_incr[4]_i_4_n_0\ ); \axaddr_incr[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(0), O => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr[8]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(7), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(7), O => \axaddr_incr[8]_i_2_n_0\ ); \axaddr_incr[8]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(6), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(6), O => \axaddr_incr[8]_i_3_n_0\ ); \axaddr_incr[8]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(5), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(5), O => \axaddr_incr[8]_i_4_n_0\ ); \axaddr_incr[8]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(4), I1 => \^axaddr_incr_reg[11]_0\, I2 => \^axaddr_incr_reg\(4), O => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(0), Q => \^axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_5\, Q => \^axaddr_incr_reg\(6), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_4\, Q => \^axaddr_incr_reg\(7), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(1), Q => \^axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(2), Q => \^axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(3), Q => \^axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_7\, Q => \^axaddr_incr_reg\(0), R => '0' ); \axaddr_incr_reg[4]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1_n_4\, O(2) => \axaddr_incr_reg[4]_i_1_n_5\, O(1) => \axaddr_incr_reg[4]_i_1_n_6\, O(0) => \axaddr_incr_reg[4]_i_1_n_7\, S(3) => \axaddr_incr[4]_i_2_n_0\, S(2) => \axaddr_incr[4]_i_3_n_0\, S(1) => \axaddr_incr[4]_i_4_n_0\, S(0) => \axaddr_incr[4]_i_5_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_6\, Q => \^axaddr_incr_reg\(1), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_5\, Q => \^axaddr_incr_reg\(2), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1_n_4\, Q => \^axaddr_incr_reg\(3), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_7\, Q => \^axaddr_incr_reg\(4), R => '0' ); \axaddr_incr_reg[8]_i_1\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1_n_4\, O(2) => \axaddr_incr_reg[8]_i_1_n_5\, O(1) => \axaddr_incr_reg[8]_i_1_n_6\, O(0) => \axaddr_incr_reg[8]_i_1_n_7\, S(3) => \axaddr_incr[8]_i_2_n_0\, S(2) => \axaddr_incr[8]_i_3_n_0\, S(1) => \axaddr_incr[8]_i_4_n_0\, S(0) => \axaddr_incr[8]_i_5_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1_n_6\, Q => \^axaddr_incr_reg\(5), R => '0' ); \axlen_cnt[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(7), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \^q\(1), I5 => \state_reg[0]\, O => p_1_in(2) ); \axlen_cnt[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \state_reg[0]\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1_n_0\ ); \axlen_cnt[4]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt_reg[4]_0\ ); \axlen_cnt[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA900A900A900" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \^axlen_cnt_reg[7]_0\, I2 => \^q\(3), I3 => \state_reg[0]\, I4 => E(0), I5 => \m_payload_i_reg[51]\(8), O => p_1_in(6) ); \axlen_cnt[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA900A900A900" ) port map ( I0 => \axlen_cnt_reg_n_0_[7]\, I1 => \^axlen_cnt_reg[7]_0\, I2 => \axlen_cnt[7]_i_4_n_0\, I3 => \state_reg[0]\, I4 => E(0), I5 => \m_payload_i_reg[51]\(9), O => p_1_in(7) ); \axlen_cnt[7]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^q\(2), I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(0), I3 => \^q\(1), I4 => \axlen_cnt_reg_n_0_[3]\, O => \^axlen_cnt_reg[7]_0\ ); \axlen_cnt[7]_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \^q\(3), O => \axlen_cnt[7]_i_4_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(1), Q => \^q\(1), R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(2), Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(2), Q => \^q\(2), R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(3), Q => \^q\(3), R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(6), Q => \axlen_cnt_reg_n_0_[6]\, R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => p_1_in(7), Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_awaddr[1]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_0\, I1 => \^axaddr_incr_reg[3]_0\(1), I2 => \m_payload_i_reg[51]\(6), I3 => \m_payload_i_reg[51]\(1), O => \m_axi_awaddr[1]\ ); next_pending_r_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[7]\, I2 => \^q\(2), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \^q\(1), I5 => \axlen_cnt[7]_i_4_n_0\, O => next_pending_r_reg_1 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => incr_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^axaddr_incr_reg[11]_0\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is port ( next_pending_r_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_incr_reg[11]_1\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); next_pending_r_reg_1 : out STD_LOGIC; \m_axi_araddr[5]\ : out STD_LOGIC; \m_axi_araddr[2]\ : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_0 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_1 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 : entity is "axi_protocol_converter_v2_1_13_b2s_incr_cmd"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \axaddr_incr[4]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_5__0_n_0\ : STD_LOGIC; signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 5 to 5 ); signal \^axaddr_incr_reg[11]_0\ : STD_LOGIC_VECTOR ( 6 downto 0 ); signal \^axaddr_incr_reg[11]_1\ : STD_LOGIC; signal \^axaddr_incr_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_1__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_1__0_n_7\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__1_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[4]_i_2__0_n_0\ : STD_LOGIC; signal \axlen_cnt[5]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[5]_i_2_n_0\ : STD_LOGIC; signal \axlen_cnt[6]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_2__0_n_0\ : STD_LOGIC; signal \axlen_cnt[7]_i_3__0_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[4]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[5]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[6]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[7]\ : STD_LOGIC; signal \next_pending_r_i_4__0_n_0\ : STD_LOGIC; signal \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axlen_cnt[4]_i_2__0\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \axlen_cnt[5]_i_2\ : label is "soft_lutpair4"; begin Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_incr_reg[11]_0\(6 downto 0) <= \^axaddr_incr_reg[11]_0\(6 downto 0); \axaddr_incr_reg[11]_1\ <= \^axaddr_incr_reg[11]_1\; \axaddr_incr_reg[3]_0\(3 downto 0) <= \^axaddr_incr_reg[3]_0\(3 downto 0); \axaddr_incr[0]_i_15\: unisim.vcomponents.LUT6 generic map( INIT => X"AA6AAAAAAAAAAAAA" ) port map ( I0 => \m_payload_i_reg[51]\(3), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(3) ); \axaddr_incr[0]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"2A262A2A2A2A2A2A" ) port map ( I0 => \m_payload_i_reg[51]\(2), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(2) ); \axaddr_incr[0]_i_17\: unisim.vcomponents.LUT6 generic map( INIT => X"0A060A0A0A0A0A0A" ) port map ( I0 => \m_payload_i_reg[51]\(1), I1 => \m_payload_i_reg[51]\(5), I2 => \m_payload_i_reg[51]\(6), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(1) ); \axaddr_incr[0]_i_18\: unisim.vcomponents.LUT6 generic map( INIT => X"0201020202020202" ) port map ( I0 => \m_payload_i_reg[51]\(0), I1 => \m_payload_i_reg[51]\(6), I2 => \m_payload_i_reg[51]\(5), I3 => \state_reg[1]\(1), I4 => \state_reg[1]\(0), I5 => m_axi_arready, O => S(0) ); \axaddr_incr[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(2), O => \axaddr_incr[4]_i_2__0_n_0\ ); \axaddr_incr[4]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(1), O => \axaddr_incr[4]_i_3__0_n_0\ ); \axaddr_incr[4]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => axaddr_incr_reg(5), O => \axaddr_incr[4]_i_4__0_n_0\ ); \axaddr_incr[4]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[3]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(0), O => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr[8]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(3), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(6), O => \axaddr_incr[8]_i_2__0_n_0\ ); \axaddr_incr[8]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(2), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(5), O => \axaddr_incr[8]_i_3__0_n_0\ ); \axaddr_incr[8]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(1), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(4), O => \axaddr_incr[8]_i_4__0_n_0\ ); \axaddr_incr[8]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \m_payload_i_reg[11]\(0), I1 => \^axaddr_incr_reg[11]_1\, I2 => \^axaddr_incr_reg[11]_0\(3), O => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(0), Q => \^axaddr_incr_reg[3]_0\(0), R => '0' ); \axaddr_incr_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_5\, Q => \^axaddr_incr_reg[11]_0\(5), R => '0' ); \axaddr_incr_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(6), R => '0' ); \axaddr_incr_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(1), Q => \^axaddr_incr_reg[3]_0\(1), R => '0' ); \axaddr_incr_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(2), Q => \^axaddr_incr_reg[3]_0\(2), R => '0' ); \axaddr_incr_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => O(3), Q => \^axaddr_incr_reg[3]_0\(3), R => '0' ); \axaddr_incr_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(0), R => '0' ); \axaddr_incr_reg[4]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => CO(0), CO(3) => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[4]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[4]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[4]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[4]_i_1__0_n_7\, S(3) => \axaddr_incr[4]_i_2__0_n_0\, S(2) => \axaddr_incr[4]_i_3__0_n_0\, S(1) => \axaddr_incr[4]_i_4__0_n_0\, S(0) => \axaddr_incr[4]_i_5__0_n_0\ ); \axaddr_incr_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_6\, Q => axaddr_incr_reg(5), R => '0' ); \axaddr_incr_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_5\, Q => \^axaddr_incr_reg[11]_0\(1), R => '0' ); \axaddr_incr_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[4]_i_1__0_n_4\, Q => \^axaddr_incr_reg[11]_0\(2), R => '0' ); \axaddr_incr_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_7\, Q => \^axaddr_incr_reg[11]_0\(3), R => '0' ); \axaddr_incr_reg[8]_i_1__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_1__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_1__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_1__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_1__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_1__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_incr_reg[8]_i_1__0_n_4\, O(2) => \axaddr_incr_reg[8]_i_1__0_n_5\, O(1) => \axaddr_incr_reg[8]_i_1__0_n_6\, O(0) => \axaddr_incr_reg[8]_i_1__0_n_7\, S(3) => \axaddr_incr[8]_i_2__0_n_0\, S(2) => \axaddr_incr[8]_i_3__0_n_0\, S(1) => \axaddr_incr[8]_i_4__0_n_0\, S(0) => \axaddr_incr[8]_i_5__0_n_0\ ); \axaddr_incr_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => sel_first_reg_0, D => \axaddr_incr_reg[8]_i_1__0_n_6\, Q => \^axaddr_incr_reg[11]_0\(4), R => '0' ); \axlen_cnt[2]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(8), I2 => \axlen_cnt_reg_n_0_[2]\, I3 => \^q\(0), I4 => \^q\(1), I5 => \state_reg[0]\, O => \axlen_cnt[2]_i_1__1_n_0\ ); \axlen_cnt[3]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAA90000FFFFFFFF" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, I4 => \state_reg[0]\, I5 => \m_payload_i_reg[47]\, O => \axlen_cnt[3]_i_1__1_n_0\ ); \axlen_cnt[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt[4]_i_2__0_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(9), O => \axlen_cnt[4]_i_1__0_n_0\ ); \axlen_cnt[4]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \^q\(1), I2 => \^q\(0), I3 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[4]_i_2__0_n_0\ ); \axlen_cnt[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[5]\, I1 => \axlen_cnt[5]_i_2_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(10), O => \axlen_cnt[5]_i_1__0_n_0\ ); \axlen_cnt[5]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \^q\(0), I3 => \^q\(1), I4 => \axlen_cnt_reg_n_0_[3]\, O => \axlen_cnt[5]_i_2_n_0\ ); \axlen_cnt[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF909090" ) port map ( I0 => \axlen_cnt_reg_n_0_[6]\, I1 => \axlen_cnt[7]_i_3__0_n_0\, I2 => \state_reg[0]\, I3 => E(0), I4 => \m_payload_i_reg[51]\(11), O => \axlen_cnt[6]_i_1__0_n_0\ ); \axlen_cnt[7]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F8F8F88F88888888" ) port map ( I0 => E(0), I1 => \m_payload_i_reg[51]\(12), I2 => \axlen_cnt_reg_n_0_[7]\, I3 => \axlen_cnt[7]_i_3__0_n_0\, I4 => \axlen_cnt_reg_n_0_[6]\, I5 => \state_reg[0]\, O => \axlen_cnt[7]_i_2__0_n_0\ ); \axlen_cnt[7]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \axlen_cnt_reg_n_0_[5]\, I1 => \axlen_cnt_reg_n_0_[3]\, I2 => \^q\(1), I3 => \^q\(0), I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[4]\, O => \axlen_cnt[7]_i_3__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(0), Q => \^q\(0), R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => D(1), Q => \^q\(1), R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__1_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \axlen_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[4]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[4]\, R => '0' ); \axlen_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[5]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[5]\, R => '0' ); \axlen_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[6]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[6]\, R => '0' ); \axlen_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[7]_i_2__0_n_0\, Q => \axlen_cnt_reg_n_0_[7]\, R => '0' ); \m_axi_araddr[2]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_1\, I1 => \^axaddr_incr_reg[3]_0\(2), I2 => \m_payload_i_reg[51]\(7), I3 => \m_payload_i_reg[51]\(2), O => \m_axi_araddr[2]\ ); \m_axi_araddr[5]_INST_0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EF40" ) port map ( I0 => \^axaddr_incr_reg[11]_1\, I1 => axaddr_incr_reg(5), I2 => \m_payload_i_reg[51]\(7), I3 => \m_payload_i_reg[51]\(4), O => \m_axi_araddr[5]\ ); \next_pending_r_i_3__1\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \axlen_cnt_reg_n_0_[4]\, I1 => \axlen_cnt_reg_n_0_[5]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \next_pending_r_i_4__0_n_0\, O => next_pending_r_reg_1 ); \next_pending_r_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(1), I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[6]\, I3 => \axlen_cnt_reg_n_0_[7]\, O => \next_pending_r_i_4__0_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => incr_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^axaddr_incr_reg[11]_1\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is port ( \axlen_cnt_reg[1]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); wrap_second_len : out STD_LOGIC_VECTOR ( 0 to 0 ); r_push_r_reg : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; \axlen_cnt_reg[1]_0\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; \axaddr_wrap_reg[11]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_payload_i_reg[0]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \axlen_cnt_reg[4]\ : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; \cnt_read_reg[2]_rep__0\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[1]_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_second_len_r_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_next_pending : in STD_LOGIC; \m_payload_i_reg[51]\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axlen_cnt_reg[1]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first_i\ : STD_LOGIC; signal \^wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1__0\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__0\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of r_push_r_i_1 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \s_axburst_eq0_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \s_axburst_eq1_i_1__0\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \state[1]_i_1\ : label is "soft_lutpair0"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1; attribute KEEP of \state_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1__0\ : label is "soft_lutpair2"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axlen_cnt_reg[1]\ <= \^axlen_cnt_reg[1]\; incr_next_pending <= \^incr_next_pending\; \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push_r_reg <= \^r_push_r_reg\; sel_first_i <= \^sel_first_i\; wrap_second_len(0) <= \^wrap_second_len\(0); \axaddr_incr[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AAEA" ) port map ( I0 => sel_first_reg_2, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]_0\(0), I1 => \m_payload_i_reg[47]\(3), I2 => \^m_payload_i_reg[0]_0\, I3 => si_rs_arvalid, I4 => \^m_payload_i_reg[0]\, I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset_r_reg[3]\(0) ); \axlen_cnt[0]_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_arvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[47]\(1), I4 => \axlen_cnt_reg[1]_1\(0), I5 => \^axlen_cnt_reg[1]\, O => \axlen_cnt_reg[1]_0\(0) ); \axlen_cnt[1]_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[47]\(2), I2 => \axlen_cnt_reg[1]_1\(1), I3 => \axlen_cnt_reg[1]_1\(0), I4 => \^axlen_cnt_reg[1]\, O => \axlen_cnt_reg[1]_0\(1) ); \axlen_cnt[7]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00CA" ) port map ( I0 => si_rs_arvalid, I1 => m_axi_arready, I2 => \^m_payload_i_reg[0]_0\, I3 => \^m_payload_i_reg[0]\, O => \axaddr_wrap_reg[11]\(0) ); \axlen_cnt[7]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \^q\(0), I1 => si_rs_arvalid, I2 => \^q\(1), I3 => \axlen_cnt_reg[4]\, O => \^axlen_cnt_reg[1]\ ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^m_payload_i_reg[0]_0\, I1 => \^m_payload_i_reg[0]\, O => m_axi_arvalid ); \m_payload_i[31]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"D5" ) port map ( I0 => si_rs_arvalid, I1 => \^m_payload_i_reg[0]\, I2 => \^m_payload_i_reg[0]_0\, O => \m_payload_i_reg[0]_1\(0) ); \next_pending_r_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[51]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[4]\, I3 => \^r_push_r_reg\, I4 => next_pending_r_reg, O => \^incr_next_pending\ ); r_push_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"40" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => \^m_payload_i_reg[0]_0\, I2 => m_axi_arready, O => \^r_push_r_reg\ ); \s_axburst_eq0_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg ); \s_axburst_eq1_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => wrap_next_pending, I1 => \m_payload_i_reg[47]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg ); \sel_first_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FCFFFFFFCCCECCCE" ) port map ( I0 => si_rs_arvalid, I1 => areset_d1, I2 => \^m_payload_i_reg[0]\, I3 => \^m_payload_i_reg[0]_0\, I4 => m_axi_arready, I5 => sel_first_reg_1, O => \^sel_first_i\ ); \sel_first_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFC4C4CFCC" ) port map ( I0 => m_axi_arready, I1 => sel_first_reg_3, I2 => \^q\(1), I3 => si_rs_arvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"003030303E3E3E3E" ) port map ( I0 => si_rs_arvalid, I1 => \^q\(1), I2 => \^q\(0), I3 => m_axi_arready, I4 => s_axburst_eq1_reg_0, I5 => \cnt_read_reg[2]_rep__0\, O => next_state(0) ); \state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00AAB000" ) port map ( I0 => \cnt_read_reg[2]_rep__0\, I1 => s_axburst_eq1_reg_0, I2 => m_axi_arready, I3 => \^m_payload_i_reg[0]_0\, I4 => \^m_payload_i_reg[0]\, O => next_state(1) ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^m_payload_i_reg[0]_0\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(1), Q => \^m_payload_i_reg[0]\, R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => si_rs_arvalid, I2 => \^m_payload_i_reg[0]_0\, O => \^e\(0) ); \wrap_cnt_r[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wrap_second_len\(0), I1 => \m_payload_i_reg[44]\, O => D(0) ); \wrap_second_len_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF0000FCAAAAAAAA" ) port map ( I0 => \wrap_second_len_r_reg[1]\(0), I1 => axaddr_offset(2), I2 => \^axaddr_offset_r_reg[3]\(0), I3 => axaddr_offset(0), I4 => axaddr_offset(1), I5 => \^e\(0), O => \^wrap_second_len\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo is port ( \cnt_read_reg[0]_rep__0_0\ : out STD_LOGIC; \cnt_read_reg[1]_rep__1_0\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); \cnt_read_reg[0]_0\ : out STD_LOGIC; sel : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); bvalid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); b_push : in STD_LOGIC; shandshake_r : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 1 downto 0 ); areset_d1 : in STD_LOGIC; \bresp_cnt_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); mhandshake_r : in STD_LOGIC; bvalid_i_reg_0 : in STD_LOGIC; si_rs_bready : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo is signal bvalid_i_i_2_n_0 : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[0]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[0]_0\ : STD_LOGIC; signal \^cnt_read_reg[0]_rep__0_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \^cnt_read_reg[1]_rep__1_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_3_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_4_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_5_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_i_6_n_0\ : STD_LOGIC; signal \memory_reg[3][0]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][1]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][2]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][3]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][4]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][5]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][6]_srl4_n_0\ : STD_LOGIC; signal \memory_reg[3][7]_srl4_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_1\ : label is "soft_lutpair115"; attribute SOFT_HLUTNM of bvalid_i_i_1 : label is "soft_lutpair115"; attribute SOFT_HLUTNM of \cnt_read[0]_i_1\ : label is "soft_lutpair117"; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__2\ : label is "soft_lutpair116"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1\ : label is "soft_lutpair116"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][0]_srl4 "; attribute SOFT_HLUTNM of \memory_reg[3][0]_srl4_i_1__0\ : label is "soft_lutpair117"; attribute srl_bus_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][10]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][10]_srl4 "; attribute srl_bus_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][11]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][11]_srl4 "; attribute srl_bus_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][12]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][12]_srl4 "; attribute srl_bus_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][13]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][13]_srl4 "; attribute srl_bus_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][14]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][14]_srl4 "; attribute srl_bus_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][15]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][15]_srl4 "; attribute srl_bus_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][16]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][16]_srl4 "; attribute srl_bus_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][17]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][17]_srl4 "; attribute srl_bus_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][18]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][18]_srl4 "; attribute srl_bus_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][19]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][19]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][1]_srl4 "; attribute srl_bus_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][2]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][2]_srl4 "; attribute srl_bus_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][3]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][3]_srl4 "; attribute srl_bus_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][4]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][4]_srl4 "; attribute srl_bus_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][5]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][5]_srl4 "; attribute srl_bus_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][6]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][6]_srl4 "; attribute srl_bus_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][7]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][7]_srl4 "; attribute srl_bus_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][8]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][8]_srl4 "; attribute srl_bus_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][9]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bid_fifo_0/memory_reg[3][9]_srl4 "; begin \cnt_read_reg[0]_0\ <= \^cnt_read_reg[0]_0\; \cnt_read_reg[0]_rep__0_0\ <= \^cnt_read_reg[0]_rep__0_0\; \cnt_read_reg[1]_rep__1_0\ <= \^cnt_read_reg[1]_rep__1_0\; \bresp_cnt[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => areset_d1, I1 => \^cnt_read_reg[0]_0\, O => SR(0) ); bvalid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"002A" ) port map ( I0 => bvalid_i_i_2_n_0, I1 => bvalid_i_reg_0, I2 => si_rs_bready, I3 => areset_d1, O => bvalid_i_reg ); bvalid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00070707" ) port map ( I0 => \^cnt_read_reg[1]_rep__1_0\, I1 => \^cnt_read_reg[0]_rep__0_0\, I2 => shandshake_r, I3 => Q(1), I4 => Q(0), I5 => bvalid_i_reg_0, O => bvalid_i_i_2_n_0 ); \cnt_read[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"69" ) port map ( I0 => \^cnt_read_reg[0]_0\, I1 => shandshake_r, I2 => Q(0), O => D(0) ); \cnt_read[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^cnt_read_reg[0]_rep__0_0\, I1 => b_push, I2 => shandshake_r, O => \cnt_read[0]_i_1__2_n_0\ ); \cnt_read[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"E718" ) port map ( I0 => \^cnt_read_reg[0]_rep__0_0\, I1 => b_push, I2 => shandshake_r, I3 => \^cnt_read_reg[1]_rep__1_0\, O => \cnt_read[1]_i_1_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__2_n_0\, Q => \^cnt_read_reg[0]_rep__0_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1_n_0\, Q => \^cnt_read_reg[1]_rep__1_0\, S => areset_d1 ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(0), Q => \memory_reg[3][0]_srl4_n_0\ ); \memory_reg[3][0]_srl4_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^cnt_read_reg[0]_0\, O => sel ); \memory_reg[3][0]_srl4_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFEFFFFFFFFFFFE" ) port map ( I0 => \memory_reg[3][0]_srl4_i_3_n_0\, I1 => \memory_reg[3][0]_srl4_i_4_n_0\, I2 => \memory_reg[3][0]_srl4_i_5_n_0\, I3 => \memory_reg[3][0]_srl4_i_6_n_0\, I4 => \bresp_cnt_reg[7]\(3), I5 => \memory_reg[3][3]_srl4_n_0\, O => \^cnt_read_reg[0]_0\ ); \memory_reg[3][0]_srl4_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"22F2FFFFFFFF22F2" ) port map ( I0 => \memory_reg[3][0]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(0), I2 => \memory_reg[3][2]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(2), I4 => \memory_reg[3][1]_srl4_n_0\, I5 => \bresp_cnt_reg[7]\(1), O => \memory_reg[3][0]_srl4_i_3_n_0\ ); \memory_reg[3][0]_srl4_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"F222FFFFFFFFF222" ) port map ( I0 => \bresp_cnt_reg[7]\(5), I1 => \memory_reg[3][5]_srl4_n_0\, I2 => \^cnt_read_reg[1]_rep__1_0\, I3 => \^cnt_read_reg[0]_rep__0_0\, I4 => \bresp_cnt_reg[7]\(7), I5 => \memory_reg[3][7]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_4_n_0\ ); \memory_reg[3][0]_srl4_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"2FF22FF2FFFF2FF2" ) port map ( I0 => \bresp_cnt_reg[7]\(2), I1 => \memory_reg[3][2]_srl4_n_0\, I2 => \memory_reg[3][4]_srl4_n_0\, I3 => \bresp_cnt_reg[7]\(4), I4 => \bresp_cnt_reg[7]\(0), I5 => \memory_reg[3][0]_srl4_n_0\, O => \memory_reg[3][0]_srl4_i_5_n_0\ ); \memory_reg[3][0]_srl4_i_6\: unisim.vcomponents.LUT5 generic map( INIT => X"6F6FFF6F" ) port map ( I0 => \memory_reg[3][6]_srl4_n_0\, I1 => \bresp_cnt_reg[7]\(6), I2 => mhandshake_r, I3 => \memory_reg[3][5]_srl4_n_0\, I4 => \bresp_cnt_reg[7]\(5), O => \memory_reg[3][0]_srl4_i_6_n_0\ ); \memory_reg[3][10]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(10), Q => \out\(2) ); \memory_reg[3][11]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(11), Q => \out\(3) ); \memory_reg[3][12]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(12), Q => \out\(4) ); \memory_reg[3][13]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(13), Q => \out\(5) ); \memory_reg[3][14]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(14), Q => \out\(6) ); \memory_reg[3][15]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(15), Q => \out\(7) ); \memory_reg[3][16]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(16), Q => \out\(8) ); \memory_reg[3][17]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(17), Q => \out\(9) ); \memory_reg[3][18]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(18), Q => \out\(10) ); \memory_reg[3][19]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => cnt_read(0), A1 => cnt_read(1), A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(19), Q => \out\(11) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(1), Q => \memory_reg[3][1]_srl4_n_0\ ); \memory_reg[3][2]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(2), Q => \memory_reg[3][2]_srl4_n_0\ ); \memory_reg[3][3]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(3), Q => \memory_reg[3][3]_srl4_n_0\ ); \memory_reg[3][4]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(4), Q => \memory_reg[3][4]_srl4_n_0\ ); \memory_reg[3][5]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep__0_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(5), Q => \memory_reg[3][5]_srl4_n_0\ ); \memory_reg[3][6]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(6), Q => \memory_reg[3][6]_srl4_n_0\ ); \memory_reg[3][7]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(7), Q => \memory_reg[3][7]_srl4_n_0\ ); \memory_reg[3][8]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(8), Q => \out\(0) ); \memory_reg[3][9]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \cnt_read_reg[0]_rep_n_0\, A1 => \cnt_read_reg[1]_rep_n_0\, A2 => '0', A3 => '0', CE => b_push, CLK => aclk, D => \in\(9), Q => \out\(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is port ( mhandshake : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bready : out STD_LOGIC; \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; mhandshake_r : in STD_LOGIC; shandshake_r : in STD_LOGIC; \bresp_cnt_reg[3]\ : in STD_LOGIC; sel : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \cnt_read[1]_i_1__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__0\ : label is "soft_lutpair118"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute SOFT_HLUTNM of m_axi_bready_INST_0 : label is "soft_lutpair118"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name : string; attribute srl_name of \memory_reg[3][0]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][0]_srl4 "; attribute srl_bus_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3] "; attribute srl_name of \memory_reg[3][1]_srl4\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/WR.b_channel_0/bresp_fifo_0/memory_reg[3][1]_srl4 "; begin Q(1 downto 0) <= \^q\(1 downto 0); \cnt_read[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \^q\(1), I1 => shandshake_r, I2 => \^q\(0), I3 => \bresp_cnt_reg[3]\, O => \cnt_read[1]_i_1__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => D(0), Q => \^q\(0), S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__0_n_0\, Q => \^q\(1), S => areset_d1 ); m_axi_bready_INST_0: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => mhandshake_r, O => m_axi_bready ); \memory_reg[3][0]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => sel, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[1]\(0) ); \memory_reg[3][1]_srl4\: unisim.vcomponents.SRL16E generic map( INIT => X"0000" ) port map ( A0 => \^q\(0), A1 => \^q\(1), A2 => '0', A3 => '0', CE => sel, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[1]\(1) ); mhandshake_r_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"2000" ) port map ( I0 => m_axi_bvalid, I1 => mhandshake_r, I2 => \^q\(0), I3 => \^q\(1), O => mhandshake ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is port ( \cnt_read_reg[3]_rep__2_0\ : out STD_LOGIC; wr_en0 : out STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2_1\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); s_ready_i_reg : in STD_LOGIC; s_ready_i_reg_0 : in STD_LOGIC; si_rs_rready : in STD_LOGIC; \cnt_read_reg[4]_rep__0_0\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__2_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__1_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__2_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[3]_rep__2_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__1_n_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_0\ : STD_LOGIC; signal \^cnt_read_reg[4]_rep__2_1\ : STD_LOGIC; signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC; signal \^wr_en0\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__0\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__2\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \cnt_read[4]_i_2\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \cnt_read[4]_i_5\ : label is "soft_lutpair9"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__1\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__2\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__1\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__2\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__1\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__2\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__1\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__2\ : label is "cnt_read_reg[3]"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__1\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__1\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__1\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__2\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__2\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__2\ : label is "cnt_read_reg[4]"; attribute SOFT_HLUTNM of m_axi_rready_INST_0 : label is "soft_lutpair7"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][10]_srl32 "; attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][11]_srl32 "; attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][12]_srl32 "; attribute srl_bus_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][13]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][13]_srl32 "; attribute srl_bus_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][14]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][14]_srl32 "; attribute srl_bus_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][15]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][15]_srl32 "; attribute srl_bus_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][16]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][16]_srl32 "; attribute srl_bus_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][17]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][17]_srl32 "; attribute srl_bus_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][18]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][18]_srl32 "; attribute srl_bus_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][19]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][19]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][1]_srl32 "; attribute srl_bus_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][20]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][20]_srl32 "; attribute srl_bus_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][21]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][21]_srl32 "; attribute srl_bus_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][22]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][22]_srl32 "; attribute srl_bus_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][23]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][23]_srl32 "; attribute srl_bus_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][24]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][24]_srl32 "; attribute srl_bus_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][25]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][25]_srl32 "; attribute srl_bus_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][26]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][26]_srl32 "; attribute srl_bus_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][27]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][27]_srl32 "; attribute srl_bus_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][28]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][28]_srl32 "; attribute srl_bus_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][29]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][29]_srl32 "; attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][2]_srl32 "; attribute srl_bus_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][30]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][30]_srl32 "; attribute srl_bus_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][31]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][31]_srl32 "; attribute srl_bus_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][32]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][32]_srl32 "; attribute srl_bus_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][33]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][33]_srl32 "; attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][3]_srl32 "; attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][4]_srl32 "; attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][5]_srl32 "; attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][6]_srl32 "; attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][7]_srl32 "; attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][8]_srl32 "; attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/rd_data_fifo_0/memory_reg[31][9]_srl32 "; attribute SOFT_HLUTNM of \state[1]_i_4\ : label is "soft_lutpair7"; begin \cnt_read_reg[3]_rep__2_0\ <= \^cnt_read_reg[3]_rep__2_0\; \cnt_read_reg[4]_rep__2_0\ <= \^cnt_read_reg[4]_rep__2_0\; \cnt_read_reg[4]_rep__2_1\ <= \^cnt_read_reg[4]_rep__2_1\; wr_en0 <= \^wr_en0\; \cnt_read[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => s_ready_i_reg, I2 => \^wr_en0\, O => \cnt_read[0]_i_1__0_n_0\ ); \cnt_read[1]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"A96A" ) port map ( I0 => \cnt_read_reg[1]_rep__2_n_0\, I1 => \cnt_read_reg[0]_rep__2_n_0\, I2 => \^wr_en0\, I3 => s_ready_i_reg, O => \cnt_read[1]_i_1__2_n_0\ ); \cnt_read[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"A6AAAA9A" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => s_ready_i_reg, I3 => \^wr_en0\, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => \cnt_read[2]_i_1_n_0\ ); \cnt_read[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAA96AAAAAAA" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[0]_rep__2_n_0\, I4 => \^wr_en0\, I5 => s_ready_i_reg, O => \cnt_read[3]_i_1_n_0\ ); \cnt_read[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA55AAA6A6AAA6AA" ) port map ( I0 => \^cnt_read_reg[4]_rep__2_0\, I1 => \cnt_read[4]_i_2_n_0\, I2 => \cnt_read[4]_i_3_n_0\, I3 => s_ready_i_reg_0, I4 => \^cnt_read_reg[4]_rep__2_1\, I5 => \^cnt_read_reg[3]_rep__2_0\, O => \cnt_read[4]_i_1_n_0\ ); \cnt_read[4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \cnt_read_reg[2]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, O => \cnt_read[4]_i_2_n_0\ ); \cnt_read[4]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => si_rs_rready, I2 => \cnt_read_reg[4]_rep__0_0\, I3 => \^wr_en0\, O => \cnt_read[4]_i_3_n_0\ ); \cnt_read[4]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[1]_rep__2_n_0\, I2 => \cnt_read_reg[2]_rep__2_n_0\, O => \^cnt_read_reg[4]_rep__2_1\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__0_n_0\, Q => \cnt_read_reg[0]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__2_n_0\, Q => \cnt_read_reg[1]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1_n_0\, Q => \cnt_read_reg[2]_rep__2_n_0\, S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \cnt_read_reg[3]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1_n_0\, Q => \^cnt_read_reg[3]_rep__2_0\, S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => cnt_read(4), S => areset_d1 ); \cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__1\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \cnt_read_reg[4]_rep__1_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__2\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1_n_0\, Q => \^cnt_read_reg[4]_rep__2_0\, S => areset_d1 ); m_axi_rready_INST_0: unisim.vcomponents.LUT5 generic map( INIT => X"F77F777F" ) port map ( I0 => \^cnt_read_reg[3]_rep__2_0\, I1 => \^cnt_read_reg[4]_rep__2_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \cnt_read_reg[2]_rep__2_n_0\, I4 => \cnt_read_reg[0]_rep__2_n_0\, O => m_axi_rready ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(0), Q => \out\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][0]_srl32_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AA2A2AAA2A2A2AAA" ) port map ( I0 => m_axi_rvalid, I1 => \^cnt_read_reg[3]_rep__2_0\, I2 => \^cnt_read_reg[4]_rep__2_0\, I3 => \cnt_read_reg[1]_rep__2_n_0\, I4 => \cnt_read_reg[2]_rep__2_n_0\, I5 => \cnt_read_reg[0]_rep__2_n_0\, O => \^wr_en0\ ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(10), Q => \out\(10), Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(11), Q => \out\(11), Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(12), Q => \out\(12), Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][13]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(13), Q => \out\(13), Q31 => \NLW_memory_reg[31][13]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][14]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(14), Q => \out\(14), Q31 => \NLW_memory_reg[31][14]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][15]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(15), Q => \out\(15), Q31 => \NLW_memory_reg[31][15]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][16]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(16), Q => \out\(16), Q31 => \NLW_memory_reg[31][16]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][17]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(17), Q => \out\(17), Q31 => \NLW_memory_reg[31][17]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][18]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(18), Q => \out\(18), Q31 => \NLW_memory_reg[31][18]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][19]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(19), Q => \out\(19), Q31 => \NLW_memory_reg[31][19]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(1), Q => \out\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][20]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(20), Q => \out\(20), Q31 => \NLW_memory_reg[31][20]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][21]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(21), Q => \out\(21), Q31 => \NLW_memory_reg[31][21]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][22]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(22), Q => \out\(22), Q31 => \NLW_memory_reg[31][22]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][23]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(23), Q => \out\(23), Q31 => \NLW_memory_reg[31][23]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][24]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(24), Q => \out\(24), Q31 => \NLW_memory_reg[31][24]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][25]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(25), Q => \out\(25), Q31 => \NLW_memory_reg[31][25]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][26]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(26), Q => \out\(26), Q31 => \NLW_memory_reg[31][26]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][27]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(27), Q => \out\(27), Q31 => \NLW_memory_reg[31][27]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][28]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(28), Q => \out\(28), Q31 => \NLW_memory_reg[31][28]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][29]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(29), Q => \out\(29), Q31 => \NLW_memory_reg[31][29]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(2), Q => \out\(2), Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][30]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(30), Q => \out\(30), Q31 => \NLW_memory_reg[31][30]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][31]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(31), Q => \out\(31), Q31 => \NLW_memory_reg[31][31]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][32]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(32), Q => \out\(32), Q31 => \NLW_memory_reg[31][32]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][33]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => \^wr_en0\, CLK => aclk, D => \in\(33), Q => \out\(33), Q31 => \NLW_memory_reg[31][33]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(3), Q => \out\(3), Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(4), Q => \out\(4), Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(5), Q => \out\(5), Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__1_n_0\, A(3) => \cnt_read_reg[3]_rep__1_n_0\, A(2) => \cnt_read_reg[2]_rep__1_n_0\, A(1) => \cnt_read_reg[1]_rep__1_n_0\, A(0) => \cnt_read_reg[0]_rep__1_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(6), Q => \out\(6), Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(7), Q => \out\(7), Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(8), Q => \out\(8), Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep__0_n_0\, A(3) => \cnt_read_reg[3]_rep__0_n_0\, A(2) => \cnt_read_reg[2]_rep__0_n_0\, A(1) => \cnt_read_reg[1]_rep__0_n_0\, A(0) => \cnt_read_reg[0]_rep__0_n_0\, CE => \^wr_en0\, CLK => aclk, D => \in\(9), Q => \out\(9), Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"7C000000" ) port map ( I0 => \cnt_read_reg[0]_rep__2_n_0\, I1 => \cnt_read_reg[2]_rep__2_n_0\, I2 => \cnt_read_reg[1]_rep__2_n_0\, I3 => \^cnt_read_reg[4]_rep__2_0\, I4 => \^cnt_read_reg[3]_rep__2_0\, O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is port ( \state_reg[1]_rep\ : out STD_LOGIC; \cnt_read_reg[4]_rep__2\ : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); r_push_r : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; \cnt_read_reg[0]_rep__2\ : in STD_LOGIC; si_rs_rready : in STD_LOGIC; wr_en0 : in STD_LOGIC; \cnt_read_reg[4]_rep__2_0\ : in STD_LOGIC; \cnt_read_reg[3]_rep__2\ : in STD_LOGIC; \cnt_read_reg[0]_rep__2_0\ : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); aclk : in STD_LOGIC; areset_d1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ : entity is "axi_protocol_converter_v2_1_13_b2s_simple_fifo"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ is signal cnt_read : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \cnt_read[0]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[1]_i_1__1_n_0\ : STD_LOGIC; signal \cnt_read[2]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[3]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_1__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_2__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_3__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_4__0_n_0\ : STD_LOGIC; signal \cnt_read[4]_i_5__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[0]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[1]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[2]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[3]_rep_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep__0_n_0\ : STD_LOGIC; signal \cnt_read_reg[4]_rep_n_0\ : STD_LOGIC; signal \^m_valid_i_reg\ : STD_LOGIC; signal \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; signal \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[0]_i_1__1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \cnt_read[1]_i_1__1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[2]_i_1__0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \cnt_read[4]_i_2__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \cnt_read[4]_i_3__0\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \cnt_read[4]_i_4__0\ : label is "soft_lutpair11"; attribute KEEP : string; attribute KEEP of \cnt_read_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \cnt_read_reg[0]\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep\ : label is "cnt_read_reg[0]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[0]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[0]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[0]_rep__0\ : label is "cnt_read_reg[0]"; attribute KEEP of \cnt_read_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep\ : label is "cnt_read_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[1]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[1]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[1]_rep__0\ : label is "cnt_read_reg[1]"; attribute KEEP of \cnt_read_reg[2]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep\ : label is "cnt_read_reg[2]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[2]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[2]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[2]_rep__0\ : label is "cnt_read_reg[2]"; attribute KEEP of \cnt_read_reg[3]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep\ : label is "cnt_read_reg[3]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[3]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[3]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[3]_rep__0\ : label is "cnt_read_reg[3]"; attribute KEEP of \cnt_read_reg[4]\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep\ : label is "cnt_read_reg[4]"; attribute IS_FANOUT_CONSTRAINED of \cnt_read_reg[4]_rep__0\ : label is 1; attribute KEEP of \cnt_read_reg[4]_rep__0\ : label is "yes"; attribute ORIG_CELL_NAME of \cnt_read_reg[4]_rep__0\ : label is "cnt_read_reg[4]"; attribute srl_bus_name : string; attribute srl_bus_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name : string; attribute srl_name of \memory_reg[31][0]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][0]_srl32 "; attribute srl_bus_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][10]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][10]_srl32 "; attribute srl_bus_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][11]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][11]_srl32 "; attribute srl_bus_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][12]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][12]_srl32 "; attribute srl_bus_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][1]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][1]_srl32 "; attribute srl_bus_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][2]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][2]_srl32 "; attribute srl_bus_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][3]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][3]_srl32 "; attribute srl_bus_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][4]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][4]_srl32 "; attribute srl_bus_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][5]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][5]_srl32 "; attribute srl_bus_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][6]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][6]_srl32 "; attribute srl_bus_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][7]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][7]_srl32 "; attribute srl_bus_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][8]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][8]_srl32 "; attribute srl_bus_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31] "; attribute srl_name of \memory_reg[31][9]_srl32\ : label is "inst/\gen_axilite.gen_b2s_conv.axilite_b2s/RD.r_channel_0/transaction_fifo_0/memory_reg[31][9]_srl32 "; begin m_valid_i_reg <= \^m_valid_i_reg\; \cnt_read[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \cnt_read_reg[0]_rep__0_n_0\, I1 => s_ready_i_reg, I2 => r_push_r, O => \cnt_read[0]_i_1__1_n_0\ ); \cnt_read[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"A69A" ) port map ( I0 => \cnt_read_reg[1]_rep__0_n_0\, I1 => \cnt_read_reg[0]_rep__0_n_0\, I2 => s_ready_i_reg, I3 => r_push_r, O => \cnt_read[1]_i_1__1_n_0\ ); \cnt_read[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AA6AA9AA" ) port map ( I0 => \cnt_read_reg[2]_rep__0_n_0\, I1 => \cnt_read_reg[1]_rep__0_n_0\, I2 => r_push_r, I3 => s_ready_i_reg, I4 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[2]_i_1__0_n_0\ ); \cnt_read[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA6AAAAAA9AAAA" ) port map ( I0 => \cnt_read_reg[3]_rep__0_n_0\, I1 => \cnt_read_reg[2]_rep__0_n_0\, I2 => \cnt_read_reg[1]_rep__0_n_0\, I3 => r_push_r, I4 => s_ready_i_reg, I5 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[3]_i_1__0_n_0\ ); \cnt_read[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6A666A6AAA99AAAA" ) port map ( I0 => \cnt_read_reg[4]_rep__0_n_0\, I1 => \cnt_read[4]_i_2__0_n_0\, I2 => \cnt_read[4]_i_3__0_n_0\, I3 => \cnt_read[4]_i_4__0_n_0\, I4 => \cnt_read[4]_i_5__0_n_0\, I5 => \cnt_read_reg[3]_rep__0_n_0\, O => \cnt_read[4]_i_1__0_n_0\ ); \cnt_read[4]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"8A" ) port map ( I0 => r_push_r, I1 => \^m_valid_i_reg\, I2 => si_rs_rready, O => \cnt_read[4]_i_2__0_n_0\ ); \cnt_read[4]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"80" ) port map ( I0 => \cnt_read_reg[2]_rep__0_n_0\, I1 => \cnt_read_reg[1]_rep__0_n_0\, I2 => \cnt_read_reg[0]_rep__0_n_0\, O => \cnt_read[4]_i_3__0_n_0\ ); \cnt_read[4]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"4F" ) port map ( I0 => \^m_valid_i_reg\, I1 => si_rs_rready, I2 => wr_en0, O => \cnt_read_reg[4]_rep__2\ ); \cnt_read[4]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFB" ) port map ( I0 => \cnt_read_reg[0]_rep__0_n_0\, I1 => si_rs_rready, I2 => \^m_valid_i_reg\, I3 => r_push_r, O => \cnt_read[4]_i_4__0_n_0\ ); \cnt_read[4]_i_5__0\: unisim.vcomponents.LUT2 generic map( INIT => X"1" ) port map ( I0 => \cnt_read_reg[1]_rep__0_n_0\, I1 => \cnt_read_reg[2]_rep__0_n_0\, O => \cnt_read[4]_i_5__0_n_0\ ); \cnt_read_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => cnt_read(0), S => areset_d1 ); \cnt_read_reg[0]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[0]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[0]_i_1__1_n_0\, Q => \cnt_read_reg[0]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => cnt_read(1), S => areset_d1 ); \cnt_read_reg[1]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[1]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[1]_i_1__1_n_0\, Q => \cnt_read_reg[1]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => cnt_read(2), S => areset_d1 ); \cnt_read_reg[2]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => \cnt_read_reg[2]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[2]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[2]_i_1__0_n_0\, Q => \cnt_read_reg[2]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => cnt_read(3), S => areset_d1 ); \cnt_read_reg[3]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => \cnt_read_reg[3]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[3]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[3]_i_1__0_n_0\, Q => \cnt_read_reg[3]_rep__0_n_0\, S => areset_d1 ); \cnt_read_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => cnt_read(4), S => areset_d1 ); \cnt_read_reg[4]_rep\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => \cnt_read_reg[4]_rep_n_0\, S => areset_d1 ); \cnt_read_reg[4]_rep__0\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \cnt_read[4]_i_1__0_n_0\, Q => \cnt_read_reg[4]_rep__0_n_0\, S => areset_d1 ); m_valid_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"FF80808080808080" ) port map ( I0 => \cnt_read_reg[4]_rep__0_n_0\, I1 => \cnt_read_reg[3]_rep__0_n_0\, I2 => \cnt_read[4]_i_3__0_n_0\, I3 => \cnt_read_reg[4]_rep__2_0\, I4 => \cnt_read_reg[3]_rep__2\, I5 => \cnt_read_reg[0]_rep__2_0\, O => \^m_valid_i_reg\ ); \memory_reg[31][0]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(0), Q => \skid_buffer_reg[46]\(0), Q31 => \NLW_memory_reg[31][0]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][10]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(10), Q => \skid_buffer_reg[46]\(10), Q31 => \NLW_memory_reg[31][10]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][11]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(11), Q => \skid_buffer_reg[46]\(11), Q31 => \NLW_memory_reg[31][11]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][12]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(12), Q => \skid_buffer_reg[46]\(12), Q31 => \NLW_memory_reg[31][12]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][1]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(1), Q => \skid_buffer_reg[46]\(1), Q31 => \NLW_memory_reg[31][1]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][2]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(2), Q => \skid_buffer_reg[46]\(2), Q31 => \NLW_memory_reg[31][2]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][3]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(3), Q => \skid_buffer_reg[46]\(3), Q31 => \NLW_memory_reg[31][3]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][4]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(4), Q => \skid_buffer_reg[46]\(4), Q31 => \NLW_memory_reg[31][4]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][5]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4) => \cnt_read_reg[4]_rep_n_0\, A(3) => \cnt_read_reg[3]_rep_n_0\, A(2) => \cnt_read_reg[2]_rep_n_0\, A(1) => \cnt_read_reg[1]_rep_n_0\, A(0) => \cnt_read_reg[0]_rep_n_0\, CE => r_push_r, CLK => aclk, D => \in\(5), Q => \skid_buffer_reg[46]\(5), Q31 => \NLW_memory_reg[31][5]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][6]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(6), Q => \skid_buffer_reg[46]\(6), Q31 => \NLW_memory_reg[31][6]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][7]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(7), Q => \skid_buffer_reg[46]\(7), Q31 => \NLW_memory_reg[31][7]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][8]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(8), Q => \skid_buffer_reg[46]\(8), Q31 => \NLW_memory_reg[31][8]_srl32_Q31_UNCONNECTED\ ); \memory_reg[31][9]_srl32\: unisim.vcomponents.SRLC32E generic map( INIT => X"00000000" ) port map ( A(4 downto 0) => cnt_read(4 downto 0), CE => r_push_r, CLK => aclk, D => \in\(9), Q => \skid_buffer_reg[46]\(9), Q31 => \NLW_memory_reg[31][9]_srl32_Q31_UNCONNECTED\ ); \state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"BEFEAAAAAAAAAAAA" ) port map ( I0 => \cnt_read_reg[0]_rep__2\, I1 => \cnt_read_reg[2]_rep__0_n_0\, I2 => \cnt_read_reg[1]_rep__0_n_0\, I3 => \cnt_read_reg[0]_rep__0_n_0\, I4 => \cnt_read_reg[3]_rep__0_n_0\, I5 => \cnt_read_reg[4]_rep__0_n_0\, O => \state_reg[1]_rep\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is port ( \axlen_cnt_reg[4]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[1]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : out STD_LOGIC; \state_reg[1]_rep_1\ : out STD_LOGIC; \axlen_cnt_reg[5]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axburst_eq0_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_i : out STD_LOGIC; incr_next_pending : out STD_LOGIC; s_axburst_eq1_reg : out STD_LOGIC; \next\ : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \axaddr_wrap_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; sel_first_reg : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; si_rs_awvalid : in STD_LOGIC; \axlen_cnt_reg[3]\ : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; s_axburst_eq1_reg_0 : in STD_LOGIC; \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \cnt_read_reg[0]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; \m_payload_i_reg[49]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); \axlen_cnt_reg[5]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[3]_0\ : in STD_LOGIC; \axlen_cnt_reg[4]_0\ : in STD_LOGIC; \wrap_second_len_r_reg[1]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[48]\ : in STD_LOGIC; next_pending_r_reg : in STD_LOGIC; areset_d1 : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \axlen_cnt_reg[2]\ : in STD_LOGIC; next_pending_r_reg_0 : in STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; \sel_first__0\ : in STD_LOGIC; aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axlen_cnt_reg[4]\ : STD_LOGIC; signal \^incr_next_pending\ : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^next\ : STD_LOGIC; signal next_state : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sel_first_i\ : STD_LOGIC; signal \state[0]_i_2_n_0\ : STD_LOGIC; signal \state[1]_i_1__0_n_0\ : STD_LOGIC; signal \^state_reg[1]_rep_0\ : STD_LOGIC; signal \^state_reg[1]_rep_1\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; signal \^wrap_second_len_r_reg[1]\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_incr[0]_i_1\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_1\ : label is "soft_lutpair110"; attribute SOFT_HLUTNM of \axlen_cnt[7]_i_5\ : label is "soft_lutpair111"; attribute SOFT_HLUTNM of m_axi_awvalid_INST_0 : label is "soft_lutpair112"; attribute SOFT_HLUTNM of s_axburst_eq0_i_1 : label is "soft_lutpair109"; attribute SOFT_HLUTNM of s_axburst_eq1_i_1 : label is "soft_lutpair109"; attribute SOFT_HLUTNM of \state[0]_i_1\ : label is "soft_lutpair111"; attribute KEEP : string; attribute KEEP of \state_reg[0]\ : label is "yes"; attribute ORIG_CELL_NAME : string; attribute ORIG_CELL_NAME of \state_reg[0]\ : label is "state_reg[0]"; attribute IS_FANOUT_CONSTRAINED : integer; attribute IS_FANOUT_CONSTRAINED of \state_reg[0]_rep\ : label is 1; attribute KEEP of \state_reg[0]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[0]_rep\ : label is "state_reg[0]"; attribute KEEP of \state_reg[1]\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]\ : label is "state_reg[1]"; attribute IS_FANOUT_CONSTRAINED of \state_reg[1]_rep\ : label is 1; attribute KEEP of \state_reg[1]_rep\ : label is "yes"; attribute ORIG_CELL_NAME of \state_reg[1]_rep\ : label is "state_reg[1]"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[11]_i_1\ : label is "soft_lutpair112"; begin E(0) <= \^e\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axlen_cnt_reg[4]\ <= \^axlen_cnt_reg[4]\; incr_next_pending <= \^incr_next_pending\; \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \next\ <= \^next\; sel_first_i <= \^sel_first_i\; \state_reg[1]_rep_0\ <= \^state_reg[1]_rep_0\; \state_reg[1]_rep_1\ <= \^state_reg[1]_rep_1\; wrap_next_pending <= \^wrap_next_pending\; \wrap_second_len_r_reg[1]\(0) <= \^wrap_second_len_r_reg[1]\(0); \axaddr_incr[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"EEFE" ) port map ( I0 => sel_first_reg_2, I1 => \^m_payload_i_reg[0]\, I2 => \^state_reg[1]_rep_0\, I3 => \^state_reg[1]_rep_1\, O => \axaddr_incr_reg[11]\ ); \axaddr_offset_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAACAAAAAAA0AA" ) port map ( I0 => \axaddr_offset_r_reg[3]_0\(0), I1 => \m_payload_i_reg[49]\(3), I2 => \^state_reg[1]_rep_1\, I3 => si_rs_awvalid, I4 => \^state_reg[1]_rep_0\, I5 => \m_payload_i_reg[6]\, O => \^axaddr_offset_r_reg[3]\(0) ); \axlen_cnt[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0400FFFF04000400" ) port map ( I0 => \^q\(1), I1 => si_rs_awvalid, I2 => \^q\(0), I3 => \m_payload_i_reg[49]\(1), I4 => \axlen_cnt_reg[5]_0\(0), I5 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(0) ); \axlen_cnt[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(2), I2 => \axlen_cnt_reg[5]_0\(1), I3 => \axlen_cnt_reg[5]_0\(0), I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(1) ); \axlen_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(4), I2 => \axlen_cnt_reg[5]_0\(2), I3 => \axlen_cnt_reg[3]_0\, I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(2) ); \axlen_cnt[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"F88F8888" ) port map ( I0 => \^e\(0), I1 => \m_payload_i_reg[49]\(5), I2 => \axlen_cnt_reg[5]_0\(3), I3 => \axlen_cnt_reg[4]_0\, I4 => \^axlen_cnt_reg[4]\, O => \axlen_cnt_reg[5]\(3) ); \axlen_cnt[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCFE" ) port map ( I0 => si_rs_awvalid, I1 => \^m_payload_i_reg[0]\, I2 => \^state_reg[1]_rep_0\, I3 => \^state_reg[1]_rep_1\, O => \axaddr_wrap_reg[0]\(0) ); \axlen_cnt[7]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"00FB" ) port map ( I0 => \^q\(0), I1 => si_rs_awvalid, I2 => \^q\(1), I3 => \axlen_cnt_reg[3]\, O => \^axlen_cnt_reg[4]\ ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^state_reg[1]_rep_1\, I1 => \^state_reg[1]_rep_0\, O => m_axi_awvalid ); \m_payload_i[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => si_rs_awvalid, O => \m_payload_i_reg[0]_0\(0) ); \memory_reg[3][0]_srl4_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"88008888A800A8A8" ) port map ( I0 => \^state_reg[1]_rep_1\, I1 => \^state_reg[1]_rep_0\, I2 => m_axi_awready, I3 => \cnt_read_reg[0]_rep__0\, I4 => \cnt_read_reg[1]_rep__1\, I5 => s_axburst_eq1_reg_0, O => \^m_payload_i_reg[0]\ ); next_pending_r_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[48]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[3]\, I3 => \^next\, I4 => next_pending_r_reg, O => \^incr_next_pending\ ); \next_pending_r_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8BBB8B88" ) port map ( I0 => \m_payload_i_reg[46]\, I1 => \^e\(0), I2 => \axlen_cnt_reg[2]\, I3 => \^next\, I4 => next_pending_r_reg_0, O => \^wrap_next_pending\ ); next_pending_r_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"F3F35100FFFF0000" ) port map ( I0 => s_axburst_eq1_reg_0, I1 => \cnt_read_reg[1]_rep__1\, I2 => \cnt_read_reg[0]_rep__0\, I3 => m_axi_awready, I4 => \^state_reg[1]_rep_0\, I5 => \^state_reg[1]_rep_1\, O => \^next\ ); s_axburst_eq0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[49]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq0_reg ); s_axburst_eq1_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"ABA8" ) port map ( I0 => \^wrap_next_pending\, I1 => \m_payload_i_reg[49]\(0), I2 => \^sel_first_i\, I3 => \^incr_next_pending\, O => s_axburst_eq1_reg ); sel_first_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"CCCEFCFFCCCECCCE" ) port map ( I0 => si_rs_awvalid, I1 => areset_d1, I2 => \^state_reg[1]_rep_1\, I3 => \^state_reg[1]_rep_0\, I4 => \^m_payload_i_reg[0]\, I5 => sel_first_reg_1, O => \^sel_first_i\ ); \sel_first_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44440F04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => sel_first_reg_2, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg ); \sel_first_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF44440F04" ) port map ( I0 => \^m_payload_i_reg[0]\, I1 => \sel_first__0\, I2 => \^q\(1), I3 => si_rs_awvalid, I4 => \^q\(0), I5 => areset_d1, O => sel_first_reg_0 ); \state[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"2F" ) port map ( I0 => si_rs_awvalid, I1 => \^q\(0), I2 => \state[0]_i_2_n_0\, O => next_state(0) ); \state[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FA08FAFA0F0F0F0F" ) port map ( I0 => m_axi_awready, I1 => s_axburst_eq1_reg_0, I2 => \^state_reg[1]_rep_0\, I3 => \cnt_read_reg[0]_rep__0\, I4 => \cnt_read_reg[1]_rep__1\, I5 => \^state_reg[1]_rep_1\, O => \state[0]_i_2_n_0\ ); \state[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0C0CAE0000000000" ) port map ( I0 => s_axburst_eq1_reg_0, I1 => \cnt_read_reg[1]_rep__1\, I2 => \cnt_read_reg[0]_rep__0\, I3 => m_axi_awready, I4 => \^state_reg[1]_rep_0\, I5 => \^state_reg[1]_rep_1\, O => \state[1]_i_1__0_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^q\(0), R => areset_d1 ); \state_reg[0]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => next_state(0), Q => \^state_reg[1]_rep_1\, R => areset_d1 ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \state[1]_i_1__0_n_0\, Q => \^q\(1), R => areset_d1 ); \state_reg[1]_rep\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \state[1]_i_1__0_n_0\, Q => \^state_reg[1]_rep_0\, R => areset_d1 ); \wrap_boundary_axaddr_r[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^state_reg[1]_rep_0\, I1 => si_rs_awvalid, I2 => \^state_reg[1]_rep_1\, O => \^e\(0) ); \wrap_cnt_r[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^wrap_second_len_r_reg[1]\(0), I1 => \m_payload_i_reg[44]\, O => D(0) ); \wrap_second_len_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FF0000FCAAAAAAAA" ) port map ( I0 => \wrap_second_len_r_reg[1]_0\(0), I1 => \m_payload_i_reg[35]\(2), I2 => \^axaddr_offset_r_reg[3]\(0), I3 => \m_payload_i_reg[35]\(0), I4 => \m_payload_i_reg[35]\(1), I5 => \^e\(0), O => \^wrap_second_len_r_reg[1]\(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is port ( next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); wrap_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); \next\ : in STD_LOGIC; axaddr_incr_reg : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); sel_first_reg_2 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd is signal axaddr_wrap : STD_LOGIC_VECTOR ( 11 downto 0 ); signal axaddr_wrap0 : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \axaddr_wrap[0]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2_n_3\ : STD_LOGIC; signal \axlen_cnt[0]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__0_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal wrap_boundary_axaddr_r : STD_LOGIC_VECTOR ( 11 downto 0 ); signal wrap_cnt_r : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_wrap[11]_i_2\ : label is "soft_lutpair114"; attribute SOFT_HLUTNM of \next_pending_r_i_3__0\ : label is "soft_lutpair114"; begin sel_first_reg_0 <= \^sel_first_reg_0\; \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(0), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(0), I3 => \next\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1_n_0\ ); \axaddr_wrap[10]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(10), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(10), I3 => \next\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1_n_0\ ); \axaddr_wrap[11]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(11), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(11), I3 => \next\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1_n_0\ ); \axaddr_wrap[11]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4_n_0\, I1 => wrap_cnt_r(3), I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2_n_0\ ); \axaddr_wrap[11]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => wrap_cnt_r(0), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[2]\, I3 => wrap_cnt_r(2), I4 => \axlen_cnt_reg_n_0_[1]\, I5 => wrap_cnt_r(1), O => \axaddr_wrap[11]_i_4_n_0\ ); \axaddr_wrap[11]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(11), O => \axaddr_wrap[11]_i_5_n_0\ ); \axaddr_wrap[11]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(10), O => \axaddr_wrap[11]_i_6_n_0\ ); \axaddr_wrap[11]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(9), O => \axaddr_wrap[11]_i_7_n_0\ ); \axaddr_wrap[11]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(8), O => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(1), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(1), I3 => \next\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1_n_0\ ); \axaddr_wrap[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(2), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(2), I3 => \next\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1_n_0\ ); \axaddr_wrap[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(3), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(3), I3 => \next\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => axaddr_wrap(3), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(2), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => axaddr_wrap(1), I1 => \m_payload_i_reg[47]\(13), I2 => \m_payload_i_reg[47]\(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => axaddr_wrap(0), I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(4), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(4), I3 => \next\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1_n_0\ ); \axaddr_wrap[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(5), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(5), I3 => \next\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1_n_0\ ); \axaddr_wrap[6]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(6), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(6), I3 => \next\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1_n_0\ ); \axaddr_wrap[7]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(7), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(7), I3 => \next\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1_n_0\ ); \axaddr_wrap[7]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(7), O => \axaddr_wrap[7]_i_3_n_0\ ); \axaddr_wrap[7]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(6), O => \axaddr_wrap[7]_i_4_n_0\ ); \axaddr_wrap[7]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(5), O => \axaddr_wrap[7]_i_5_n_0\ ); \axaddr_wrap[7]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => axaddr_wrap(4), O => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(8), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(8), I3 => \next\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1_n_0\ ); \axaddr_wrap[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => wrap_boundary_axaddr_r(9), I1 => \axaddr_wrap[11]_i_2_n_0\, I2 => axaddr_wrap0(9), I3 => \next\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[0]_i_1_n_0\, Q => axaddr_wrap(0), R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[10]_i_1_n_0\, Q => axaddr_wrap(10), R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[11]_i_1_n_0\, Q => axaddr_wrap(11), R => '0' ); \axaddr_wrap_reg[11]_i_3\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(11 downto 8), S(3) => \axaddr_wrap[11]_i_5_n_0\, S(2) => \axaddr_wrap[11]_i_6_n_0\, S(1) => \axaddr_wrap[11]_i_7_n_0\, S(0) => \axaddr_wrap[11]_i_8_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[1]_i_1_n_0\, Q => axaddr_wrap(1), R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[2]_i_1_n_0\, Q => axaddr_wrap(2), R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[3]_i_1_n_0\, Q => axaddr_wrap(3), R => '0' ); \axaddr_wrap_reg[3]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => axaddr_wrap(3 downto 0), O(3 downto 0) => axaddr_wrap0(3 downto 0), S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[4]_i_1_n_0\, Q => axaddr_wrap(4), R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[5]_i_1_n_0\, Q => axaddr_wrap(5), R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[6]_i_1_n_0\, Q => axaddr_wrap(6), R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[7]_i_1_n_0\, Q => axaddr_wrap(7), R => '0' ); \axaddr_wrap_reg[7]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => axaddr_wrap0(7 downto 4), S(3) => \axaddr_wrap[7]_i_3_n_0\, S(2) => \axaddr_wrap[7]_i_4_n_0\, S(1) => \axaddr_wrap[7]_i_5_n_0\, S(0) => \axaddr_wrap[7]_i_6_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[8]_i_1_n_0\, Q => axaddr_wrap(8), R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[9]_i_1_n_0\, Q => axaddr_wrap(9), R => '0' ); \axlen_cnt[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[1]\, I5 => \axlen_cnt_reg_n_0_[2]\, O => \axlen_cnt[0]_i_1__0_n_0\ ); \axlen_cnt[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(16), O => \axlen_cnt[1]_i_1__0_n_0\ ); \axlen_cnt[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(17), O => \axlen_cnt[2]_i_1__0_n_0\ ); \axlen_cnt[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), O => \axlen_cnt[3]_i_1__0_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[0]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[1]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__0_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_awaddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(0), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(0), O => m_axi_awaddr(0) ); \m_axi_awaddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(10), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(6), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(10), O => m_axi_awaddr(10) ); \m_axi_awaddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(11), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(7), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(11), O => m_axi_awaddr(11) ); \m_axi_awaddr[1]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(1), I1 => \^sel_first_reg_0\, I2 => axaddr_wrap(1), I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_2, O => m_axi_awaddr(1) ); \m_axi_awaddr[2]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(2), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(2), O => m_axi_awaddr(2) ); \m_axi_awaddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(3), I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(3), O => m_axi_awaddr(3) ); \m_axi_awaddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(4), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(4), O => m_axi_awaddr(4) ); \m_axi_awaddr[5]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(5), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(5), O => m_axi_awaddr(5) ); \m_axi_awaddr[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(6), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(6), O => m_axi_awaddr(6) ); \m_axi_awaddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(7), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(7), O => m_axi_awaddr(7) ); \m_axi_awaddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(8), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(4), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(8), O => m_axi_awaddr(8) ); \m_axi_awaddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => axaddr_wrap(9), I2 => \m_payload_i_reg[47]\(14), I3 => axaddr_incr_reg(5), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(9), O => m_axi_awaddr(9) ); \next_pending_r_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[1]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => next_pending_r_reg_1 ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => wrap_next_pending, Q => next_pending_r_reg_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => wrap_boundary_axaddr_r(0), R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(10), Q => wrap_boundary_axaddr_r(10), R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(11), Q => wrap_boundary_axaddr_r(11), R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => wrap_boundary_axaddr_r(1), R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => wrap_boundary_axaddr_r(2), R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => wrap_boundary_axaddr_r(3), R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => wrap_boundary_axaddr_r(4), R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => wrap_boundary_axaddr_r(5), R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => wrap_boundary_axaddr_r(6), R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(7), Q => wrap_boundary_axaddr_r(7), R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(8), Q => wrap_boundary_axaddr_r(8), R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(9), Q => wrap_boundary_axaddr_r(9), R => '0' ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => wrap_cnt_r(0), R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => wrap_cnt_r(1), R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => wrap_cnt_r(2), R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(3), Q => wrap_cnt_r(3), R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is port ( wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC_VECTOR ( 18 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_incr_reg[11]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \axaddr_incr_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 : entity is "axi_protocol_converter_v2_1_13_b2s_wrap_cmd"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 is signal \axaddr_wrap[0]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[10]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[11]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[1]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[2]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_3_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_4_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_5_n_0\ : STD_LOGIC; signal \axaddr_wrap[3]_i_6_n_0\ : STD_LOGIC; signal \axaddr_wrap[4]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[5]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[6]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[7]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[8]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap[9]_i_1__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[11]_i_3__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[3]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_4\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_5\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_6\ : STD_LOGIC; signal \axaddr_wrap_reg[7]_i_2__0_n_7\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[10]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[11]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[1]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[2]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[3]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[4]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[5]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[6]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[7]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[8]\ : STD_LOGIC; signal \axaddr_wrap_reg_n_0_[9]\ : STD_LOGIC; signal \axlen_cnt[0]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[1]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[2]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt[3]_i_1__2_n_0\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[0]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[1]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[2]\ : STD_LOGIC; signal \axlen_cnt_reg_n_0_[3]\ : STD_LOGIC; signal \next_pending_r_i_3__2_n_0\ : STD_LOGIC; signal next_pending_r_reg_n_0 : STD_LOGIC; signal \^sel_first_reg_0\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[10]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[11]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[3]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[4]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[5]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[6]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[7]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[8]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[0]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[1]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[2]\ : STD_LOGIC; signal \wrap_cnt_r_reg_n_0_[3]\ : STD_LOGIC; signal \^wrap_next_pending\ : STD_LOGIC; signal \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); begin sel_first_reg_0 <= \^sel_first_reg_0\; wrap_next_pending <= \^wrap_next_pending\; \axaddr_offset_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(0), Q => \axaddr_offset_r_reg[3]_0\(0), R => '0' ); \axaddr_offset_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(1), Q => \axaddr_offset_r_reg[3]_0\(1), R => '0' ); \axaddr_offset_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(2), Q => \axaddr_offset_r_reg[3]_0\(2), R => '0' ); \axaddr_offset_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \axaddr_offset_r_reg[3]_1\(3), Q => \axaddr_offset_r_reg[3]_0\(3), R => '0' ); \axaddr_wrap[0]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[0]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(0), O => \axaddr_wrap[0]_i_1__0_n_0\ ); \axaddr_wrap[10]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[10]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(10), O => \axaddr_wrap[10]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[11]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(11), O => \axaddr_wrap[11]_i_1__0_n_0\ ); \axaddr_wrap[11]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"41" ) port map ( I0 => \axaddr_wrap[11]_i_4__0_n_0\, I1 => \wrap_cnt_r_reg_n_0_[3]\, I2 => \axlen_cnt_reg_n_0_[3]\, O => \axaddr_wrap[11]_i_2__0_n_0\ ); \axaddr_wrap[11]_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"6FF6FFFFFFFF6FF6" ) port map ( I0 => \wrap_cnt_r_reg_n_0_[0]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \wrap_cnt_r_reg_n_0_[1]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \wrap_cnt_r_reg_n_0_[2]\, O => \axaddr_wrap[11]_i_4__0_n_0\ ); \axaddr_wrap[11]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[11]\, O => \axaddr_wrap[11]_i_5__0_n_0\ ); \axaddr_wrap[11]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[10]\, O => \axaddr_wrap[11]_i_6__0_n_0\ ); \axaddr_wrap[11]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[9]\, O => \axaddr_wrap[11]_i_7__0_n_0\ ); \axaddr_wrap[11]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[8]\, O => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[1]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(1), O => \axaddr_wrap[1]_i_1__0_n_0\ ); \axaddr_wrap[2]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[2]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(2), O => \axaddr_wrap[2]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[3]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[3]_i_2__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(3), O => \axaddr_wrap[3]_i_1__0_n_0\ ); \axaddr_wrap[3]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[3]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_3_n_0\ ); \axaddr_wrap[3]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[2]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_4_n_0\ ); \axaddr_wrap[3]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \axaddr_wrap_reg_n_0_[1]\, I1 => \m_payload_i_reg[47]\(13), I2 => \m_payload_i_reg[47]\(12), O => \axaddr_wrap[3]_i_5_n_0\ ); \axaddr_wrap[3]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"A9" ) port map ( I0 => \axaddr_wrap_reg_n_0_[0]\, I1 => \m_payload_i_reg[47]\(12), I2 => \m_payload_i_reg[47]\(13), O => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap[4]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[4]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(4), O => \axaddr_wrap[4]_i_1__0_n_0\ ); \axaddr_wrap[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[5]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(5), O => \axaddr_wrap[5]_i_1__0_n_0\ ); \axaddr_wrap[6]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[6]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_5\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(6), O => \axaddr_wrap[6]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[7]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[7]_i_2__0_n_4\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(7), O => \axaddr_wrap[7]_i_1__0_n_0\ ); \axaddr_wrap[7]_i_3__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[7]\, O => \axaddr_wrap[7]_i_3__0_n_0\ ); \axaddr_wrap[7]_i_4__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[6]\, O => \axaddr_wrap[7]_i_4__0_n_0\ ); \axaddr_wrap[7]_i_5__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[5]\, O => \axaddr_wrap[7]_i_5__0_n_0\ ); \axaddr_wrap[7]_i_6__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \axaddr_wrap_reg_n_0_[4]\, O => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap[8]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[8]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_7\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(8), O => \axaddr_wrap[8]_i_1__0_n_0\ ); \axaddr_wrap[9]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \wrap_boundary_axaddr_r_reg_n_0_[9]\, I1 => \axaddr_wrap[11]_i_2__0_n_0\, I2 => \axaddr_wrap_reg[11]_i_3__0_n_6\, I3 => \state_reg[1]_rep_0\, I4 => \m_payload_i_reg[47]\(9), O => \axaddr_wrap[9]_i_1__0_n_0\ ); \axaddr_wrap_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[0]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[0]\, R => '0' ); \axaddr_wrap_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[10]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[10]\, R => '0' ); \axaddr_wrap_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[11]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[11]\, R => '0' ); \axaddr_wrap_reg[11]_i_3__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(3) => \NLW_axaddr_wrap_reg[11]_i_3__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_wrap_reg[11]_i_3__0_n_1\, CO(1) => \axaddr_wrap_reg[11]_i_3__0_n_2\, CO(0) => \axaddr_wrap_reg[11]_i_3__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[11]_i_3__0_n_4\, O(2) => \axaddr_wrap_reg[11]_i_3__0_n_5\, O(1) => \axaddr_wrap_reg[11]_i_3__0_n_6\, O(0) => \axaddr_wrap_reg[11]_i_3__0_n_7\, S(3) => \axaddr_wrap[11]_i_5__0_n_0\, S(2) => \axaddr_wrap[11]_i_6__0_n_0\, S(1) => \axaddr_wrap[11]_i_7__0_n_0\, S(0) => \axaddr_wrap[11]_i_8__0_n_0\ ); \axaddr_wrap_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[1]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[1]\, R => '0' ); \axaddr_wrap_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[2]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[2]\, R => '0' ); \axaddr_wrap_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[3]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[3]\, R => '0' ); \axaddr_wrap_reg[3]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[3]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[3]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[3]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_wrap_reg_n_0_[3]\, DI(2) => \axaddr_wrap_reg_n_0_[2]\, DI(1) => \axaddr_wrap_reg_n_0_[1]\, DI(0) => \axaddr_wrap_reg_n_0_[0]\, O(3) => \axaddr_wrap_reg[3]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[3]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[3]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[3]_i_2__0_n_7\, S(3) => \axaddr_wrap[3]_i_3_n_0\, S(2) => \axaddr_wrap[3]_i_4_n_0\, S(1) => \axaddr_wrap[3]_i_5_n_0\, S(0) => \axaddr_wrap[3]_i_6_n_0\ ); \axaddr_wrap_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[4]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[4]\, R => '0' ); \axaddr_wrap_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[5]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[5]\, R => '0' ); \axaddr_wrap_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[6]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[6]\, R => '0' ); \axaddr_wrap_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[7]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[7]\, R => '0' ); \axaddr_wrap_reg[7]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_wrap_reg[3]_i_2__0_n_0\, CO(3) => \axaddr_wrap_reg[7]_i_2__0_n_0\, CO(2) => \axaddr_wrap_reg[7]_i_2__0_n_1\, CO(1) => \axaddr_wrap_reg[7]_i_2__0_n_2\, CO(0) => \axaddr_wrap_reg[7]_i_2__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \axaddr_wrap_reg[7]_i_2__0_n_4\, O(2) => \axaddr_wrap_reg[7]_i_2__0_n_5\, O(1) => \axaddr_wrap_reg[7]_i_2__0_n_6\, O(0) => \axaddr_wrap_reg[7]_i_2__0_n_7\, S(3) => \axaddr_wrap[7]_i_3__0_n_0\, S(2) => \axaddr_wrap[7]_i_4__0_n_0\, S(1) => \axaddr_wrap[7]_i_5__0_n_0\, S(0) => \axaddr_wrap[7]_i_6__0_n_0\ ); \axaddr_wrap_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[8]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[8]\, R => '0' ); \axaddr_wrap_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axaddr_wrap[9]_i_1__0_n_0\, Q => \axaddr_wrap_reg_n_0_[9]\, R => '0' ); \axlen_cnt[0]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"A3A3A3A3A3A3A3A0" ) port map ( I0 => \m_payload_i_reg[47]\(15), I1 => \axlen_cnt_reg_n_0_[0]\, I2 => E(0), I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \axlen_cnt[0]_i_1__2_n_0\ ); \axlen_cnt[1]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF999800009998" ) port map ( I0 => \axlen_cnt_reg_n_0_[1]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[3]\, I3 => \axlen_cnt_reg_n_0_[2]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(16), O => \axlen_cnt[1]_i_1__2_n_0\ ); \axlen_cnt[2]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFA9A80000A9A8" ) port map ( I0 => \axlen_cnt_reg_n_0_[2]\, I1 => \axlen_cnt_reg_n_0_[0]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(17), O => \axlen_cnt[2]_i_1__2_n_0\ ); \axlen_cnt[3]_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAA80000AAA8" ) port map ( I0 => \axlen_cnt_reg_n_0_[3]\, I1 => \axlen_cnt_reg_n_0_[2]\, I2 => \axlen_cnt_reg_n_0_[1]\, I3 => \axlen_cnt_reg_n_0_[0]\, I4 => E(0), I5 => \m_payload_i_reg[47]\(18), O => \axlen_cnt[3]_i_1__2_n_0\ ); \axlen_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[0]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[0]\, R => '0' ); \axlen_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[1]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[1]\, R => '0' ); \axlen_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[2]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[2]\, R => '0' ); \axlen_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg(0), D => \axlen_cnt[3]_i_1__2_n_0\, Q => \axlen_cnt_reg_n_0_[3]\, R => '0' ); \m_axi_araddr[0]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[0]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(0), O => m_axi_araddr(0) ); \m_axi_araddr[10]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[10]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(5), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(10), O => m_axi_araddr(10) ); \m_axi_araddr[11]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[11]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(6), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(11), O => m_axi_araddr(11) ); \m_axi_araddr[1]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[1]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(1), O => m_axi_araddr(1) ); \m_axi_araddr[2]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(2), I1 => \^sel_first_reg_0\, I2 => \axaddr_wrap_reg_n_0_[2]\, I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_3, O => m_axi_araddr(2) ); \m_axi_araddr[3]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[3]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[3]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(3), O => m_axi_araddr(3) ); \m_axi_araddr[4]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[4]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(0), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(4), O => m_axi_araddr(4) ); \m_axi_araddr[5]_INST_0\: unisim.vcomponents.LUT5 generic map( INIT => X"B8FFB800" ) port map ( I0 => \m_payload_i_reg[47]\(5), I1 => \^sel_first_reg_0\, I2 => \axaddr_wrap_reg_n_0_[5]\, I3 => \m_payload_i_reg[47]\(14), I4 => sel_first_reg_2, O => m_axi_araddr(5) ); \m_axi_araddr[6]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[6]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(1), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(6), O => m_axi_araddr(6) ); \m_axi_araddr[7]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[7]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(2), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(7), O => m_axi_araddr(7) ); \m_axi_araddr[8]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[8]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(3), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(8), O => m_axi_araddr(8) ); \m_axi_araddr[9]_INST_0\: unisim.vcomponents.LUT6 generic map( INIT => X"EFE0EFEF4F404040" ) port map ( I0 => \^sel_first_reg_0\, I1 => \axaddr_wrap_reg_n_0_[9]\, I2 => \m_payload_i_reg[47]\(14), I3 => \axaddr_incr_reg[11]\(4), I4 => \m_payload_i_reg[38]\, I5 => \m_payload_i_reg[47]\(9), O => m_axi_araddr(9) ); \next_pending_r_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FD55FC0C" ) port map ( I0 => \m_payload_i_reg[46]\, I1 => next_pending_r_reg_n_0, I2 => \state_reg[1]_rep_0\, I3 => \next_pending_r_i_3__2_n_0\, I4 => E(0), O => \^wrap_next_pending\ ); \next_pending_r_i_3__2\: unisim.vcomponents.LUT6 generic map( INIT => X"FBFBFBFBFBFBFB00" ) port map ( I0 => \state_reg[0]_rep\, I1 => si_rs_arvalid, I2 => \state_reg[1]_rep\, I3 => \axlen_cnt_reg_n_0_[3]\, I4 => \axlen_cnt_reg_n_0_[2]\, I5 => \axlen_cnt_reg_n_0_[1]\, O => \next_pending_r_i_3__2_n_0\ ); next_pending_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \^wrap_next_pending\, Q => next_pending_r_reg_n_0, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_reg_1, Q => \^sel_first_reg_0\, R => '0' ); \wrap_boundary_axaddr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(0), Q => \wrap_boundary_axaddr_r_reg_n_0_[0]\, R => '0' ); \wrap_boundary_axaddr_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(10), Q => \wrap_boundary_axaddr_r_reg_n_0_[10]\, R => '0' ); \wrap_boundary_axaddr_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(11), Q => \wrap_boundary_axaddr_r_reg_n_0_[11]\, R => '0' ); \wrap_boundary_axaddr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(1), Q => \wrap_boundary_axaddr_r_reg_n_0_[1]\, R => '0' ); \wrap_boundary_axaddr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(2), Q => \wrap_boundary_axaddr_r_reg_n_0_[2]\, R => '0' ); \wrap_boundary_axaddr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(3), Q => \wrap_boundary_axaddr_r_reg_n_0_[3]\, R => '0' ); \wrap_boundary_axaddr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(4), Q => \wrap_boundary_axaddr_r_reg_n_0_[4]\, R => '0' ); \wrap_boundary_axaddr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(5), Q => \wrap_boundary_axaddr_r_reg_n_0_[5]\, R => '0' ); \wrap_boundary_axaddr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[6]\(6), Q => \wrap_boundary_axaddr_r_reg_n_0_[6]\, R => '0' ); \wrap_boundary_axaddr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(7), Q => \wrap_boundary_axaddr_r_reg_n_0_[7]\, R => '0' ); \wrap_boundary_axaddr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(8), Q => \wrap_boundary_axaddr_r_reg_n_0_[8]\, R => '0' ); \wrap_boundary_axaddr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => \m_payload_i_reg[47]\(9), Q => \wrap_boundary_axaddr_r_reg_n_0_[9]\, R => '0' ); \wrap_cnt_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(0), Q => \wrap_cnt_r_reg_n_0_[0]\, R => '0' ); \wrap_cnt_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(1), Q => \wrap_cnt_r_reg_n_0_[1]\, R => '0' ); \wrap_cnt_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(2), Q => \wrap_cnt_r_reg_n_0_[2]\, R => '0' ); \wrap_cnt_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_2\(3), Q => \wrap_cnt_r_reg_n_0_[3]\, R => '0' ); \wrap_second_len_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(0), Q => \wrap_second_len_r_reg[3]_0\(0), R => '0' ); \wrap_second_len_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(1), Q => \wrap_second_len_r_reg[3]_0\(1), R => '0' ); \wrap_second_len_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(2), Q => \wrap_second_len_r_reg[3]_0\(2), R => '0' ); \wrap_second_len_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \wrap_second_len_r_reg[3]_1\(3), Q => \wrap_second_len_r_reg[3]_0\(3), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice is port ( s_axi_arready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]_0\ : out STD_LOGIC; \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_araddr[10]\ : out STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]_0\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \m_payload_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_second_len_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; sel_first_2 : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_valid_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice is signal \^q\ : STD_LOGIC_VECTOR ( 58 downto 0 ); signal \axaddr_incr[0]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8__0_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_4\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_5\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_6\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11__0_n_7\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6__0_n_3\ : STD_LOGIC; signal \axaddr_offset_r[0]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2__0_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3__0_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal \m_payload_i[0]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_2__0_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[36]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[38]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[39]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[44]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[45]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[47]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[48]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[49]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[50]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[51]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[53]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[54]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[55]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[56]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[57]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[58]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[59]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[60]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[61]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[62]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[63]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[64]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__0_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__0_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_3__0_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[3]_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_2__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_3__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_4__0_n_0\ : STD_LOGIC; signal \wrap_second_len_r[3]_i_2__0_n_0\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[1]_i_2__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2__0\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__0\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__0\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__0\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__0\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__0\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__0\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__0\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__0\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1__0\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__0\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[54]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \m_payload_i[55]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[56]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \m_payload_i[57]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[58]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \m_payload_i[59]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__0\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[60]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \m_payload_i[61]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[62]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \m_payload_i[63]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[64]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__0\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__0\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__0\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__0\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1__0\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1__0\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1__0\ : label is "soft_lutpair14"; begin Q(58 downto 0) <= \^q\(58 downto 0); \axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\; \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_arready <= \^s_axi_arready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \wrap_cnt_r_reg[3]_0\ <= \^wrap_cnt_r_reg[3]_0\; \wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0); \aresetn_d_reg[1]_inv\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => aclk, CE => '1', D => \aresetn_d_reg[0]_0\, Q => \^m_valid_i_reg_0\, R => '0' ); \axaddr_incr[0]_i_10__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(0), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_7\, O => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr[0]_i_12__0\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12__0_n_0\ ); \axaddr_incr[0]_i_13__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13__0_n_0\ ); \axaddr_incr[0]_i_14__0\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14__0_n_0\ ); \axaddr_incr[0]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_3__0_n_0\ ); \axaddr_incr[0]_i_4__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_4__0_n_0\ ); \axaddr_incr[0]_i_5__0\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first_2, O => \axaddr_incr[0]_i_5__0_n_0\ ); \axaddr_incr[0]_i_6__0\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first_2, O => \axaddr_incr[0]_i_6__0_n_0\ ); \axaddr_incr[0]_i_7__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(3), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_4\, O => \axaddr_incr[0]_i_7__0_n_0\ ); \axaddr_incr[0]_i_8__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => \axaddr_incr_reg[3]_0\(2), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_5\, O => \axaddr_incr[0]_i_8__0_n_0\ ); \axaddr_incr[0]_i_9__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => \axaddr_incr_reg[3]_0\(1), I3 => sel_first_2, I4 => \axaddr_incr_reg[0]_i_11__0_n_6\, O => \axaddr_incr[0]_i_9__0_n_0\ ); \axaddr_incr[4]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr[4]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7__0_n_0\ ); \axaddr_incr[4]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8__0_n_0\ ); \axaddr_incr[4]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9__0_n_0\ ); \axaddr_incr[8]_i_10__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_incr[8]_i_7__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7__0_n_0\ ); \axaddr_incr[8]_i_8__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8__0_n_0\ ); \axaddr_incr[8]_i_9__0\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9__0_n_0\ ); \axaddr_incr_reg[0]_i_11__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11__0_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12__0_n_0\, DI(1) => \axaddr_incr[0]_i_13__0_n_0\, DI(0) => \axaddr_incr[0]_i_14__0_n_0\, O(3) => \axaddr_incr_reg[0]_i_11__0_n_4\, O(2) => \axaddr_incr_reg[0]_i_11__0_n_5\, O(1) => \axaddr_incr_reg[0]_i_11__0_n_6\, O(0) => \axaddr_incr_reg[0]_i_11__0_n_7\, S(3 downto 0) => \m_payload_i_reg[3]_0\(3 downto 0) ); \axaddr_incr_reg[0]_i_2__0\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[7]_0\(0), CO(2) => \axaddr_incr_reg[0]_i_2__0_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2__0_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2__0_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3__0_n_0\, DI(2) => \axaddr_incr[0]_i_4__0_n_0\, DI(1) => \axaddr_incr[0]_i_5__0_n_0\, DI(0) => \axaddr_incr[0]_i_6__0_n_0\, O(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), S(3) => \axaddr_incr[0]_i_7__0_n_0\, S(2) => \axaddr_incr[0]_i_8__0_n_0\, S(1) => \axaddr_incr[0]_i_9__0_n_0\, S(0) => \axaddr_incr[0]_i_10__0_n_0\ ); \axaddr_incr_reg[4]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11__0_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7__0_n_0\, S(2) => \axaddr_incr[4]_i_8__0_n_0\, S(1) => \axaddr_incr[4]_i_9__0_n_0\, S(0) => \axaddr_incr[4]_i_10__0_n_0\ ); \axaddr_incr_reg[8]_i_6__0\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6__0_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6__0_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6__0_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6__0_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6__0_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[8]_i_7__0_n_0\, S(2) => \axaddr_incr[8]_i_8__0_n_0\, S(1) => \axaddr_incr[8]_i_9__0_n_0\, S(0) => \axaddr_incr[8]_i_10__0_n_0\ ); \axaddr_offset_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"F0F0F0F0F088F0F0" ) port map ( I0 => \axaddr_offset_r[0]_i_2__0_n_0\, I1 => \^q\(39), I2 => \axaddr_offset_r_reg[3]_1\(0), I3 => \state_reg[1]\(1), I4 => \^s_ready_i_reg_0\, I5 => \state_reg[1]\(0), O => \^axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r[0]_i_2__0_n_0\ ); \axaddr_offset_r[1]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_3__0_n_0\, I1 => \axaddr_offset_r[1]_i_2__0_n_0\, I2 => \^q\(35), I3 => \^q\(40), I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[3]_1\(1), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \axaddr_offset_r[2]_i_3__0_n_0\, I2 => \^q\(35), I3 => \^q\(41), I4 => \state_reg[1]_rep\, I5 => \axaddr_offset_r_reg[3]_1\(2), O => \^axaddr_offset_r_reg[2]\ ); \axaddr_offset_r[2]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_2__0_n_0\ ); \axaddr_offset_r[2]_i_3__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_3__0_n_0\ ); \axaddr_offset_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[0]_rep\, I2 => \^s_ready_i_reg_0\, I3 => \state_reg[1]_rep_0\, O => \^axlen_cnt_reg[3]\ ); \m_axi_araddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first_2, O => \m_axi_araddr[10]\ ); \m_payload_i[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__0_n_0\ ); \m_payload_i[10]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(10), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__0_n_0\ ); \m_payload_i[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(11), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__0_n_0\ ); \m_payload_i[12]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(12), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__0_n_0\ ); \m_payload_i[13]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(13), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__1_n_0\ ); \m_payload_i[14]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(14), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__0_n_0\ ); \m_payload_i[15]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(15), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__0_n_0\ ); \m_payload_i[16]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(16), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__0_n_0\ ); \m_payload_i[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(17), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__0_n_0\ ); \m_payload_i[18]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(18), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__0_n_0\ ); \m_payload_i[19]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(19), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__0_n_0\ ); \m_payload_i[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__0_n_0\ ); \m_payload_i[20]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(20), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__0_n_0\ ); \m_payload_i[21]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(21), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__0_n_0\ ); \m_payload_i[22]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(22), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__0_n_0\ ); \m_payload_i[23]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(23), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__0_n_0\ ); \m_payload_i[24]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(24), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__0_n_0\ ); \m_payload_i[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(25), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__0_n_0\ ); \m_payload_i[26]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(26), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__0_n_0\ ); \m_payload_i[27]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(27), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__0_n_0\ ); \m_payload_i[28]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(28), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__0_n_0\ ); \m_payload_i[29]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(29), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__0_n_0\ ); \m_payload_i[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__0_n_0\ ); \m_payload_i[30]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(30), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__0_n_0\ ); \m_payload_i[31]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(31), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_2__0_n_0\ ); \m_payload_i[32]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__0_n_0\ ); \m_payload_i[33]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__0_n_0\ ); \m_payload_i[34]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arprot(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__0_n_0\ ); \m_payload_i[35]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_1__0_n_0\ ); \m_payload_i[36]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arsize(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[36]\, O => \m_payload_i[36]_i_1__0_n_0\ ); \m_payload_i[38]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[38]\, O => \m_payload_i[38]_i_1__0_n_0\ ); \m_payload_i[39]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arburst(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[39]\, O => \m_payload_i[39]_i_1__0_n_0\ ); \m_payload_i[3]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__0_n_0\ ); \m_payload_i[44]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[44]\, O => \m_payload_i[44]_i_1__0_n_0\ ); \m_payload_i[45]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[45]\, O => \m_payload_i[45]_i_1__0_n_0\ ); \m_payload_i[46]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[46]\, O => \m_payload_i[46]_i_1__1_n_0\ ); \m_payload_i[47]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[47]\, O => \m_payload_i[47]_i_1__0_n_0\ ); \m_payload_i[48]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[48]\, O => \m_payload_i[48]_i_1__0_n_0\ ); \m_payload_i[49]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[49]\, O => \m_payload_i[49]_i_1__0_n_0\ ); \m_payload_i[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__0_n_0\ ); \m_payload_i[50]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[50]\, O => \m_payload_i[50]_i_1__0_n_0\ ); \m_payload_i[51]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arlen(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[51]\, O => \m_payload_i[51]_i_1__0_n_0\ ); \m_payload_i[53]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(0), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[53]\, O => \m_payload_i[53]_i_1__0_n_0\ ); \m_payload_i[54]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(1), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[54]\, O => \m_payload_i[54]_i_1__0_n_0\ ); \m_payload_i[55]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(2), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[55]\, O => \m_payload_i[55]_i_1__0_n_0\ ); \m_payload_i[56]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(3), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[56]\, O => \m_payload_i[56]_i_1__0_n_0\ ); \m_payload_i[57]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(4), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[57]\, O => \m_payload_i[57]_i_1__0_n_0\ ); \m_payload_i[58]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[58]\, O => \m_payload_i[58]_i_1__0_n_0\ ); \m_payload_i[59]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[59]\, O => \m_payload_i[59]_i_1__0_n_0\ ); \m_payload_i[5]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(5), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__0_n_0\ ); \m_payload_i[60]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[60]\, O => \m_payload_i[60]_i_1__0_n_0\ ); \m_payload_i[61]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[61]\, O => \m_payload_i[61]_i_1__0_n_0\ ); \m_payload_i[62]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(9), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[62]\, O => \m_payload_i[62]_i_1__0_n_0\ ); \m_payload_i[63]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(10), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[63]\, O => \m_payload_i[63]_i_1__0_n_0\ ); \m_payload_i[64]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_arid(11), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[64]\, O => \m_payload_i[64]_i_1__0_n_0\ ); \m_payload_i[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(6), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__0_n_0\ ); \m_payload_i[7]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(7), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__0_n_0\ ); \m_payload_i[8]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(8), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__0_n_0\ ); \m_payload_i[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_araddr(9), I1 => \^s_axi_arready\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__0_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[0]_i_1__0_n_0\, Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[10]_i_1__0_n_0\, Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[11]_i_1__0_n_0\, Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[12]_i_1__0_n_0\, Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[13]_i_1__1_n_0\, Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[14]_i_1__0_n_0\, Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[15]_i_1__0_n_0\, Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[16]_i_1__0_n_0\, Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[17]_i_1__0_n_0\, Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[18]_i_1__0_n_0\, Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[19]_i_1__0_n_0\, Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[1]_i_1__0_n_0\, Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[20]_i_1__0_n_0\, Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[21]_i_1__0_n_0\, Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[22]_i_1__0_n_0\, Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[23]_i_1__0_n_0\, Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[24]_i_1__0_n_0\, Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[25]_i_1__0_n_0\, Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[26]_i_1__0_n_0\, Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[27]_i_1__0_n_0\, Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[28]_i_1__0_n_0\, Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[29]_i_1__0_n_0\, Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[2]_i_1__0_n_0\, Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[30]_i_1__0_n_0\, Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[31]_i_2__0_n_0\, Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[32]_i_1__0_n_0\, Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[33]_i_1__0_n_0\, Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[34]_i_1__0_n_0\, Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[35]_i_1__0_n_0\, Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[36]_i_1__0_n_0\, Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[38]_i_1__0_n_0\, Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[39]_i_1__0_n_0\, Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[3]_i_1__0_n_0\, Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[44]_i_1__0_n_0\, Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[45]_i_1__0_n_0\, Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[46]_i_1__1_n_0\, Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[47]_i_1__0_n_0\, Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[48]_i_1__0_n_0\, Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[49]_i_1__0_n_0\, Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[4]_i_1__0_n_0\, Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[50]_i_1__0_n_0\, Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[51]_i_1__0_n_0\, Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[53]_i_1__0_n_0\, Q => \^q\(47), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[54]_i_1__0_n_0\, Q => \^q\(48), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[55]_i_1__0_n_0\, Q => \^q\(49), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[56]_i_1__0_n_0\, Q => \^q\(50), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[57]_i_1__0_n_0\, Q => \^q\(51), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[58]_i_1__0_n_0\, Q => \^q\(52), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[59]_i_1__0_n_0\, Q => \^q\(53), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[5]_i_1__0_n_0\, Q => \^q\(5), R => '0' ); \m_payload_i_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[60]_i_1__0_n_0\, Q => \^q\(54), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[61]_i_1__0_n_0\, Q => \^q\(55), R => '0' ); \m_payload_i_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[62]_i_1__0_n_0\, Q => \^q\(56), R => '0' ); \m_payload_i_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[63]_i_1__0_n_0\, Q => \^q\(57), R => '0' ); \m_payload_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[64]_i_1__0_n_0\, Q => \^q\(58), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[6]_i_1__0_n_0\, Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[7]_i_1__0_n_0\, Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[8]_i_1__0_n_0\, Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => m_valid_i_reg_1(0), D => \m_payload_i[9]_i_1__0_n_0\, Q => \^q\(9), R => '0' ); \m_valid_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"BFFFBBBB" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_ready_i_reg_0\, R => \^m_valid_i_reg_0\ ); \next_pending_r_i_2__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFD" ) port map ( I0 => \^next_pending_r_reg_0\, I1 => \^q\(46), I2 => \^q\(44), I3 => \^q\(45), I4 => \^q\(43), O => next_pending_r_reg ); \next_pending_r_i_2__2\: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \^q\(41), I1 => \^q\(39), I2 => \^q\(40), I3 => \^q\(42), O => \^next_pending_r_reg_0\ ); \s_ready_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"F444FFFF" ) port map ( I0 => s_axi_arvalid, I1 => \^s_axi_arready\, I2 => \state_reg[0]_rep\, I3 => \state_reg[1]_rep_0\, I4 => \^s_ready_i_reg_0\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_arready\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); \skid_buffer_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); \skid_buffer_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); \skid_buffer_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); \skid_buffer_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); \skid_buffer_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(7), Q => \skid_buffer_reg_n_0_[60]\, R => '0' ); \skid_buffer_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(8), Q => \skid_buffer_reg_n_0_[61]\, R => '0' ); \skid_buffer_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(9), Q => \skid_buffer_reg_n_0_[62]\, R => '0' ); \skid_buffer_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(10), Q => \skid_buffer_reg_n_0_[63]\, R => '0' ); \skid_buffer_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_arid(11), Q => \skid_buffer_reg_n_0_[64]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_arready\, D => s_axi_araddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"A0A0202AAAAA202A" ) port map ( I0 => \^q\(2), I1 => \^q\(40), I2 => \^q\(35), I3 => \^q\(41), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2__0_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"002A882A222AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(40), I5 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_cnt_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBABBCCCCC0CC" ) port map ( I0 => \wrap_second_len_r[0]_i_2__0_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^s_ready_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3__0_n_0\, O => \wrap_cnt_r_reg[3]\(0) ); \wrap_cnt_r[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(1), I1 => \^wrap_cnt_r_reg[3]_0\, I2 => wrap_second_len_1(0), O => \wrap_cnt_r_reg[3]\(1) ); \wrap_cnt_r[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => wrap_second_len_1(0), I2 => \^wrap_cnt_r_reg[3]_0\, I3 => \^wrap_second_len_r_reg[3]\(1), O => \wrap_cnt_r_reg[3]\(2) ); \wrap_cnt_r[3]_i_2__0\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAAB" ) port map ( I0 => \wrap_cnt_r[3]_i_3__0_n_0\, I1 => \^axaddr_offset_r_reg[1]\, I2 => \^axaddr_offset_r_reg[0]\, I3 => \axaddr_offset_r_reg[3]_0\(0), I4 => \^axaddr_offset_r_reg[2]\, O => \^wrap_cnt_r_reg[3]_0\ ); \wrap_cnt_r[3]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0F0F0F0F880F0F" ) port map ( I0 => \axaddr_offset_r[0]_i_2__0_n_0\, I1 => \^q\(39), I2 => \wrap_second_len_r_reg[3]_0\(0), I3 => \state_reg[1]\(1), I4 => \^s_ready_i_reg_0\, I5 => \state_reg[1]\(0), O => \wrap_cnt_r[3]_i_3__0_n_0\ ); \wrap_second_len_r[0]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"4444454444444044" ) port map ( I0 => \wrap_second_len_r[0]_i_2__0_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^s_ready_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3__0_n_0\, O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAA8080000A808" ) port map ( I0 => \wrap_second_len_r[0]_i_4__0_n_0\, I1 => \^q\(0), I2 => \^q\(36), I3 => \^q\(2), I4 => \^q\(35), I5 => \axaddr_offset_r[1]_i_2__0_n_0\, O => \wrap_second_len_r[0]_i_2__0_n_0\ ); \wrap_second_len_r[0]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFBA" ) port map ( I0 => \^axaddr_offset_r_reg[2]\, I1 => \state_reg[1]_rep\, I2 => \axaddr_offset_r_reg[3]_1\(3), I3 => \wrap_second_len_r[3]_i_2__0_n_0\, I4 => \^axaddr_offset_r_reg[0]\, I5 => \^axaddr_offset_r_reg[1]\, O => \wrap_second_len_r[0]_i_3__0_n_0\ ); \wrap_second_len_r[0]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \^q\(39), I1 => \state_reg[1]\(0), I2 => \^s_ready_i_reg_0\, I3 => \state_reg[1]\(1), O => \wrap_second_len_r[0]_i_4__0_n_0\ ); \wrap_second_len_r[2]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"EE10FFFFEE100000" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, I1 => \^axaddr_offset_r_reg[0]\, I2 => \axaddr_offset_r_reg[3]_0\(0), I3 => \^axaddr_offset_r_reg[2]\, I4 => \state_reg[1]_rep\, I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[3]_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFF444444444" ) port map ( I0 => \state_reg[1]_rep\, I1 => \wrap_second_len_r_reg[3]_0\(2), I2 => \^axaddr_offset_r_reg[0]\, I3 => \^axaddr_offset_r_reg[1]\, I4 => \^axaddr_offset_r_reg[2]\, I5 => \wrap_second_len_r[3]_i_2__0_n_0\, O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_2__0_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r[3]_i_2__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice_0 is port ( s_axi_awready : out STD_LOGIC; s_ready_i_reg_0 : out STD_LOGIC; m_valid_i_reg_0 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC; \axaddr_offset_r_reg[1]\ : out STD_LOGIC; \axaddr_offset_r_reg[0]\ : out STD_LOGIC; \axaddr_offset_r_reg[2]\ : out STD_LOGIC; \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_awaddr[10]\ : out STD_LOGIC; \aresetn_d_reg[1]_inv\ : out STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[1]_inv_0\ : in STD_LOGIC; aresetn : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; b_push : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice_0 : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice_0; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice_0 is signal C : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 58 downto 0 ); signal \aresetn_d_reg_n_0_[0]\ : STD_LOGIC; signal \axaddr_incr[0]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_12_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_13_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_14_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_3_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_4_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_5_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[0]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[4]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_10_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_7_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_8_n_0\ : STD_LOGIC; signal \axaddr_incr[8]_i_9_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_11_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[0]_i_2_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_0\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[4]_i_6_n_3\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_1\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_2\ : STD_LOGIC; signal \axaddr_incr_reg[8]_i_6_n_3\ : STD_LOGIC; signal \axaddr_offset_r[0]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[1]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_2_n_0\ : STD_LOGIC; signal \axaddr_offset_r[2]_i_3_n_0\ : STD_LOGIC; signal \^axaddr_offset_r_reg[0]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[1]\ : STD_LOGIC; signal \^axaddr_offset_r_reg[2]\ : STD_LOGIC; signal \^axlen_cnt_reg[3]\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal \^m_valid_i_reg_0\ : STD_LOGIC; signal \^next_pending_r_reg_0\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^s_ready_i_reg_0\ : STD_LOGIC; signal skid_buffer : STD_LOGIC_VECTOR ( 64 downto 0 ); signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[47]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[48]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[49]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[50]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[51]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[53]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[54]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[55]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[56]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[57]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[58]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[59]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[60]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[61]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[62]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[63]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[64]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; signal \wrap_boundary_axaddr_r[3]_i_2_n_0\ : STD_LOGIC; signal \wrap_cnt_r[3]_i_3_n_0\ : STD_LOGIC; signal \^wrap_cnt_r_reg[3]\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_2_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_3_n_0\ : STD_LOGIC; signal \wrap_second_len_r[0]_i_4_n_0\ : STD_LOGIC; signal \wrap_second_len_r[3]_i_2_n_0\ : STD_LOGIC; signal \^wrap_second_len_r_reg[3]\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_2\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \axaddr_offset_r[2]_i_3\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \axlen_cnt[3]_i_2\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1\ : label is "soft_lutpair72"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__0\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1\ : label is "soft_lutpair71"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1\ : label is "soft_lutpair70"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1\ : label is "soft_lutpair69"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1\ : label is "soft_lutpair68"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1\ : label is "soft_lutpair67"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1\ : label is "soft_lutpair66"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1\ : label is "soft_lutpair65"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1\ : label is "soft_lutpair64"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1\ : label is "soft_lutpair77"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1\ : label is "soft_lutpair63"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_2\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1\ : label is "soft_lutpair62"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1\ : label is "soft_lutpair61"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1\ : label is "soft_lutpair60"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1\ : label is "soft_lutpair59"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1\ : label is "soft_lutpair58"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_1__0\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[47]_i_1\ : label is "soft_lutpair57"; attribute SOFT_HLUTNM of \m_payload_i[48]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[49]_i_1\ : label is "soft_lutpair56"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1\ : label is "soft_lutpair76"; attribute SOFT_HLUTNM of \m_payload_i[50]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[51]_i_1\ : label is "soft_lutpair55"; attribute SOFT_HLUTNM of \m_payload_i[53]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[54]_i_1\ : label is "soft_lutpair54"; attribute SOFT_HLUTNM of \m_payload_i[55]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[56]_i_1\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \m_payload_i[57]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[58]_i_1\ : label is "soft_lutpair52"; attribute SOFT_HLUTNM of \m_payload_i[59]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[60]_i_1\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \m_payload_i[61]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[62]_i_1\ : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \m_payload_i[63]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[64]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1\ : label is "soft_lutpair75"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1\ : label is "soft_lutpair74"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1\ : label is "soft_lutpair73"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[3]_i_2\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \wrap_boundary_axaddr_r[5]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \wrap_cnt_r[2]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \wrap_cnt_r[3]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \wrap_second_len_r[0]_i_4\ : label is "soft_lutpair46"; begin Q(58 downto 0) <= \^q\(58 downto 0); \axaddr_offset_r_reg[0]\ <= \^axaddr_offset_r_reg[0]\; \axaddr_offset_r_reg[1]\ <= \^axaddr_offset_r_reg[1]\; \axaddr_offset_r_reg[2]\ <= \^axaddr_offset_r_reg[2]\; \axlen_cnt_reg[3]\ <= \^axlen_cnt_reg[3]\; m_valid_i_reg_0 <= \^m_valid_i_reg_0\; next_pending_r_reg_0 <= \^next_pending_r_reg_0\; s_axi_awready <= \^s_axi_awready\; s_ready_i_reg_0 <= \^s_ready_i_reg_0\; \wrap_cnt_r_reg[3]\ <= \^wrap_cnt_r_reg[3]\; \wrap_second_len_r_reg[3]\(2 downto 0) <= \^wrap_second_len_r_reg[3]\(2 downto 0); \aresetn_d[1]_inv_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, I1 => aresetn, O => \aresetn_d_reg[1]_inv\ ); \aresetn_d_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => aresetn, Q => \aresetn_d_reg_n_0_[0]\, R => '0' ); \axaddr_incr[0]_i_10\: unisim.vcomponents.LUT5 generic map( INIT => X"FFE100E1" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(0), I3 => sel_first, I4 => C(0), O => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr[0]_i_12\: unisim.vcomponents.LUT3 generic map( INIT => X"2A" ) port map ( I0 => \^q\(2), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_12_n_0\ ); \axaddr_incr[0]_i_13\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(1), I1 => \^q\(36), O => \axaddr_incr[0]_i_13_n_0\ ); \axaddr_incr[0]_i_14\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => \^q\(0), I1 => \^q\(35), I2 => \^q\(36), O => \axaddr_incr[0]_i_14_n_0\ ); \axaddr_incr[0]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_3_n_0\ ); \axaddr_incr[0]_i_4\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_4_n_0\ ); \axaddr_incr[0]_i_5\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => sel_first, O => \axaddr_incr[0]_i_5_n_0\ ); \axaddr_incr[0]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"01" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => sel_first, O => \axaddr_incr[0]_i_6_n_0\ ); \axaddr_incr[0]_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"FF780078" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(3), I3 => sel_first, I4 => C(3), O => \axaddr_incr[0]_i_7_n_0\ ); \axaddr_incr[0]_i_8\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(36), I1 => \^q\(35), I2 => axaddr_incr_reg(2), I3 => sel_first, I4 => C(2), O => \axaddr_incr[0]_i_8_n_0\ ); \axaddr_incr[0]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"FFD200D2" ) port map ( I0 => \^q\(35), I1 => \^q\(36), I2 => axaddr_incr_reg(1), I3 => sel_first, I4 => C(1), O => \axaddr_incr[0]_i_9_n_0\ ); \axaddr_incr[4]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(4), O => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr[4]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(7), O => \axaddr_incr[4]_i_7_n_0\ ); \axaddr_incr[4]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(6), O => \axaddr_incr[4]_i_8_n_0\ ); \axaddr_incr[4]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(5), O => \axaddr_incr[4]_i_9_n_0\ ); \axaddr_incr[8]_i_10\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(8), O => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_incr[8]_i_7\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(11), O => \axaddr_incr[8]_i_7_n_0\ ); \axaddr_incr[8]_i_8\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(10), O => \axaddr_incr[8]_i_8_n_0\ ); \axaddr_incr[8]_i_9\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => \^q\(9), O => \axaddr_incr[8]_i_9_n_0\ ); \axaddr_incr_reg[0]_i_11\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \axaddr_incr_reg[0]_i_11_n_0\, CO(2) => \axaddr_incr_reg[0]_i_11_n_1\, CO(1) => \axaddr_incr_reg[0]_i_11_n_2\, CO(0) => \axaddr_incr_reg[0]_i_11_n_3\, CYINIT => '0', DI(3) => \^q\(3), DI(2) => \axaddr_incr[0]_i_12_n_0\, DI(1) => \axaddr_incr[0]_i_13_n_0\, DI(0) => \axaddr_incr[0]_i_14_n_0\, O(3 downto 0) => C(3 downto 0), S(3 downto 0) => S(3 downto 0) ); \axaddr_incr_reg[0]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => CO(0), CO(2) => \axaddr_incr_reg[0]_i_2_n_1\, CO(1) => \axaddr_incr_reg[0]_i_2_n_2\, CO(0) => \axaddr_incr_reg[0]_i_2_n_3\, CYINIT => '0', DI(3) => \axaddr_incr[0]_i_3_n_0\, DI(2) => \axaddr_incr[0]_i_4_n_0\, DI(1) => \axaddr_incr[0]_i_5_n_0\, DI(0) => \axaddr_incr[0]_i_6_n_0\, O(3 downto 0) => O(3 downto 0), S(3) => \axaddr_incr[0]_i_7_n_0\, S(2) => \axaddr_incr[0]_i_8_n_0\, S(1) => \axaddr_incr[0]_i_9_n_0\, S(0) => \axaddr_incr[0]_i_10_n_0\ ); \axaddr_incr_reg[4]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[0]_i_11_n_0\, CO(3) => \axaddr_incr_reg[4]_i_6_n_0\, CO(2) => \axaddr_incr_reg[4]_i_6_n_1\, CO(1) => \axaddr_incr_reg[4]_i_6_n_2\, CO(0) => \axaddr_incr_reg[4]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(3 downto 0), S(3) => \axaddr_incr[4]_i_7_n_0\, S(2) => \axaddr_incr[4]_i_8_n_0\, S(1) => \axaddr_incr[4]_i_9_n_0\, S(0) => \axaddr_incr[4]_i_10_n_0\ ); \axaddr_incr_reg[8]_i_6\: unisim.vcomponents.CARRY4 port map ( CI => \axaddr_incr_reg[4]_i_6_n_0\, CO(3) => \NLW_axaddr_incr_reg[8]_i_6_CO_UNCONNECTED\(3), CO(2) => \axaddr_incr_reg[8]_i_6_n_1\, CO(1) => \axaddr_incr_reg[8]_i_6_n_2\, CO(0) => \axaddr_incr_reg[8]_i_6_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3 downto 0) => \axaddr_incr_reg[11]\(7 downto 4), S(3) => \axaddr_incr[8]_i_7_n_0\, S(2) => \axaddr_incr[8]_i_8_n_0\, S(1) => \axaddr_incr[8]_i_9_n_0\, S(0) => \axaddr_incr[8]_i_10_n_0\ ); \axaddr_offset_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"F0F0F0F0F088F0F0" ) port map ( I0 => \axaddr_offset_r[0]_i_2_n_0\, I1 => \^q\(39), I2 => \axaddr_offset_r_reg[3]_1\(0), I3 => \state_reg[1]\(1), I4 => \^m_valid_i_reg_0\, I5 => \state_reg[1]\(0), O => \^axaddr_offset_r_reg[0]\ ); \axaddr_offset_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(35), I3 => \^q\(2), I4 => \^q\(36), I5 => \^q\(0), O => \axaddr_offset_r[0]_i_2_n_0\ ); \axaddr_offset_r[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_3_n_0\, I1 => \axaddr_offset_r[1]_i_2_n_0\, I2 => \^q\(35), I3 => \^q\(40), I4 => \state_reg[1]_rep_0\, I5 => \axaddr_offset_r_reg[3]_1\(1), O => \^axaddr_offset_r_reg[1]\ ); \axaddr_offset_r[1]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(3), I1 => \^q\(36), I2 => \^q\(1), O => \axaddr_offset_r[1]_i_2_n_0\ ); \axaddr_offset_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"AC00FFFFAC000000" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \axaddr_offset_r[2]_i_3_n_0\, I2 => \^q\(35), I3 => \^q\(41), I4 => \state_reg[1]_rep_0\, I5 => \axaddr_offset_r_reg[3]_1\(2), O => \^axaddr_offset_r_reg[2]\ ); \axaddr_offset_r[2]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(3), O => \axaddr_offset_r[2]_i_2_n_0\ ); \axaddr_offset_r[2]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(4), I1 => \^q\(36), I2 => \^q\(2), O => \axaddr_offset_r[2]_i_3_n_0\ ); \axaddr_offset_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AFA0CFCFAFA0C0C0" ) port map ( I0 => \^q\(6), I1 => \^q\(4), I2 => \^q\(35), I3 => \^q\(5), I4 => \^q\(36), I5 => \^q\(3), O => \axaddr_offset_r_reg[3]\ ); \axlen_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^q\(42), I1 => \state_reg[0]_rep\, I2 => \^m_valid_i_reg_0\, I3 => \state_reg[1]_rep\, O => \^axlen_cnt_reg[3]\ ); \m_axi_awaddr[11]_INST_0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^q\(37), I1 => sel_first, O => \m_axi_awaddr[10]\ ); \m_payload_i[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[0]\, O => skid_buffer(0) ); \m_payload_i[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(10), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[10]\, O => skid_buffer(10) ); \m_payload_i[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(11), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[11]\, O => skid_buffer(11) ); \m_payload_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(12), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[12]\, O => skid_buffer(12) ); \m_payload_i[13]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(13), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[13]\, O => skid_buffer(13) ); \m_payload_i[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(14), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[14]\, O => skid_buffer(14) ); \m_payload_i[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(15), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[15]\, O => skid_buffer(15) ); \m_payload_i[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(16), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[16]\, O => skid_buffer(16) ); \m_payload_i[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(17), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[17]\, O => skid_buffer(17) ); \m_payload_i[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(18), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[18]\, O => skid_buffer(18) ); \m_payload_i[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(19), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[19]\, O => skid_buffer(19) ); \m_payload_i[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[1]\, O => skid_buffer(1) ); \m_payload_i[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(20), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[20]\, O => skid_buffer(20) ); \m_payload_i[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(21), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[21]\, O => skid_buffer(21) ); \m_payload_i[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(22), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[22]\, O => skid_buffer(22) ); \m_payload_i[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(23), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[23]\, O => skid_buffer(23) ); \m_payload_i[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(24), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[24]\, O => skid_buffer(24) ); \m_payload_i[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(25), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[25]\, O => skid_buffer(25) ); \m_payload_i[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(26), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[26]\, O => skid_buffer(26) ); \m_payload_i[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(27), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[27]\, O => skid_buffer(27) ); \m_payload_i[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(28), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[28]\, O => skid_buffer(28) ); \m_payload_i[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(29), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[29]\, O => skid_buffer(29) ); \m_payload_i[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[2]\, O => skid_buffer(2) ); \m_payload_i[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(30), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[30]\, O => skid_buffer(30) ); \m_payload_i[31]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(31), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[31]\, O => skid_buffer(31) ); \m_payload_i[32]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[32]\, O => skid_buffer(32) ); \m_payload_i[33]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[33]\, O => skid_buffer(33) ); \m_payload_i[34]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awprot(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[34]\, O => skid_buffer(34) ); \m_payload_i[35]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[35]\, O => skid_buffer(35) ); \m_payload_i[36]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awsize(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[36]\, O => skid_buffer(36) ); \m_payload_i[38]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[38]\, O => skid_buffer(38) ); \m_payload_i[39]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awburst(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[39]\, O => skid_buffer(39) ); \m_payload_i[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[3]\, O => skid_buffer(3) ); \m_payload_i[44]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[44]\, O => skid_buffer(44) ); \m_payload_i[45]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[45]\, O => skid_buffer(45) ); \m_payload_i[46]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[46]\, O => skid_buffer(46) ); \m_payload_i[47]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[47]\, O => skid_buffer(47) ); \m_payload_i[48]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[48]\, O => skid_buffer(48) ); \m_payload_i[49]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[49]\, O => skid_buffer(49) ); \m_payload_i[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[4]\, O => skid_buffer(4) ); \m_payload_i[50]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[50]\, O => skid_buffer(50) ); \m_payload_i[51]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awlen(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[51]\, O => skid_buffer(51) ); \m_payload_i[53]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(0), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[53]\, O => skid_buffer(53) ); \m_payload_i[54]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(1), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[54]\, O => skid_buffer(54) ); \m_payload_i[55]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(2), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[55]\, O => skid_buffer(55) ); \m_payload_i[56]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(3), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[56]\, O => skid_buffer(56) ); \m_payload_i[57]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(4), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[57]\, O => skid_buffer(57) ); \m_payload_i[58]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[58]\, O => skid_buffer(58) ); \m_payload_i[59]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[59]\, O => skid_buffer(59) ); \m_payload_i[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(5), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[5]\, O => skid_buffer(5) ); \m_payload_i[60]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[60]\, O => skid_buffer(60) ); \m_payload_i[61]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[61]\, O => skid_buffer(61) ); \m_payload_i[62]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(9), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[62]\, O => skid_buffer(62) ); \m_payload_i[63]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(10), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[63]\, O => skid_buffer(63) ); \m_payload_i[64]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awid(11), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[64]\, O => skid_buffer(64) ); \m_payload_i[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(6), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[6]\, O => skid_buffer(6) ); \m_payload_i[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(7), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[7]\, O => skid_buffer(7) ); \m_payload_i[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(8), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[8]\, O => skid_buffer(8) ); \m_payload_i[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axi_awaddr(9), I1 => \^s_axi_awready\, I2 => \skid_buffer_reg_n_0_[9]\, O => skid_buffer(9) ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(0), Q => \^q\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(10), Q => \^q\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(11), Q => \^q\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(12), Q => \^q\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(13), Q => \^q\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(14), Q => \^q\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(15), Q => \^q\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(16), Q => \^q\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(17), Q => \^q\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(18), Q => \^q\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(19), Q => \^q\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(1), Q => \^q\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(20), Q => \^q\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(21), Q => \^q\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(22), Q => \^q\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(23), Q => \^q\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(24), Q => \^q\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(25), Q => \^q\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(26), Q => \^q\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(27), Q => \^q\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(28), Q => \^q\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(29), Q => \^q\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(2), Q => \^q\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(30), Q => \^q\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(31), Q => \^q\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(32), Q => \^q\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(33), Q => \^q\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(34), Q => \^q\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(35), Q => \^q\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(36), Q => \^q\(36), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(38), Q => \^q\(37), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(39), Q => \^q\(38), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(3), Q => \^q\(3), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(44), Q => \^q\(39), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(45), Q => \^q\(40), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(46), Q => \^q\(41), R => '0' ); \m_payload_i_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(47), Q => \^q\(42), R => '0' ); \m_payload_i_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(48), Q => \^q\(43), R => '0' ); \m_payload_i_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(49), Q => \^q\(44), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(4), Q => \^q\(4), R => '0' ); \m_payload_i_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(50), Q => \^q\(45), R => '0' ); \m_payload_i_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(51), Q => \^q\(46), R => '0' ); \m_payload_i_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(53), Q => \^q\(47), R => '0' ); \m_payload_i_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(54), Q => \^q\(48), R => '0' ); \m_payload_i_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(55), Q => \^q\(49), R => '0' ); \m_payload_i_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(56), Q => \^q\(50), R => '0' ); \m_payload_i_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(57), Q => \^q\(51), R => '0' ); \m_payload_i_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(58), Q => \^q\(52), R => '0' ); \m_payload_i_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(59), Q => \^q\(53), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(5), Q => \^q\(5), R => '0' ); \m_payload_i_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(60), Q => \^q\(54), R => '0' ); \m_payload_i_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(61), Q => \^q\(55), R => '0' ); \m_payload_i_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(62), Q => \^q\(56), R => '0' ); \m_payload_i_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(63), Q => \^q\(57), R => '0' ); \m_payload_i_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(64), Q => \^q\(58), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(6), Q => \^q\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(7), Q => \^q\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(8), Q => \^q\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => E(0), D => skid_buffer(9), Q => \^q\(9), R => '0' ); \m_valid_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => b_push, I1 => \^m_valid_i_reg_0\, I2 => s_axi_awvalid, I3 => \^s_axi_awready\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^m_valid_i_reg_0\, R => \aresetn_d_reg[1]_inv_0\ ); next_pending_r_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \^next_pending_r_reg_0\, I1 => \^q\(43), I2 => \^q\(44), I3 => \^q\(46), I4 => \^q\(45), O => next_pending_r_reg ); \next_pending_r_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \^q\(41), I1 => \^q\(39), I2 => \^q\(40), I3 => \^q\(42), O => \^next_pending_r_reg_0\ ); \s_ready_i_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \aresetn_d_reg_n_0_[0]\, O => \^s_ready_i_reg_0\ ); s_ready_i_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"BFBB" ) port map ( I0 => b_push, I1 => \^m_valid_i_reg_0\, I2 => s_axi_awvalid, I3 => \^s_axi_awready\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^s_axi_awready\, R => \^s_ready_i_reg_0\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(0), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(1), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awprot(2), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(0), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awsize(1), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(0), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awburst(1), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(0), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(1), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(2), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[47]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(3), Q => \skid_buffer_reg_n_0_[47]\, R => '0' ); \skid_buffer_reg[48]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(4), Q => \skid_buffer_reg_n_0_[48]\, R => '0' ); \skid_buffer_reg[49]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(5), Q => \skid_buffer_reg_n_0_[49]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[50]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(6), Q => \skid_buffer_reg_n_0_[50]\, R => '0' ); \skid_buffer_reg[51]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awlen(7), Q => \skid_buffer_reg_n_0_[51]\, R => '0' ); \skid_buffer_reg[53]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(0), Q => \skid_buffer_reg_n_0_[53]\, R => '0' ); \skid_buffer_reg[54]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(1), Q => \skid_buffer_reg_n_0_[54]\, R => '0' ); \skid_buffer_reg[55]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(2), Q => \skid_buffer_reg_n_0_[55]\, R => '0' ); \skid_buffer_reg[56]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(3), Q => \skid_buffer_reg_n_0_[56]\, R => '0' ); \skid_buffer_reg[57]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(4), Q => \skid_buffer_reg_n_0_[57]\, R => '0' ); \skid_buffer_reg[58]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(5), Q => \skid_buffer_reg_n_0_[58]\, R => '0' ); \skid_buffer_reg[59]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(6), Q => \skid_buffer_reg_n_0_[59]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[60]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(7), Q => \skid_buffer_reg_n_0_[60]\, R => '0' ); \skid_buffer_reg[61]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(8), Q => \skid_buffer_reg_n_0_[61]\, R => '0' ); \skid_buffer_reg[62]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(9), Q => \skid_buffer_reg_n_0_[62]\, R => '0' ); \skid_buffer_reg[63]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(10), Q => \skid_buffer_reg_n_0_[63]\, R => '0' ); \skid_buffer_reg[64]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awid(11), Q => \skid_buffer_reg_n_0_[64]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^s_axi_awready\, D => s_axi_awaddr(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); \wrap_boundary_axaddr_r[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"AA8A" ) port map ( I0 => \^q\(0), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(0) ); \wrap_boundary_axaddr_r[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"8A888AAA" ) port map ( I0 => \^q\(1), I1 => \^q\(36), I2 => \^q\(39), I3 => \^q\(35), I4 => \^q\(40), O => \wrap_boundary_axaddr_r_reg[6]\(1) ); \wrap_boundary_axaddr_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"A0A0202AAAAA202A" ) port map ( I0 => \^q\(2), I1 => \^q\(40), I2 => \^q\(35), I3 => \^q\(41), I4 => \^q\(36), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(2) ); \wrap_boundary_axaddr_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"020202A2A2A202A2" ) port map ( I0 => \^q\(3), I1 => \wrap_boundary_axaddr_r[3]_i_2_n_0\, I2 => \^q\(36), I3 => \^q\(40), I4 => \^q\(35), I5 => \^q\(39), O => \wrap_boundary_axaddr_r_reg[6]\(3) ); \wrap_boundary_axaddr_r[3]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \^q\(41), I1 => \^q\(35), I2 => \^q\(42), O => \wrap_boundary_axaddr_r[3]_i_2_n_0\ ); \wrap_boundary_axaddr_r[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"002A882A222AAA2A" ) port map ( I0 => \^q\(4), I1 => \^q\(35), I2 => \^q\(42), I3 => \^q\(36), I4 => \^q\(40), I5 => \^q\(41), O => \wrap_boundary_axaddr_r_reg[6]\(4) ); \wrap_boundary_axaddr_r[5]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"2A222AAA" ) port map ( I0 => \^q\(5), I1 => \^q\(36), I2 => \^q\(41), I3 => \^q\(35), I4 => \^q\(42), O => \wrap_boundary_axaddr_r_reg[6]\(5) ); \wrap_boundary_axaddr_r[6]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"2AAA" ) port map ( I0 => \^q\(6), I1 => \^q\(36), I2 => \^q\(42), I3 => \^q\(35), O => \wrap_boundary_axaddr_r_reg[6]\(6) ); \wrap_cnt_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"BBBBBABBCCCCC0CC" ) port map ( I0 => \wrap_second_len_r[0]_i_2_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^m_valid_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3_n_0\, O => D(0) ); \wrap_cnt_r[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(1), I1 => \^wrap_cnt_r_reg[3]\, I2 => wrap_second_len(0), O => D(1) ); \wrap_cnt_r[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \^wrap_second_len_r_reg[3]\(2), I1 => wrap_second_len(0), I2 => \^wrap_cnt_r_reg[3]\, I3 => \^wrap_second_len_r_reg[3]\(1), O => D(2) ); \wrap_cnt_r[3]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAAB" ) port map ( I0 => \wrap_cnt_r[3]_i_3_n_0\, I1 => \^axaddr_offset_r_reg[1]\, I2 => \^axaddr_offset_r_reg[0]\, I3 => \axaddr_offset_r_reg[3]_0\(0), I4 => \^axaddr_offset_r_reg[2]\, O => \^wrap_cnt_r_reg[3]\ ); \wrap_cnt_r[3]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0F0F0F0F0F880F0F" ) port map ( I0 => \axaddr_offset_r[0]_i_2_n_0\, I1 => \^q\(39), I2 => \wrap_second_len_r_reg[3]_0\(0), I3 => \state_reg[1]\(1), I4 => \^m_valid_i_reg_0\, I5 => \state_reg[1]\(0), O => \wrap_cnt_r[3]_i_3_n_0\ ); \wrap_second_len_r[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4444454444444044" ) port map ( I0 => \wrap_second_len_r[0]_i_2_n_0\, I1 => \wrap_second_len_r_reg[3]_0\(0), I2 => \state_reg[1]\(0), I3 => \^m_valid_i_reg_0\, I4 => \state_reg[1]\(1), I5 => \wrap_second_len_r[0]_i_3_n_0\, O => \^wrap_second_len_r_reg[3]\(0) ); \wrap_second_len_r[0]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAA8080000A808" ) port map ( I0 => \wrap_second_len_r[0]_i_4_n_0\, I1 => \^q\(0), I2 => \^q\(36), I3 => \^q\(2), I4 => \^q\(35), I5 => \axaddr_offset_r[1]_i_2_n_0\, O => \wrap_second_len_r[0]_i_2_n_0\ ); \wrap_second_len_r[0]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFBA" ) port map ( I0 => \^axaddr_offset_r_reg[2]\, I1 => \state_reg[1]_rep_0\, I2 => \axaddr_offset_r_reg[3]_1\(3), I3 => \wrap_second_len_r[3]_i_2_n_0\, I4 => \^axaddr_offset_r_reg[0]\, I5 => \^axaddr_offset_r_reg[1]\, O => \wrap_second_len_r[0]_i_3_n_0\ ); \wrap_second_len_r[0]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"0020" ) port map ( I0 => \^q\(39), I1 => \state_reg[0]_rep\, I2 => \^m_valid_i_reg_0\, I3 => \state_reg[1]_rep\, O => \wrap_second_len_r[0]_i_4_n_0\ ); \wrap_second_len_r[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"EE10FFFFEE100000" ) port map ( I0 => \^axaddr_offset_r_reg[1]\, I1 => \^axaddr_offset_r_reg[0]\, I2 => \axaddr_offset_r_reg[3]_0\(0), I3 => \^axaddr_offset_r_reg[2]\, I4 => \state_reg[1]_rep_0\, I5 => \wrap_second_len_r_reg[3]_0\(1), O => \^wrap_second_len_r_reg[3]\(1) ); \wrap_second_len_r[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFF444444444" ) port map ( I0 => \state_reg[1]_rep_0\, I1 => \wrap_second_len_r_reg[3]_0\(2), I2 => \^axaddr_offset_r_reg[0]\, I3 => \^axaddr_offset_r_reg[1]\, I4 => \^axaddr_offset_r_reg[2]\, I5 => \wrap_second_len_r[3]_i_2_n_0\, O => \^wrap_second_len_r_reg[3]\(2) ); \wrap_second_len_r[3]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EEE222E2" ) port map ( I0 => \axaddr_offset_r[2]_i_2_n_0\, I1 => \^q\(35), I2 => \^q\(4), I3 => \^q\(36), I4 => \^q\(6), I5 => \^axlen_cnt_reg[3]\, O => \wrap_second_len_r[3]_i_2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; \skid_buffer_reg[0]_0\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ is signal \m_payload_i[0]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__1_n_0\ : STD_LOGIC; signal m_valid_i0 : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal s_ready_i0 : STD_LOGIC; signal \^skid_buffer_reg[0]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \m_payload_i[0]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__1\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__1\ : label is "soft_lutpair79"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_2\ : label is "soft_lutpair78"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__1\ : label is "soft_lutpair84"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__1\ : label is "soft_lutpair83"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__1\ : label is "soft_lutpair82"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__1\ : label is "soft_lutpair81"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__1\ : label is "soft_lutpair80"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__1\ : label is "soft_lutpair80"; begin s_axi_bvalid <= \^s_axi_bvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; \m_payload_i[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__1_n_0\ ); \m_payload_i[10]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__1_n_0\ ); \m_payload_i[11]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__1_n_0\ ); \m_payload_i[12]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__1_n_0\ ); \m_payload_i[13]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, O => p_1_in ); \m_payload_i[13]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_2_n_0\ ); \m_payload_i[1]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \s_bresp_acc_reg[1]\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__1_n_0\ ); \m_payload_i[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__1_n_0\ ); \m_payload_i[3]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__1_n_0\ ); \m_payload_i[4]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__1_n_0\ ); \m_payload_i[5]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__1_n_0\ ); \m_payload_i[6]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__1_n_0\ ); \m_payload_i[7]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__1_n_0\ ); \m_payload_i[8]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__1_n_0\ ); \m_payload_i[9]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \out\(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__1_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[0]_i_1__1_n_0\, Q => \s_axi_bid[11]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[10]_i_1__1_n_0\, Q => \s_axi_bid[11]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[11]_i_1__1_n_0\, Q => \s_axi_bid[11]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[12]_i_1__1_n_0\, Q => \s_axi_bid[11]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[13]_i_2_n_0\, Q => \s_axi_bid[11]\(13), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[1]_i_1__1_n_0\, Q => \s_axi_bid[11]\(1), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[2]_i_1__1_n_0\, Q => \s_axi_bid[11]\(2), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[3]_i_1__1_n_0\, Q => \s_axi_bid[11]\(3), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[4]_i_1__1_n_0\, Q => \s_axi_bid[11]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[5]_i_1__1_n_0\, Q => \s_axi_bid[11]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[6]_i_1__1_n_0\, Q => \s_axi_bid[11]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[7]_i_1__1_n_0\, Q => \s_axi_bid[11]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[8]_i_1__1_n_0\, Q => \s_axi_bid[11]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[9]_i_1__1_n_0\, Q => \s_axi_bid[11]\(9), R => '0' ); m_valid_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => si_rs_bvalid, I3 => \^skid_buffer_reg[0]_0\, O => m_valid_i0 ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => m_valid_i0, Q => \^s_axi_bvalid\, R => \aresetn_d_reg[1]_inv\ ); s_ready_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F4FF" ) port map ( I0 => si_rs_bvalid, I1 => \^skid_buffer_reg[0]_0\, I2 => s_axi_bready, I3 => \^s_axi_bvalid\, O => s_ready_i0 ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => s_ready_i0, Q => \^skid_buffer_reg[0]_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \s_bresp_acc_reg[1]\(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(8), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(9), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(10), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(11), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \s_bresp_acc_reg[1]\(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(0), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(1), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(2), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(3), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(4), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(5), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(6), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \out\(7), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is port ( s_axi_rvalid : out STD_LOGIC; \skid_buffer_reg[0]_0\ : out STD_LOGIC; \cnt_read_reg[3]_rep__0\ : out STD_LOGIC; \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); \aresetn_d_reg[1]_inv\ : in STD_LOGIC; aclk : in STD_LOGIC; \aresetn_d_reg[0]\ : in STD_LOGIC; \cnt_read_reg[4]_rep__0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 ); \cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ : entity is "axi_register_slice_v2_1_13_axic_register_slice"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ is signal \m_payload_i[0]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[10]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[11]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[12]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[13]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[14]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[15]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[16]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[17]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[18]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[19]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[1]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[20]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[21]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[22]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[23]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[24]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[25]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[26]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[27]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[28]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[29]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[2]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[30]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[31]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[32]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[33]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[34]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[35]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[36]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[37]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[38]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[39]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[3]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[40]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[41]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[42]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[43]_i_1_n_0\ : STD_LOGIC; signal \m_payload_i[44]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[45]_i_1__1_n_0\ : STD_LOGIC; signal \m_payload_i[46]_i_2_n_0\ : STD_LOGIC; signal \m_payload_i[4]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[5]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[6]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[7]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[8]_i_1__2_n_0\ : STD_LOGIC; signal \m_payload_i[9]_i_1__2_n_0\ : STD_LOGIC; signal \m_valid_i_i_1__1_n_0\ : STD_LOGIC; signal p_1_in : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal \s_ready_i_i_1__2_n_0\ : STD_LOGIC; signal \^skid_buffer_reg[0]_0\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[0]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[10]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[11]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[12]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[13]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[14]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[15]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[16]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[17]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[18]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[19]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[1]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[20]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[21]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[22]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[23]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[24]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[25]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[26]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[27]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[28]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[29]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[2]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[30]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[31]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[32]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[33]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[34]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[35]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[36]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[37]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[38]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[39]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[3]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[40]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[41]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[42]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[43]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[44]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[45]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[46]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[4]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[5]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[6]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[7]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[8]\ : STD_LOGIC; signal \skid_buffer_reg_n_0_[9]\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \cnt_read[3]_i_2\ : label is "soft_lutpair85"; attribute SOFT_HLUTNM of \m_payload_i[10]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_payload_i[11]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[12]_i_1__2\ : label is "soft_lutpair103"; attribute SOFT_HLUTNM of \m_payload_i[13]_i_1__2\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[14]_i_1__1\ : label is "soft_lutpair102"; attribute SOFT_HLUTNM of \m_payload_i[15]_i_1__1\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[16]_i_1__1\ : label is "soft_lutpair101"; attribute SOFT_HLUTNM of \m_payload_i[17]_i_1__1\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[18]_i_1__1\ : label is "soft_lutpair100"; attribute SOFT_HLUTNM of \m_payload_i[19]_i_1__1\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[1]_i_1__2\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[20]_i_1__1\ : label is "soft_lutpair99"; attribute SOFT_HLUTNM of \m_payload_i[21]_i_1__1\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[22]_i_1__1\ : label is "soft_lutpair98"; attribute SOFT_HLUTNM of \m_payload_i[23]_i_1__1\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[24]_i_1__1\ : label is "soft_lutpair97"; attribute SOFT_HLUTNM of \m_payload_i[25]_i_1__1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[26]_i_1__1\ : label is "soft_lutpair96"; attribute SOFT_HLUTNM of \m_payload_i[27]_i_1__1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[28]_i_1__1\ : label is "soft_lutpair95"; attribute SOFT_HLUTNM of \m_payload_i[29]_i_1__1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[2]_i_1__2\ : label is "soft_lutpair108"; attribute SOFT_HLUTNM of \m_payload_i[30]_i_1__1\ : label is "soft_lutpair94"; attribute SOFT_HLUTNM of \m_payload_i[31]_i_1__1\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[32]_i_1__1\ : label is "soft_lutpair93"; attribute SOFT_HLUTNM of \m_payload_i[33]_i_1__1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[34]_i_1__1\ : label is "soft_lutpair92"; attribute SOFT_HLUTNM of \m_payload_i[35]_i_1__1\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[36]_i_1__1\ : label is "soft_lutpair91"; attribute SOFT_HLUTNM of \m_payload_i[37]_i_1\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \m_payload_i[38]_i_1__1\ : label is "soft_lutpair90"; attribute SOFT_HLUTNM of \m_payload_i[39]_i_1__1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[3]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[40]_i_1\ : label is "soft_lutpair89"; attribute SOFT_HLUTNM of \m_payload_i[41]_i_1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[42]_i_1\ : label is "soft_lutpair88"; attribute SOFT_HLUTNM of \m_payload_i[43]_i_1\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[44]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[45]_i_1__1\ : label is "soft_lutpair87"; attribute SOFT_HLUTNM of \m_payload_i[46]_i_2\ : label is "soft_lutpair86"; attribute SOFT_HLUTNM of \m_payload_i[4]_i_1__2\ : label is "soft_lutpair107"; attribute SOFT_HLUTNM of \m_payload_i[5]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[6]_i_1__2\ : label is "soft_lutpair106"; attribute SOFT_HLUTNM of \m_payload_i[7]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[8]_i_1__2\ : label is "soft_lutpair105"; attribute SOFT_HLUTNM of \m_payload_i[9]_i_1__2\ : label is "soft_lutpair104"; attribute SOFT_HLUTNM of \m_valid_i_i_1__1\ : label is "soft_lutpair85"; begin s_axi_rvalid <= \^s_axi_rvalid\; \skid_buffer_reg[0]_0\ <= \^skid_buffer_reg[0]_0\; \cnt_read[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^skid_buffer_reg[0]_0\, I1 => \cnt_read_reg[4]_rep__0\, O => \cnt_read_reg[3]_rep__0\ ); \m_payload_i[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[0]\, O => \m_payload_i[0]_i_1__2_n_0\ ); \m_payload_i[10]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[10]\, O => \m_payload_i[10]_i_1__2_n_0\ ); \m_payload_i[11]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[11]\, O => \m_payload_i[11]_i_1__2_n_0\ ); \m_payload_i[12]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(12), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[12]\, O => \m_payload_i[12]_i_1__2_n_0\ ); \m_payload_i[13]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(13), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[13]\, O => \m_payload_i[13]_i_1__2_n_0\ ); \m_payload_i[14]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(14), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[14]\, O => \m_payload_i[14]_i_1__1_n_0\ ); \m_payload_i[15]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(15), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[15]\, O => \m_payload_i[15]_i_1__1_n_0\ ); \m_payload_i[16]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(16), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[16]\, O => \m_payload_i[16]_i_1__1_n_0\ ); \m_payload_i[17]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(17), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[17]\, O => \m_payload_i[17]_i_1__1_n_0\ ); \m_payload_i[18]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(18), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[18]\, O => \m_payload_i[18]_i_1__1_n_0\ ); \m_payload_i[19]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(19), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[19]\, O => \m_payload_i[19]_i_1__1_n_0\ ); \m_payload_i[1]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[1]\, O => \m_payload_i[1]_i_1__2_n_0\ ); \m_payload_i[20]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(20), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[20]\, O => \m_payload_i[20]_i_1__1_n_0\ ); \m_payload_i[21]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(21), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[21]\, O => \m_payload_i[21]_i_1__1_n_0\ ); \m_payload_i[22]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(22), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[22]\, O => \m_payload_i[22]_i_1__1_n_0\ ); \m_payload_i[23]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(23), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[23]\, O => \m_payload_i[23]_i_1__1_n_0\ ); \m_payload_i[24]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(24), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[24]\, O => \m_payload_i[24]_i_1__1_n_0\ ); \m_payload_i[25]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(25), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[25]\, O => \m_payload_i[25]_i_1__1_n_0\ ); \m_payload_i[26]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(26), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[26]\, O => \m_payload_i[26]_i_1__1_n_0\ ); \m_payload_i[27]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(27), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[27]\, O => \m_payload_i[27]_i_1__1_n_0\ ); \m_payload_i[28]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(28), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[28]\, O => \m_payload_i[28]_i_1__1_n_0\ ); \m_payload_i[29]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(29), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[29]\, O => \m_payload_i[29]_i_1__1_n_0\ ); \m_payload_i[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[2]\, O => \m_payload_i[2]_i_1__2_n_0\ ); \m_payload_i[30]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(30), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[30]\, O => \m_payload_i[30]_i_1__1_n_0\ ); \m_payload_i[31]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(31), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[31]\, O => \m_payload_i[31]_i_1__1_n_0\ ); \m_payload_i[32]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(32), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[32]\, O => \m_payload_i[32]_i_1__1_n_0\ ); \m_payload_i[33]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(33), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[33]\, O => \m_payload_i[33]_i_1__1_n_0\ ); \m_payload_i[34]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(0), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[34]\, O => \m_payload_i[34]_i_1__1_n_0\ ); \m_payload_i[35]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(1), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[35]\, O => \m_payload_i[35]_i_1__1_n_0\ ); \m_payload_i[36]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(2), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[36]\, O => \m_payload_i[36]_i_1__1_n_0\ ); \m_payload_i[37]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[37]\, O => \m_payload_i[37]_i_1_n_0\ ); \m_payload_i[38]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[38]\, O => \m_payload_i[38]_i_1__1_n_0\ ); \m_payload_i[39]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[39]\, O => \m_payload_i[39]_i_1__1_n_0\ ); \m_payload_i[3]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(3), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[3]\, O => \m_payload_i[3]_i_1__2_n_0\ ); \m_payload_i[40]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[40]\, O => \m_payload_i[40]_i_1_n_0\ ); \m_payload_i[41]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[41]\, O => \m_payload_i[41]_i_1_n_0\ ); \m_payload_i[42]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[42]\, O => \m_payload_i[42]_i_1_n_0\ ); \m_payload_i[43]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[43]\, O => \m_payload_i[43]_i_1_n_0\ ); \m_payload_i[44]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(10), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[44]\, O => \m_payload_i[44]_i_1__1_n_0\ ); \m_payload_i[45]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(11), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[45]\, O => \m_payload_i[45]_i_1__1_n_0\ ); \m_payload_i[46]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, O => p_1_in ); \m_payload_i[46]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => r_push_r_reg(12), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[46]\, O => \m_payload_i[46]_i_2_n_0\ ); \m_payload_i[4]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(4), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[4]\, O => \m_payload_i[4]_i_1__2_n_0\ ); \m_payload_i[5]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(5), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[5]\, O => \m_payload_i[5]_i_1__2_n_0\ ); \m_payload_i[6]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(6), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[6]\, O => \m_payload_i[6]_i_1__2_n_0\ ); \m_payload_i[7]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(7), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[7]\, O => \m_payload_i[7]_i_1__2_n_0\ ); \m_payload_i[8]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(8), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[8]\, O => \m_payload_i[8]_i_1__2_n_0\ ); \m_payload_i[9]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \cnt_read_reg[4]\(9), I1 => \^skid_buffer_reg[0]_0\, I2 => \skid_buffer_reg_n_0_[9]\, O => \m_payload_i[9]_i_1__2_n_0\ ); \m_payload_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[0]_i_1__2_n_0\, Q => \s_axi_rid[11]\(0), R => '0' ); \m_payload_i_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[10]_i_1__2_n_0\, Q => \s_axi_rid[11]\(10), R => '0' ); \m_payload_i_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[11]_i_1__2_n_0\, Q => \s_axi_rid[11]\(11), R => '0' ); \m_payload_i_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[12]_i_1__2_n_0\, Q => \s_axi_rid[11]\(12), R => '0' ); \m_payload_i_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[13]_i_1__2_n_0\, Q => \s_axi_rid[11]\(13), R => '0' ); \m_payload_i_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[14]_i_1__1_n_0\, Q => \s_axi_rid[11]\(14), R => '0' ); \m_payload_i_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[15]_i_1__1_n_0\, Q => \s_axi_rid[11]\(15), R => '0' ); \m_payload_i_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[16]_i_1__1_n_0\, Q => \s_axi_rid[11]\(16), R => '0' ); \m_payload_i_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[17]_i_1__1_n_0\, Q => \s_axi_rid[11]\(17), R => '0' ); \m_payload_i_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[18]_i_1__1_n_0\, Q => \s_axi_rid[11]\(18), R => '0' ); \m_payload_i_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[19]_i_1__1_n_0\, Q => \s_axi_rid[11]\(19), R => '0' ); \m_payload_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[1]_i_1__2_n_0\, Q => \s_axi_rid[11]\(1), R => '0' ); \m_payload_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[20]_i_1__1_n_0\, Q => \s_axi_rid[11]\(20), R => '0' ); \m_payload_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[21]_i_1__1_n_0\, Q => \s_axi_rid[11]\(21), R => '0' ); \m_payload_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[22]_i_1__1_n_0\, Q => \s_axi_rid[11]\(22), R => '0' ); \m_payload_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[23]_i_1__1_n_0\, Q => \s_axi_rid[11]\(23), R => '0' ); \m_payload_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[24]_i_1__1_n_0\, Q => \s_axi_rid[11]\(24), R => '0' ); \m_payload_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[25]_i_1__1_n_0\, Q => \s_axi_rid[11]\(25), R => '0' ); \m_payload_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[26]_i_1__1_n_0\, Q => \s_axi_rid[11]\(26), R => '0' ); \m_payload_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[27]_i_1__1_n_0\, Q => \s_axi_rid[11]\(27), R => '0' ); \m_payload_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[28]_i_1__1_n_0\, Q => \s_axi_rid[11]\(28), R => '0' ); \m_payload_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[29]_i_1__1_n_0\, Q => \s_axi_rid[11]\(29), R => '0' ); \m_payload_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[2]_i_1__2_n_0\, Q => \s_axi_rid[11]\(2), R => '0' ); \m_payload_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[30]_i_1__1_n_0\, Q => \s_axi_rid[11]\(30), R => '0' ); \m_payload_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[31]_i_1__1_n_0\, Q => \s_axi_rid[11]\(31), R => '0' ); \m_payload_i_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[32]_i_1__1_n_0\, Q => \s_axi_rid[11]\(32), R => '0' ); \m_payload_i_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[33]_i_1__1_n_0\, Q => \s_axi_rid[11]\(33), R => '0' ); \m_payload_i_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[34]_i_1__1_n_0\, Q => \s_axi_rid[11]\(34), R => '0' ); \m_payload_i_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[35]_i_1__1_n_0\, Q => \s_axi_rid[11]\(35), R => '0' ); \m_payload_i_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[36]_i_1__1_n_0\, Q => \s_axi_rid[11]\(36), R => '0' ); \m_payload_i_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[37]_i_1_n_0\, Q => \s_axi_rid[11]\(37), R => '0' ); \m_payload_i_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[38]_i_1__1_n_0\, Q => \s_axi_rid[11]\(38), R => '0' ); \m_payload_i_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[39]_i_1__1_n_0\, Q => \s_axi_rid[11]\(39), R => '0' ); \m_payload_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[3]_i_1__2_n_0\, Q => \s_axi_rid[11]\(3), R => '0' ); \m_payload_i_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[40]_i_1_n_0\, Q => \s_axi_rid[11]\(40), R => '0' ); \m_payload_i_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[41]_i_1_n_0\, Q => \s_axi_rid[11]\(41), R => '0' ); \m_payload_i_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[42]_i_1_n_0\, Q => \s_axi_rid[11]\(42), R => '0' ); \m_payload_i_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[43]_i_1_n_0\, Q => \s_axi_rid[11]\(43), R => '0' ); \m_payload_i_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[44]_i_1__1_n_0\, Q => \s_axi_rid[11]\(44), R => '0' ); \m_payload_i_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[45]_i_1__1_n_0\, Q => \s_axi_rid[11]\(45), R => '0' ); \m_payload_i_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[46]_i_2_n_0\, Q => \s_axi_rid[11]\(46), R => '0' ); \m_payload_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[4]_i_1__2_n_0\, Q => \s_axi_rid[11]\(4), R => '0' ); \m_payload_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[5]_i_1__2_n_0\, Q => \s_axi_rid[11]\(5), R => '0' ); \m_payload_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[6]_i_1__2_n_0\, Q => \s_axi_rid[11]\(6), R => '0' ); \m_payload_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[7]_i_1__2_n_0\, Q => \s_axi_rid[11]\(7), R => '0' ); \m_payload_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[8]_i_1__2_n_0\, Q => \s_axi_rid[11]\(8), R => '0' ); \m_payload_i_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => p_1_in, D => \m_payload_i[9]_i_1__2_n_0\, Q => \s_axi_rid[11]\(9), R => '0' ); \m_valid_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"4FFF" ) port map ( I0 => s_axi_rready, I1 => \^s_axi_rvalid\, I2 => \cnt_read_reg[4]_rep__0\, I3 => \^skid_buffer_reg[0]_0\, O => \m_valid_i_i_1__1_n_0\ ); m_valid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \m_valid_i_i_1__1_n_0\, Q => \^s_axi_rvalid\, R => \aresetn_d_reg[1]_inv\ ); \s_ready_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F8FF" ) port map ( I0 => \cnt_read_reg[4]_rep__0\, I1 => \^skid_buffer_reg[0]_0\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \s_ready_i_i_1__2_n_0\ ); s_ready_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => \s_ready_i_i_1__2_n_0\, Q => \^skid_buffer_reg[0]_0\, R => \aresetn_d_reg[0]\ ); \skid_buffer_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(0), Q => \skid_buffer_reg_n_0_[0]\, R => '0' ); \skid_buffer_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(10), Q => \skid_buffer_reg_n_0_[10]\, R => '0' ); \skid_buffer_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(11), Q => \skid_buffer_reg_n_0_[11]\, R => '0' ); \skid_buffer_reg[12]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(12), Q => \skid_buffer_reg_n_0_[12]\, R => '0' ); \skid_buffer_reg[13]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(13), Q => \skid_buffer_reg_n_0_[13]\, R => '0' ); \skid_buffer_reg[14]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(14), Q => \skid_buffer_reg_n_0_[14]\, R => '0' ); \skid_buffer_reg[15]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(15), Q => \skid_buffer_reg_n_0_[15]\, R => '0' ); \skid_buffer_reg[16]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(16), Q => \skid_buffer_reg_n_0_[16]\, R => '0' ); \skid_buffer_reg[17]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(17), Q => \skid_buffer_reg_n_0_[17]\, R => '0' ); \skid_buffer_reg[18]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(18), Q => \skid_buffer_reg_n_0_[18]\, R => '0' ); \skid_buffer_reg[19]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(19), Q => \skid_buffer_reg_n_0_[19]\, R => '0' ); \skid_buffer_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(1), Q => \skid_buffer_reg_n_0_[1]\, R => '0' ); \skid_buffer_reg[20]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(20), Q => \skid_buffer_reg_n_0_[20]\, R => '0' ); \skid_buffer_reg[21]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(21), Q => \skid_buffer_reg_n_0_[21]\, R => '0' ); \skid_buffer_reg[22]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(22), Q => \skid_buffer_reg_n_0_[22]\, R => '0' ); \skid_buffer_reg[23]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(23), Q => \skid_buffer_reg_n_0_[23]\, R => '0' ); \skid_buffer_reg[24]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(24), Q => \skid_buffer_reg_n_0_[24]\, R => '0' ); \skid_buffer_reg[25]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(25), Q => \skid_buffer_reg_n_0_[25]\, R => '0' ); \skid_buffer_reg[26]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(26), Q => \skid_buffer_reg_n_0_[26]\, R => '0' ); \skid_buffer_reg[27]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(27), Q => \skid_buffer_reg_n_0_[27]\, R => '0' ); \skid_buffer_reg[28]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(28), Q => \skid_buffer_reg_n_0_[28]\, R => '0' ); \skid_buffer_reg[29]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(29), Q => \skid_buffer_reg_n_0_[29]\, R => '0' ); \skid_buffer_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(2), Q => \skid_buffer_reg_n_0_[2]\, R => '0' ); \skid_buffer_reg[30]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(30), Q => \skid_buffer_reg_n_0_[30]\, R => '0' ); \skid_buffer_reg[31]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(31), Q => \skid_buffer_reg_n_0_[31]\, R => '0' ); \skid_buffer_reg[32]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(32), Q => \skid_buffer_reg_n_0_[32]\, R => '0' ); \skid_buffer_reg[33]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(33), Q => \skid_buffer_reg_n_0_[33]\, R => '0' ); \skid_buffer_reg[34]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(0), Q => \skid_buffer_reg_n_0_[34]\, R => '0' ); \skid_buffer_reg[35]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(1), Q => \skid_buffer_reg_n_0_[35]\, R => '0' ); \skid_buffer_reg[36]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(2), Q => \skid_buffer_reg_n_0_[36]\, R => '0' ); \skid_buffer_reg[37]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(3), Q => \skid_buffer_reg_n_0_[37]\, R => '0' ); \skid_buffer_reg[38]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(4), Q => \skid_buffer_reg_n_0_[38]\, R => '0' ); \skid_buffer_reg[39]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(5), Q => \skid_buffer_reg_n_0_[39]\, R => '0' ); \skid_buffer_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(3), Q => \skid_buffer_reg_n_0_[3]\, R => '0' ); \skid_buffer_reg[40]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(6), Q => \skid_buffer_reg_n_0_[40]\, R => '0' ); \skid_buffer_reg[41]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(7), Q => \skid_buffer_reg_n_0_[41]\, R => '0' ); \skid_buffer_reg[42]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(8), Q => \skid_buffer_reg_n_0_[42]\, R => '0' ); \skid_buffer_reg[43]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(9), Q => \skid_buffer_reg_n_0_[43]\, R => '0' ); \skid_buffer_reg[44]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(10), Q => \skid_buffer_reg_n_0_[44]\, R => '0' ); \skid_buffer_reg[45]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(11), Q => \skid_buffer_reg_n_0_[45]\, R => '0' ); \skid_buffer_reg[46]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => r_push_r_reg(12), Q => \skid_buffer_reg_n_0_[46]\, R => '0' ); \skid_buffer_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(4), Q => \skid_buffer_reg_n_0_[4]\, R => '0' ); \skid_buffer_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(5), Q => \skid_buffer_reg_n_0_[5]\, R => '0' ); \skid_buffer_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(6), Q => \skid_buffer_reg_n_0_[6]\, R => '0' ); \skid_buffer_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(7), Q => \skid_buffer_reg_n_0_[7]\, R => '0' ); \skid_buffer_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(8), Q => \skid_buffer_reg_n_0_[8]\, R => '0' ); \skid_buffer_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => \^skid_buffer_reg[0]_0\, D => \cnt_read_reg[4]\(9), Q => \skid_buffer_reg_n_0_[9]\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_b_channel is port ( si_rs_bvalid : out STD_LOGIC; \cnt_read_reg[0]_rep__0\ : out STD_LOGIC; \cnt_read_reg[1]_rep__1\ : out STD_LOGIC; m_axi_bready : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); \skid_buffer_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); areset_d1 : in STD_LOGIC; aclk : in STD_LOGIC; b_push : in STD_LOGIC; si_rs_bready : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 19 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_b_channel; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_b_channel is signal bid_fifo_0_n_2 : STD_LOGIC; signal bid_fifo_0_n_3 : STD_LOGIC; signal bid_fifo_0_n_6 : STD_LOGIC; signal \bresp_cnt[7]_i_3_n_0\ : STD_LOGIC; signal \bresp_cnt_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal bresp_push : STD_LOGIC; signal cnt_read : STD_LOGIC_VECTOR ( 1 downto 0 ); signal mhandshake : STD_LOGIC; signal mhandshake_r : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 7 downto 0 ); signal s_bresp_acc0 : STD_LOGIC; signal \s_bresp_acc[0]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc[1]_i_1_n_0\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[0]\ : STD_LOGIC; signal \s_bresp_acc_reg_n_0_[1]\ : STD_LOGIC; signal shandshake : STD_LOGIC; signal shandshake_r : STD_LOGIC; signal \^si_rs_bvalid\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \bresp_cnt[1]_i_1\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \bresp_cnt[2]_i_1\ : label is "soft_lutpair121"; attribute SOFT_HLUTNM of \bresp_cnt[3]_i_1\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \bresp_cnt[4]_i_1\ : label is "soft_lutpair119"; attribute SOFT_HLUTNM of \bresp_cnt[6]_i_1\ : label is "soft_lutpair120"; attribute SOFT_HLUTNM of \bresp_cnt[7]_i_2\ : label is "soft_lutpair120"; begin si_rs_bvalid <= \^si_rs_bvalid\; bid_fifo_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo port map ( D(0) => bid_fifo_0_n_2, Q(1 downto 0) => cnt_read(1 downto 0), SR(0) => s_bresp_acc0, aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \bresp_cnt_reg[7]\(7 downto 0) => \bresp_cnt_reg__0\(7 downto 0), bvalid_i_reg => bid_fifo_0_n_6, bvalid_i_reg_0 => \^si_rs_bvalid\, \cnt_read_reg[0]_0\ => bid_fifo_0_n_3, \cnt_read_reg[0]_rep__0_0\ => \cnt_read_reg[0]_rep__0\, \cnt_read_reg[1]_rep__1_0\ => \cnt_read_reg[1]_rep__1\, \in\(19 downto 0) => \in\(19 downto 0), mhandshake_r => mhandshake_r, \out\(11 downto 0) => \out\(11 downto 0), sel => bresp_push, shandshake_r => shandshake_r, si_rs_bready => si_rs_bready ); \bresp_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \bresp_cnt_reg__0\(0), O => p_0_in(0) ); \bresp_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(1), I1 => \bresp_cnt_reg__0\(0), O => p_0_in(1) ); \bresp_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(2), I1 => \bresp_cnt_reg__0\(0), I2 => \bresp_cnt_reg__0\(1), O => p_0_in(2) ); \bresp_cnt[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \bresp_cnt_reg__0\(3), I1 => \bresp_cnt_reg__0\(1), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(2), O => p_0_in(3) ); \bresp_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(4), I1 => \bresp_cnt_reg__0\(2), I2 => \bresp_cnt_reg__0\(0), I3 => \bresp_cnt_reg__0\(1), I4 => \bresp_cnt_reg__0\(3), O => p_0_in(4) ); \bresp_cnt[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => p_0_in(5) ); \bresp_cnt[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \bresp_cnt_reg__0\(6), I1 => \bresp_cnt[7]_i_3_n_0\, O => p_0_in(6) ); \bresp_cnt[7]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \bresp_cnt_reg__0\(7), I1 => \bresp_cnt[7]_i_3_n_0\, I2 => \bresp_cnt_reg__0\(6), O => p_0_in(7) ); \bresp_cnt[7]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \bresp_cnt_reg__0\(5), I1 => \bresp_cnt_reg__0\(3), I2 => \bresp_cnt_reg__0\(1), I3 => \bresp_cnt_reg__0\(0), I4 => \bresp_cnt_reg__0\(2), I5 => \bresp_cnt_reg__0\(4), O => \bresp_cnt[7]_i_3_n_0\ ); \bresp_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(0), Q => \bresp_cnt_reg__0\(0), R => s_bresp_acc0 ); \bresp_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(1), Q => \bresp_cnt_reg__0\(1), R => s_bresp_acc0 ); \bresp_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(2), Q => \bresp_cnt_reg__0\(2), R => s_bresp_acc0 ); \bresp_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(3), Q => \bresp_cnt_reg__0\(3), R => s_bresp_acc0 ); \bresp_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(4), Q => \bresp_cnt_reg__0\(4), R => s_bresp_acc0 ); \bresp_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(5), Q => \bresp_cnt_reg__0\(5), R => s_bresp_acc0 ); \bresp_cnt_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(6), Q => \bresp_cnt_reg__0\(6), R => s_bresp_acc0 ); \bresp_cnt_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => mhandshake_r, D => p_0_in(7), Q => \bresp_cnt_reg__0\(7), R => s_bresp_acc0 ); bresp_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized0\ port map ( D(0) => bid_fifo_0_n_2, Q(1 downto 0) => cnt_read(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \bresp_cnt_reg[3]\ => bid_fifo_0_n_3, \in\(1) => \s_bresp_acc_reg_n_0_[1]\, \in\(0) => \s_bresp_acc_reg_n_0_[0]\, m_axi_bready => m_axi_bready, m_axi_bvalid => m_axi_bvalid, mhandshake => mhandshake, mhandshake_r => mhandshake_r, sel => bresp_push, shandshake_r => shandshake_r, \skid_buffer_reg[1]\(1 downto 0) => \skid_buffer_reg[1]\(1 downto 0) ); bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => bid_fifo_0_n_6, Q => \^si_rs_bvalid\, R => '0' ); mhandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => mhandshake, Q => mhandshake_r, R => areset_d1 ); \s_bresp_acc[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EACEAAAA" ) port map ( I0 => \s_bresp_acc_reg_n_0_[0]\, I1 => m_axi_bresp(0), I2 => m_axi_bresp(1), I3 => \s_bresp_acc_reg_n_0_[1]\, I4 => mhandshake, I5 => s_bresp_acc0, O => \s_bresp_acc[0]_i_1_n_0\ ); \s_bresp_acc[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"00EC" ) port map ( I0 => m_axi_bresp(1), I1 => \s_bresp_acc_reg_n_0_[1]\, I2 => mhandshake, I3 => s_bresp_acc0, O => \s_bresp_acc[1]_i_1_n_0\ ); \s_bresp_acc_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[0]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[0]\, R => '0' ); \s_bresp_acc_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \s_bresp_acc[1]_i_1_n_0\, Q => \s_bresp_acc_reg_n_0_[1]\, R => '0' ); shandshake_r_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^si_rs_bvalid\, I1 => si_rs_bready, O => shandshake ); shandshake_r_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => shandshake, Q => shandshake_r, R => areset_d1 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator is port ( next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; \sel_first__0\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axlen_cnt_reg[7]\ : out STD_LOGIC; \state_reg[1]_rep\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; next_pending_r_reg_2 : out STD_LOGIC; \axlen_cnt_reg[4]\ : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; wrap_next_pending : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; sel_first_reg_1 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_2 : in STD_LOGIC; sel_first_reg_3 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 21 downto 0 ); CO : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 3 downto 0 ); \next\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \state_reg[0]_rep\ : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_21 : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd port map ( CO(0) => CO(0), D(3 downto 0) => D(3 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(3 downto 0) => Q(3 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[11]_0\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), \axlen_cnt_reg[4]_0\ => \axlen_cnt_reg[4]\, \axlen_cnt_reg[7]_0\ => \axlen_cnt_reg[7]\, incr_next_pending => incr_next_pending, \m_axi_awaddr[1]\ => incr_cmd_0_n_21, \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(9 downto 8) => \m_payload_i_reg[51]\(21 downto 20), \m_payload_i_reg[51]\(7) => \m_payload_i_reg[51]\(18), \m_payload_i_reg[51]\(6 downto 4) => \m_payload_i_reg[51]\(14 downto 12), \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, next_pending_r_reg_1 => next_pending_r_reg_1, sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_2, \state_reg[0]\ => \state_reg[0]\, \state_reg[0]_rep\ => \state_reg[0]_rep\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0) ); \memory_reg[3][0]_srl4_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq0, O => \state_reg[1]_rep\ ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]\, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]_0\, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd port map ( E(0) => E(0), aclk => aclk, axaddr_incr_reg(7 downto 0) => axaddr_incr_reg(11 downto 4), \axaddr_incr_reg[3]\(2 downto 1) => \^axaddr_incr_reg[3]\(3 downto 2), \axaddr_incr_reg[3]\(0) => \^axaddr_incr_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[51]\(19 downto 15), \m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[51]\(13 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), \next\ => \next\, next_pending_r_reg_0 => next_pending_r_reg_0, next_pending_r_reg_1 => next_pending_r_reg_2, sel_first_reg_0 => \sel_first__0\, sel_first_reg_1 => sel_first_reg_3, sel_first_reg_2 => incr_cmd_0_n_21, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), \wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is port ( next_pending_r_reg : out STD_LOGIC; wrap_next_pending : out STD_LOGIC; sel_first_reg_0 : out STD_LOGIC; \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC; sel_first_reg_1 : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); next_pending_r_reg_0 : out STD_LOGIC; r_rlast : out STD_LOGIC; \state_reg[0]_rep\ : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); incr_next_pending : in STD_LOGIC; aclk : in STD_LOGIC; sel_first_i : in STD_LOGIC; \m_payload_i_reg[39]\ : in STD_LOGIC; \m_payload_i_reg[39]_0\ : in STD_LOGIC; sel_first_reg_2 : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first_reg_3 : in STD_LOGIC; sel_first_reg_4 : in STD_LOGIC; \state_reg[0]\ : in STD_LOGIC; \m_payload_i_reg[47]\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[51]\ : in STD_LOGIC_VECTOR ( 23 downto 0 ); \state_reg[0]_rep_0\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[46]\ : in STD_LOGIC; \state_reg[1]_rep_0\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 1 downto 0 ); \axaddr_offset_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[6]\ : in STD_LOGIC_VECTOR ( 6 downto 0 ); \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 : entity is "axi_protocol_converter_v2_1_13_b2s_cmd_translator"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 is signal axaddr_incr_reg : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \^axaddr_incr_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \axaddr_incr_reg_11__s_net_1\ : STD_LOGIC; signal incr_cmd_0_n_16 : STD_LOGIC; signal incr_cmd_0_n_17 : STD_LOGIC; signal s_axburst_eq0 : STD_LOGIC; signal s_axburst_eq1 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of r_rlast_r_i_1 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair5"; begin \axaddr_incr_reg[11]\ <= \axaddr_incr_reg_11__s_net_1\; \axaddr_incr_reg[3]\(3 downto 0) <= \^axaddr_incr_reg[3]\(3 downto 0); incr_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_incr_cmd_2 port map ( CO(0) => CO(0), D(1 downto 0) => D(1 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(1 downto 0) => Q(1 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]_0\(6 downto 1) => axaddr_incr_reg(11 downto 6), \axaddr_incr_reg[11]_0\(0) => axaddr_incr_reg(4), \axaddr_incr_reg[11]_1\ => \axaddr_incr_reg_11__s_net_1\, \axaddr_incr_reg[3]_0\(3 downto 0) => \^axaddr_incr_reg[3]\(3 downto 0), incr_next_pending => incr_next_pending, \m_axi_araddr[2]\ => incr_cmd_0_n_17, \m_axi_araddr[5]\ => incr_cmd_0_n_16, m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(12 downto 9) => \m_payload_i_reg[51]\(23 downto 20), \m_payload_i_reg[51]\(8) => \m_payload_i_reg[51]\(18), \m_payload_i_reg[51]\(7 downto 5) => \m_payload_i_reg[51]\(14 downto 12), \m_payload_i_reg[51]\(4) => \m_payload_i_reg[51]\(5), \m_payload_i_reg[51]\(3 downto 0) => \m_payload_i_reg[51]\(3 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), next_pending_r_reg_0 => next_pending_r_reg, next_pending_r_reg_1 => next_pending_r_reg_0, sel_first_reg_0 => sel_first_reg_2, sel_first_reg_1 => sel_first_reg_3, \state_reg[0]\ => \state_reg[0]\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0) ); r_rlast_r_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"1D" ) port map ( I0 => s_axburst_eq0, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq1, O => r_rlast ); s_axburst_eq0_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]\, Q => s_axburst_eq0, R => '0' ); s_axburst_eq1_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[39]_0\, Q => s_axburst_eq1, R => '0' ); sel_first_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => sel_first_i, Q => sel_first_reg_0, R => '0' ); \state[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => s_axburst_eq1, I1 => \m_payload_i_reg[51]\(15), I2 => s_axburst_eq0, O => \state_reg[0]_rep\ ); wrap_cmd_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wrap_cmd_3 port map ( E(0) => E(0), aclk => aclk, \axaddr_incr_reg[11]\(6 downto 1) => axaddr_incr_reg(11 downto 6), \axaddr_incr_reg[11]\(0) => axaddr_incr_reg(4), \axaddr_incr_reg[3]\(2) => \^axaddr_incr_reg[3]\(3), \axaddr_incr_reg[3]\(1 downto 0) => \^axaddr_incr_reg[3]\(1 downto 0), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \axaddr_offset_r_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_0\(3 downto 0), m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\(18 downto 14) => \m_payload_i_reg[51]\(19 downto 15), \m_payload_i_reg[47]\(13 downto 0) => \m_payload_i_reg[51]\(13 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]\(6 downto 0), m_valid_i_reg(0) => m_valid_i_reg(0), sel_first_reg_0 => sel_first_reg_1, sel_first_reg_1 => sel_first_reg_4, sel_first_reg_2 => incr_cmd_0_n_16, sel_first_reg_3 => incr_cmd_0_n_17, si_rs_arvalid => si_rs_arvalid, \state_reg[0]_rep\ => \state_reg[0]_rep_0\, \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]_0\(3 downto 0) => \wrap_second_len_r_reg[3]\(3 downto 0), \wrap_second_len_r_reg[3]_1\(3 downto 0) => \wrap_second_len_r_reg[3]_0\(3 downto 0), \wrap_second_len_r_reg[3]_2\(3 downto 0) => \wrap_second_len_r_reg[3]_1\(3 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_r_channel is port ( \state_reg[1]_rep\ : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_valid_i_reg : out STD_LOGIC; \out\ : out STD_LOGIC_VECTOR ( 33 downto 0 ); \skid_buffer_reg[46]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; aclk : in STD_LOGIC; r_rlast : in STD_LOGIC; s_ready_i_reg : in STD_LOGIC; si_rs_rready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); areset_d1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_r_channel; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_r_channel is signal \^m_valid_i_reg\ : STD_LOGIC; signal r_push_r : STD_LOGIC; signal rd_data_fifo_0_n_0 : STD_LOGIC; signal rd_data_fifo_0_n_2 : STD_LOGIC; signal rd_data_fifo_0_n_3 : STD_LOGIC; signal rd_data_fifo_0_n_5 : STD_LOGIC; signal trans_in : STD_LOGIC_VECTOR ( 12 downto 0 ); signal transaction_fifo_0_n_1 : STD_LOGIC; signal wr_en0 : STD_LOGIC; begin m_valid_i_reg <= \^m_valid_i_reg\; \r_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(0), Q => trans_in(1), R => '0' ); \r_arid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(10), Q => trans_in(11), R => '0' ); \r_arid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(11), Q => trans_in(12), R => '0' ); \r_arid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(1), Q => trans_in(2), R => '0' ); \r_arid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(2), Q => trans_in(3), R => '0' ); \r_arid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(3), Q => trans_in(4), R => '0' ); \r_arid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(4), Q => trans_in(5), R => '0' ); \r_arid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(5), Q => trans_in(6), R => '0' ); \r_arid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(6), Q => trans_in(7), R => '0' ); \r_arid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(7), Q => trans_in(8), R => '0' ); \r_arid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(8), Q => trans_in(9), R => '0' ); \r_arid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => D(9), Q => trans_in(10), R => '0' ); r_push_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \state_reg[1]_rep_0\, Q => r_push_r, R => '0' ); r_rlast_r_reg: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => r_rlast, Q => trans_in(0), R => '0' ); rd_data_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized1\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[3]_rep__2_0\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_rep__0_0\ => \^m_valid_i_reg\, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \cnt_read_reg[4]_rep__2_1\ => rd_data_fifo_0_n_3, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\(33 downto 0) => \out\(33 downto 0), s_ready_i_reg => s_ready_i_reg, s_ready_i_reg_0 => transaction_fifo_0_n_1, si_rs_rready => si_rs_rready, \state_reg[1]_rep\ => rd_data_fifo_0_n_5, wr_en0 => wr_en0 ); transaction_fifo_0: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_simple_fifo__parameterized2\ port map ( aclk => aclk, areset_d1 => areset_d1, \cnt_read_reg[0]_rep__2\ => rd_data_fifo_0_n_5, \cnt_read_reg[0]_rep__2_0\ => rd_data_fifo_0_n_3, \cnt_read_reg[3]_rep__2\ => rd_data_fifo_0_n_0, \cnt_read_reg[4]_rep__2\ => transaction_fifo_0_n_1, \cnt_read_reg[4]_rep__2_0\ => rd_data_fifo_0_n_2, \in\(12 downto 0) => trans_in(12 downto 0), m_valid_i_reg => \^m_valid_i_reg\, r_push_r => r_push_r, s_ready_i_reg => s_ready_i_reg, si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 0) => \skid_buffer_reg[46]\(12 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, wr_en0 => wr_en0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice is port ( s_axi_awready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; si_rs_awvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; si_rs_bready : out STD_LOGIC; si_rs_arvalid : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; si_rs_rready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 58 downto 0 ); \s_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 58 downto 0 ); \axaddr_incr_reg[11]\ : out STD_LOGIC_VECTOR ( 7 downto 0 ); CO : out STD_LOGIC_VECTOR ( 0 to 0 ); O : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[11]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]\ : out STD_LOGIC; axaddr_offset : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axlen_cnt_reg[3]\ : out STD_LOGIC; next_pending_r_reg : out STD_LOGIC; next_pending_r_reg_0 : out STD_LOGIC; \wrap_cnt_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_cnt_r_reg[3]_1\ : out STD_LOGIC; axaddr_offset_0 : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axlen_cnt_reg[3]_0\ : out STD_LOGIC; next_pending_r_reg_1 : out STD_LOGIC; next_pending_r_reg_2 : out STD_LOGIC; \cnt_read_reg[3]_rep__0\ : out STD_LOGIC; \axaddr_offset_r_reg[3]\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC; \wrap_boundary_axaddr_r_reg[6]_0\ : out STD_LOGIC_VECTOR ( 6 downto 0 ); \m_axi_awaddr[10]\ : out STD_LOGIC; \m_axi_araddr[10]\ : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); aclk : in STD_LOGIC; aresetn : in STD_LOGIC; \state_reg[0]_rep\ : in STD_LOGIC; \state_reg[1]_rep\ : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \cnt_read_reg[4]_rep__0\ : in STD_LOGIC; s_axi_rready : in STD_LOGIC; b_push : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \state_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_0\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \wrap_second_len_r_reg[3]_2\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); wrap_second_len_1 : in STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_3\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep_1\ : in STD_LOGIC; \axaddr_offset_r_reg[3]_4\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \state_reg[0]_rep_0\ : in STD_LOGIC; \state_reg[1]_rep_2\ : in STD_LOGIC; sel_first : in STD_LOGIC; sel_first_2 : in STD_LOGIC; si_rs_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); \out\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); \s_bresp_acc_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); r_push_r_reg : in STD_LOGIC_VECTOR ( 12 downto 0 ); \cnt_read_reg[4]\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); axaddr_incr_reg : in STD_LOGIC_VECTOR ( 3 downto 0 ); \axaddr_incr_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_valid_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice is signal ar_pipe_n_2 : STD_LOGIC; signal aw_pipe_n_1 : STD_LOGIC; signal aw_pipe_n_97 : STD_LOGIC; begin ar_pipe: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice port map ( Q(58 downto 0) => \s_arid_r_reg[11]\(58 downto 0), aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[0]_0\ => aw_pipe_n_97, \axaddr_incr_reg[11]\(3 downto 0) => \axaddr_incr_reg[11]_0\(3 downto 0), \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_incr_reg[3]_0\(3 downto 0) => \axaddr_incr_reg[3]_0\(3 downto 0), \axaddr_incr_reg[7]\(3 downto 0) => \axaddr_incr_reg[7]\(3 downto 0), \axaddr_incr_reg[7]_0\(0) => \axaddr_incr_reg[7]_0\(0), \axaddr_offset_r_reg[0]\ => axaddr_offset_0(0), \axaddr_offset_r_reg[1]\ => axaddr_offset_0(1), \axaddr_offset_r_reg[2]\ => axaddr_offset_0(2), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]_0\, \axaddr_offset_r_reg[3]_0\(0) => \axaddr_offset_r_reg[3]_3\(0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_4\(3 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]_0\, \m_axi_araddr[10]\ => \m_axi_araddr[10]\, \m_payload_i_reg[3]_0\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), m_valid_i_reg_0 => ar_pipe_n_2, m_valid_i_reg_1(0) => m_valid_i_reg(0), next_pending_r_reg => next_pending_r_reg_1, next_pending_r_reg_0 => next_pending_r_reg_2, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_ready_i_reg_0 => si_rs_arvalid, sel_first_2 => sel_first_2, \state_reg[0]_rep\ => \state_reg[0]_rep_0\, \state_reg[1]\(1 downto 0) => \state_reg[1]\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep_1\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_2\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]_0\(6 downto 0), \wrap_cnt_r_reg[3]\(2 downto 0) => \wrap_cnt_r_reg[3]_0\(2 downto 0), \wrap_cnt_r_reg[3]_0\ => \wrap_cnt_r_reg[3]_1\, wrap_second_len_1(0) => wrap_second_len_1(0), \wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]_0\(2 downto 0), \wrap_second_len_r_reg[3]_0\(2 downto 0) => \wrap_second_len_r_reg[3]_2\(2 downto 0) ); aw_pipe: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice_0 port map ( CO(0) => CO(0), D(2 downto 0) => D(2 downto 0), E(0) => E(0), O(3 downto 0) => O(3 downto 0), Q(58 downto 0) => Q(58 downto 0), S(3 downto 0) => S(3 downto 0), aclk => aclk, aresetn => aresetn, \aresetn_d_reg[1]_inv\ => aw_pipe_n_97, \aresetn_d_reg[1]_inv_0\ => ar_pipe_n_2, axaddr_incr_reg(3 downto 0) => axaddr_incr_reg(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => \axaddr_incr_reg[11]\(7 downto 0), \axaddr_offset_r_reg[0]\ => axaddr_offset(0), \axaddr_offset_r_reg[1]\ => axaddr_offset(1), \axaddr_offset_r_reg[2]\ => axaddr_offset(2), \axaddr_offset_r_reg[3]\ => \axaddr_offset_r_reg[3]\, \axaddr_offset_r_reg[3]_0\(0) => \axaddr_offset_r_reg[3]_1\(0), \axaddr_offset_r_reg[3]_1\(3 downto 0) => \axaddr_offset_r_reg[3]_2\(3 downto 0), \axlen_cnt_reg[3]\ => \axlen_cnt_reg[3]\, b_push => b_push, \m_axi_awaddr[10]\ => \m_axi_awaddr[10]\, m_valid_i_reg_0 => si_rs_awvalid, next_pending_r_reg => next_pending_r_reg, next_pending_r_reg_0 => next_pending_r_reg_0, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, s_ready_i_reg_0 => aw_pipe_n_1, sel_first => sel_first, \state_reg[0]_rep\ => \state_reg[0]_rep\, \state_reg[1]\(1 downto 0) => \state_reg[1]_0\(1 downto 0), \state_reg[1]_rep\ => \state_reg[1]_rep\, \state_reg[1]_rep_0\ => \state_reg[1]_rep_0\, \wrap_boundary_axaddr_r_reg[6]\(6 downto 0) => \wrap_boundary_axaddr_r_reg[6]\(6 downto 0), \wrap_cnt_r_reg[3]\ => \wrap_cnt_r_reg[3]\, wrap_second_len(0) => wrap_second_len(0), \wrap_second_len_r_reg[3]\(2 downto 0) => \wrap_second_len_r_reg[3]\(2 downto 0), \wrap_second_len_r_reg[3]_0\(2 downto 0) => \wrap_second_len_r_reg[3]_1\(2 downto 0) ); b_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized1\ port map ( aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, \out\(11 downto 0) => \out\(11 downto 0), \s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_bresp_acc_reg[1]\(1 downto 0) => \s_bresp_acc_reg[1]\(1 downto 0), si_rs_bvalid => si_rs_bvalid, \skid_buffer_reg[0]_0\ => si_rs_bready ); r_pipe: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axic_register_slice__parameterized2\ port map ( aclk => aclk, \aresetn_d_reg[0]\ => aw_pipe_n_1, \aresetn_d_reg[1]_inv\ => ar_pipe_n_2, \cnt_read_reg[3]_rep__0\ => \cnt_read_reg[3]_rep__0\, \cnt_read_reg[4]\(33 downto 0) => \cnt_read_reg[4]\(33 downto 0), \cnt_read_reg[4]_rep__0\ => \cnt_read_reg[4]_rep__0\, r_push_r_reg(12 downto 0) => r_push_r_reg(12 downto 0), \s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \skid_buffer_reg[0]_0\ => si_rs_rready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_ar_channel is port ( \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); wrap_second_len : out STD_LOGIC_VECTOR ( 0 to 0 ); \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; \m_payload_i_reg[0]\ : out STD_LOGIC; \m_payload_i_reg[0]_0\ : out STD_LOGIC; r_push_r_reg : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; r_rlast : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \r_arid_r_reg[11]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; si_rs_arvalid : in STD_LOGIC; \m_payload_i_reg[44]\ : in STD_LOGIC; \m_payload_i_reg[64]\ : in STD_LOGIC_VECTOR ( 35 downto 0 ); m_axi_arready : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \cnt_read_reg[2]_rep__0\ : in STD_LOGIC; axaddr_offset : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[46]\ : in STD_LOGIC; \m_payload_i_reg[51]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \m_payload_i_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]_0\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_ar_channel; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_ar_channel is signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal ar_cmd_fsm_0_n_0 : STD_LOGIC; signal ar_cmd_fsm_0_n_12 : STD_LOGIC; signal ar_cmd_fsm_0_n_15 : STD_LOGIC; signal ar_cmd_fsm_0_n_16 : STD_LOGIC; signal ar_cmd_fsm_0_n_17 : STD_LOGIC; signal ar_cmd_fsm_0_n_20 : STD_LOGIC; signal ar_cmd_fsm_0_n_21 : STD_LOGIC; signal ar_cmd_fsm_0_n_3 : STD_LOGIC; signal ar_cmd_fsm_0_n_8 : STD_LOGIC; signal ar_cmd_fsm_0_n_9 : STD_LOGIC; signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axaddr_offset_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_13 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_8 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal \^m_payload_i_reg[0]\ : STD_LOGIC; signal \^m_payload_i_reg[0]_0\ : STD_LOGIC; signal \^r_push_r_reg\ : STD_LOGIC; signal \^sel_first\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_next_pending : STD_LOGIC; signal \^wrap_second_len\ : STD_LOGIC_VECTOR ( 0 to 0 ); begin Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axaddr_offset_r_reg[3]_0\(3 downto 0) <= \^axaddr_offset_r_reg[3]_0\(3 downto 0); \m_payload_i_reg[0]\ <= \^m_payload_i_reg[0]\; \m_payload_i_reg[0]_0\ <= \^m_payload_i_reg[0]_0\; r_push_r_reg <= \^r_push_r_reg\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; wrap_second_len(0) <= \^wrap_second_len\(0); ar_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_rd_cmd_fsm port map ( D(0) => ar_cmd_fsm_0_n_3, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => \^q\(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[11]\ => ar_cmd_fsm_0_n_17, axaddr_offset(2 downto 0) => axaddr_offset(2 downto 0), \axaddr_offset_r_reg[3]\(0) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(0) => \^axaddr_offset_r_reg[3]_0\(3), \axaddr_wrap_reg[11]\(0) => ar_cmd_fsm_0_n_16, \axlen_cnt_reg[1]\ => ar_cmd_fsm_0_n_0, \axlen_cnt_reg[1]_0\(1) => ar_cmd_fsm_0_n_8, \axlen_cnt_reg[1]_0\(0) => ar_cmd_fsm_0_n_9, \axlen_cnt_reg[1]_1\(1) => cmd_translator_0_n_9, \axlen_cnt_reg[1]_1\(0) => cmd_translator_0_n_10, \axlen_cnt_reg[4]\ => cmd_translator_0_n_11, \cnt_read_reg[2]_rep__0\ => \cnt_read_reg[2]_rep__0\, incr_next_pending => incr_next_pending, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \^m_payload_i_reg[0]_0\, \m_payload_i_reg[0]_0\ => \^m_payload_i_reg[0]\, \m_payload_i_reg[0]_1\(0) => E(0), \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, \m_payload_i_reg[47]\(3) => \m_payload_i_reg[64]\(19), \m_payload_i_reg[47]\(2 downto 0) => \m_payload_i_reg[64]\(17 downto 15), \m_payload_i_reg[51]\ => \m_payload_i_reg[51]\, \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, next_pending_r_reg => cmd_translator_0_n_0, r_push_r_reg => \^r_push_r_reg\, s_axburst_eq0_reg => ar_cmd_fsm_0_n_12, s_axburst_eq1_reg => ar_cmd_fsm_0_n_15, s_axburst_eq1_reg_0 => cmd_translator_0_n_13, sel_first_i => sel_first_i, sel_first_reg => ar_cmd_fsm_0_n_20, sel_first_reg_0 => ar_cmd_fsm_0_n_21, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, sel_first_reg_3 => cmd_translator_0_n_8, si_rs_arvalid => si_rs_arvalid, wrap_next_pending => wrap_next_pending, wrap_second_len(0) => \^wrap_second_len\(0), \wrap_second_len_r_reg[1]\(0) => \wrap_cmd_0/wrap_second_len_r\(1) ); cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator_1 port map ( CO(0) => CO(0), D(1) => ar_cmd_fsm_0_n_8, D(0) => ar_cmd_fsm_0_n_9, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(1) => cmd_translator_0_n_9, Q(0) => cmd_translator_0_n_10, S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3 downto 0) => \^axaddr_offset_r_reg[3]_0\(3 downto 0), \axaddr_offset_r_reg[3]_0\(3) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(2 downto 0) => axaddr_offset(2 downto 0), incr_next_pending => incr_next_pending, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, \m_payload_i_reg[11]\(3 downto 0) => \m_payload_i_reg[11]\(3 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[39]\ => ar_cmd_fsm_0_n_12, \m_payload_i_reg[39]_0\ => ar_cmd_fsm_0_n_15, \m_payload_i_reg[3]\(3 downto 0) => \m_payload_i_reg[3]\(3 downto 0), \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(23 downto 0) => \m_payload_i_reg[64]\(23 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]_0\(6 downto 0), m_valid_i_reg(0) => ar_cmd_fsm_0_n_16, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_11, r_rlast => r_rlast, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => cmd_translator_0_n_8, sel_first_reg_2 => ar_cmd_fsm_0_n_17, sel_first_reg_3 => ar_cmd_fsm_0_n_20, sel_first_reg_4 => ar_cmd_fsm_0_n_21, si_rs_arvalid => si_rs_arvalid, \state_reg[0]\ => ar_cmd_fsm_0_n_0, \state_reg[0]_rep\ => cmd_translator_0_n_13, \state_reg[0]_rep_0\ => \^m_payload_i_reg[0]\, \state_reg[1]\(1 downto 0) => \^q\(1 downto 0), \state_reg[1]_rep\ => \^m_payload_i_reg[0]_0\, \state_reg[1]_rep_0\ => \^r_push_r_reg\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 2) => \wrap_second_len_r_reg[3]\(2 downto 1), \wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len_r\(1), \wrap_second_len_r_reg[3]\(0) => \wrap_second_len_r_reg[3]\(0), \wrap_second_len_r_reg[3]_0\(3 downto 2) => D(2 downto 1), \wrap_second_len_r_reg[3]_0\(1) => \^wrap_second_len\(0), \wrap_second_len_r_reg[3]_0\(0) => D(0), \wrap_second_len_r_reg[3]_1\(3 downto 2) => \wrap_second_len_r_reg[3]_0\(2 downto 1), \wrap_second_len_r_reg[3]_1\(1) => ar_cmd_fsm_0_n_3, \wrap_second_len_r_reg[3]_1\(0) => \wrap_second_len_r_reg[3]_0\(0) ); \s_arid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(24), Q => \r_arid_r_reg[11]\(0), R => '0' ); \s_arid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(34), Q => \r_arid_r_reg[11]\(10), R => '0' ); \s_arid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(35), Q => \r_arid_r_reg[11]\(11), R => '0' ); \s_arid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(25), Q => \r_arid_r_reg[11]\(1), R => '0' ); \s_arid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(26), Q => \r_arid_r_reg[11]\(2), R => '0' ); \s_arid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(27), Q => \r_arid_r_reg[11]\(3), R => '0' ); \s_arid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(28), Q => \r_arid_r_reg[11]\(4), R => '0' ); \s_arid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(29), Q => \r_arid_r_reg[11]\(5), R => '0' ); \s_arid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(30), Q => \r_arid_r_reg[11]\(6), R => '0' ); \s_arid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(31), Q => \r_arid_r_reg[11]\(7), R => '0' ); \s_arid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(32), Q => \r_arid_r_reg[11]\(8), R => '0' ); \s_arid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(33), Q => \r_arid_r_reg[11]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_aw_channel is port ( \axaddr_incr_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); sel_first : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); \wrap_boundary_axaddr_r_reg[11]\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 0 to 0 ); \state_reg[1]_rep\ : out STD_LOGIC; \state_reg[1]_rep_0\ : out STD_LOGIC; \wrap_second_len_r_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \axaddr_offset_r_reg[3]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); b_push : out STD_LOGIC; \axaddr_offset_r_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); \in\ : out STD_LOGIC_VECTOR ( 19 downto 0 ); S : out STD_LOGIC_VECTOR ( 3 downto 0 ); aclk : in STD_LOGIC; O : in STD_LOGIC_VECTOR ( 3 downto 0 ); \m_payload_i_reg[47]\ : in STD_LOGIC; si_rs_awvalid : in STD_LOGIC; \m_payload_i_reg[64]\ : in STD_LOGIC_VECTOR ( 35 downto 0 ); \m_payload_i_reg[44]\ : in STD_LOGIC; \cnt_read_reg[1]_rep__1\ : in STD_LOGIC; \cnt_read_reg[0]_rep__0\ : in STD_LOGIC; m_axi_awready : in STD_LOGIC; CO : in STD_LOGIC_VECTOR ( 0 to 0 ); \m_payload_i_reg[35]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[48]\ : in STD_LOGIC; areset_d1 : in STD_LOGIC; \m_payload_i_reg[46]\ : in STD_LOGIC; \m_payload_i_reg[6]\ : in STD_LOGIC; \m_payload_i_reg[11]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); \m_payload_i_reg[38]\ : in STD_LOGIC; \wrap_second_len_r_reg[3]_0\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \wrap_second_len_r_reg[3]_1\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \m_payload_i_reg[6]_0\ : in STD_LOGIC_VECTOR ( 6 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_aw_channel; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_aw_channel is signal \^d\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal aw_cmd_fsm_0_n_0 : STD_LOGIC; signal aw_cmd_fsm_0_n_13 : STD_LOGIC; signal aw_cmd_fsm_0_n_17 : STD_LOGIC; signal aw_cmd_fsm_0_n_20 : STD_LOGIC; signal aw_cmd_fsm_0_n_21 : STD_LOGIC; signal aw_cmd_fsm_0_n_24 : STD_LOGIC; signal aw_cmd_fsm_0_n_25 : STD_LOGIC; signal aw_cmd_fsm_0_n_3 : STD_LOGIC; signal \^axaddr_offset_r_reg[3]\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^axaddr_offset_r_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^b_push\ : STD_LOGIC; signal cmd_translator_0_n_0 : STD_LOGIC; signal cmd_translator_0_n_1 : STD_LOGIC; signal cmd_translator_0_n_10 : STD_LOGIC; signal cmd_translator_0_n_11 : STD_LOGIC; signal cmd_translator_0_n_12 : STD_LOGIC; signal cmd_translator_0_n_13 : STD_LOGIC; signal cmd_translator_0_n_14 : STD_LOGIC; signal cmd_translator_0_n_15 : STD_LOGIC; signal cmd_translator_0_n_16 : STD_LOGIC; signal cmd_translator_0_n_17 : STD_LOGIC; signal cmd_translator_0_n_2 : STD_LOGIC; signal cmd_translator_0_n_9 : STD_LOGIC; signal incr_next_pending : STD_LOGIC; signal \next\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \^sel_first\ : STD_LOGIC; signal \sel_first__0\ : STD_LOGIC; signal sel_first_i : STD_LOGIC; signal \^wrap_boundary_axaddr_r_reg[11]\ : STD_LOGIC; signal \wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 1 to 1 ); signal wrap_next_pending : STD_LOGIC; begin D(0) <= \^d\(0); Q(1 downto 0) <= \^q\(1 downto 0); \axaddr_offset_r_reg[3]\(0) <= \^axaddr_offset_r_reg[3]\(0); \axaddr_offset_r_reg[3]_0\(3 downto 0) <= \^axaddr_offset_r_reg[3]_0\(3 downto 0); b_push <= \^b_push\; sel_first <= \^sel_first\; \wrap_boundary_axaddr_r_reg[11]\ <= \^wrap_boundary_axaddr_r_reg[11]\; aw_cmd_fsm_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_wr_cmd_fsm port map ( D(0) => aw_cmd_fsm_0_n_3, E(0) => \^wrap_boundary_axaddr_r_reg[11]\, Q(1 downto 0) => \^q\(1 downto 0), aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[11]\ => aw_cmd_fsm_0_n_21, \axaddr_offset_r_reg[3]\(0) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(0) => \^axaddr_offset_r_reg[3]_0\(3), \axaddr_wrap_reg[0]\(0) => aw_cmd_fsm_0_n_20, \axlen_cnt_reg[2]\ => cmd_translator_0_n_16, \axlen_cnt_reg[3]\ => cmd_translator_0_n_15, \axlen_cnt_reg[3]_0\ => cmd_translator_0_n_17, \axlen_cnt_reg[4]\ => aw_cmd_fsm_0_n_0, \axlen_cnt_reg[4]_0\ => cmd_translator_0_n_13, \axlen_cnt_reg[5]\(3 downto 2) => p_1_in(5 downto 4), \axlen_cnt_reg[5]\(1 downto 0) => p_1_in(1 downto 0), \axlen_cnt_reg[5]_0\(3) => cmd_translator_0_n_9, \axlen_cnt_reg[5]_0\(2) => cmd_translator_0_n_10, \axlen_cnt_reg[5]_0\(1) => cmd_translator_0_n_11, \axlen_cnt_reg[5]_0\(0) => cmd_translator_0_n_12, \cnt_read_reg[0]_rep__0\ => \cnt_read_reg[0]_rep__0\, \cnt_read_reg[1]_rep__1\ => \cnt_read_reg[1]_rep__1\, incr_next_pending => incr_next_pending, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[0]\ => \^b_push\, \m_payload_i_reg[0]_0\(0) => E(0), \m_payload_i_reg[35]\(2 downto 0) => \m_payload_i_reg[35]\(2 downto 0), \m_payload_i_reg[44]\ => \m_payload_i_reg[44]\, \m_payload_i_reg[46]\ => \m_payload_i_reg[46]\, \m_payload_i_reg[48]\ => \m_payload_i_reg[48]\, \m_payload_i_reg[49]\(5 downto 3) => \m_payload_i_reg[64]\(21 downto 19), \m_payload_i_reg[49]\(2 downto 0) => \m_payload_i_reg[64]\(17 downto 15), \m_payload_i_reg[6]\ => \m_payload_i_reg[6]\, \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, s_axburst_eq0_reg => aw_cmd_fsm_0_n_13, s_axburst_eq1_reg => aw_cmd_fsm_0_n_17, s_axburst_eq1_reg_0 => cmd_translator_0_n_14, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg => aw_cmd_fsm_0_n_24, sel_first_reg_0 => aw_cmd_fsm_0_n_25, sel_first_reg_1 => cmd_translator_0_n_2, sel_first_reg_2 => \^sel_first\, si_rs_awvalid => si_rs_awvalid, \state_reg[1]_rep_0\ => \state_reg[1]_rep\, \state_reg[1]_rep_1\ => \state_reg[1]_rep_0\, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[1]\(0) => \^d\(0), \wrap_second_len_r_reg[1]_0\(0) => \wrap_cmd_0/wrap_second_len_r\(1) ); cmd_translator_0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_cmd_translator port map ( CO(0) => CO(0), D(3 downto 2) => p_1_in(5 downto 4), D(1 downto 0) => p_1_in(1 downto 0), E(0) => \^wrap_boundary_axaddr_r_reg[11]\, O(3 downto 0) => O(3 downto 0), Q(3) => cmd_translator_0_n_9, Q(2) => cmd_translator_0_n_10, Q(1) => cmd_translator_0_n_11, Q(0) => cmd_translator_0_n_12, S(3 downto 0) => S(3 downto 0), aclk => aclk, \axaddr_incr_reg[11]\ => \^sel_first\, \axaddr_incr_reg[3]\(3 downto 0) => \axaddr_incr_reg[3]\(3 downto 0), \axaddr_offset_r_reg[3]\(3 downto 0) => \^axaddr_offset_r_reg[3]_0\(3 downto 0), \axaddr_offset_r_reg[3]_0\(3) => \^axaddr_offset_r_reg[3]\(0), \axaddr_offset_r_reg[3]_0\(2 downto 0) => \m_payload_i_reg[35]\(2 downto 0), \axlen_cnt_reg[4]\ => cmd_translator_0_n_17, \axlen_cnt_reg[7]\ => cmd_translator_0_n_13, incr_next_pending => incr_next_pending, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), \m_payload_i_reg[11]\(7 downto 0) => \m_payload_i_reg[11]\(7 downto 0), \m_payload_i_reg[38]\ => \m_payload_i_reg[38]\, \m_payload_i_reg[39]\ => aw_cmd_fsm_0_n_13, \m_payload_i_reg[39]_0\ => aw_cmd_fsm_0_n_17, \m_payload_i_reg[47]\ => \m_payload_i_reg[47]\, \m_payload_i_reg[51]\(21 downto 20) => \m_payload_i_reg[64]\(23 downto 22), \m_payload_i_reg[51]\(19 downto 0) => \m_payload_i_reg[64]\(19 downto 0), \m_payload_i_reg[6]\(6 downto 0) => \m_payload_i_reg[6]_0\(6 downto 0), m_valid_i_reg(0) => aw_cmd_fsm_0_n_20, \next\ => \next\, next_pending_r_reg => cmd_translator_0_n_0, next_pending_r_reg_0 => cmd_translator_0_n_1, next_pending_r_reg_1 => cmd_translator_0_n_15, next_pending_r_reg_2 => cmd_translator_0_n_16, \sel_first__0\ => \sel_first__0\, sel_first_i => sel_first_i, sel_first_reg_0 => cmd_translator_0_n_2, sel_first_reg_1 => aw_cmd_fsm_0_n_21, sel_first_reg_2 => aw_cmd_fsm_0_n_24, sel_first_reg_3 => aw_cmd_fsm_0_n_25, \state_reg[0]\ => aw_cmd_fsm_0_n_0, \state_reg[0]_rep\ => \^b_push\, \state_reg[1]\(1 downto 0) => \^q\(1 downto 0), \state_reg[1]_rep\ => cmd_translator_0_n_14, wrap_next_pending => wrap_next_pending, \wrap_second_len_r_reg[3]\(3 downto 2) => \wrap_second_len_r_reg[3]\(2 downto 1), \wrap_second_len_r_reg[3]\(1) => \wrap_cmd_0/wrap_second_len_r\(1), \wrap_second_len_r_reg[3]\(0) => \wrap_second_len_r_reg[3]\(0), \wrap_second_len_r_reg[3]_0\(3 downto 2) => \wrap_second_len_r_reg[3]_0\(2 downto 1), \wrap_second_len_r_reg[3]_0\(1) => \^d\(0), \wrap_second_len_r_reg[3]_0\(0) => \wrap_second_len_r_reg[3]_0\(0), \wrap_second_len_r_reg[3]_1\(3 downto 2) => \wrap_second_len_r_reg[3]_1\(2 downto 1), \wrap_second_len_r_reg[3]_1\(1) => aw_cmd_fsm_0_n_3, \wrap_second_len_r_reg[3]_1\(0) => \wrap_second_len_r_reg[3]_1\(0) ); \s_awid_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(24), Q => \in\(8), R => '0' ); \s_awid_r_reg[10]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(34), Q => \in\(18), R => '0' ); \s_awid_r_reg[11]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(35), Q => \in\(19), R => '0' ); \s_awid_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(25), Q => \in\(9), R => '0' ); \s_awid_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(26), Q => \in\(10), R => '0' ); \s_awid_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(27), Q => \in\(11), R => '0' ); \s_awid_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(28), Q => \in\(12), R => '0' ); \s_awid_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(29), Q => \in\(13), R => '0' ); \s_awid_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(30), Q => \in\(14), R => '0' ); \s_awid_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(31), Q => \in\(15), R => '0' ); \s_awid_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(32), Q => \in\(16), R => '0' ); \s_awid_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(33), Q => \in\(17), R => '0' ); \s_awlen_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(16), Q => \in\(0), R => '0' ); \s_awlen_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(17), Q => \in\(1), R => '0' ); \s_awlen_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(18), Q => \in\(2), R => '0' ); \s_awlen_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(19), Q => \in\(3), R => '0' ); \s_awlen_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(20), Q => \in\(4), R => '0' ); \s_awlen_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(21), Q => \in\(5), R => '0' ); \s_awlen_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(22), Q => \in\(6), R => '0' ); \s_awlen_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => aclk, CE => '1', D => \m_payload_i_reg[64]\(23), Q => \in\(7), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s is port ( s_axi_rvalid : out STD_LOGIC; s_axi_awready : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_arready : out STD_LOGIC; \m_axi_arprot[2]\ : out STD_LOGIC_VECTOR ( 22 downto 0 ); s_axi_bvalid : out STD_LOGIC; \s_axi_bid[11]\ : out STD_LOGIC_VECTOR ( 13 downto 0 ); \s_axi_rid[11]\ : out STD_LOGIC_VECTOR ( 46 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awready : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; aclk : in STD_LOGIC; \in\ : in STD_LOGIC_VECTOR ( 33 downto 0 ); s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; aresetn : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s is signal C : STD_LOGIC_VECTOR ( 11 downto 4 ); signal \RD.ar_channel_0_n_10\ : STD_LOGIC; signal \RD.ar_channel_0_n_11\ : STD_LOGIC; signal \RD.ar_channel_0_n_47\ : STD_LOGIC; signal \RD.ar_channel_0_n_48\ : STD_LOGIC; signal \RD.ar_channel_0_n_49\ : STD_LOGIC; signal \RD.ar_channel_0_n_50\ : STD_LOGIC; signal \RD.ar_channel_0_n_8\ : STD_LOGIC; signal \RD.ar_channel_0_n_9\ : STD_LOGIC; signal \RD.r_channel_0_n_0\ : STD_LOGIC; signal \RD.r_channel_0_n_2\ : STD_LOGIC; signal SI_REG_n_134 : STD_LOGIC; signal SI_REG_n_135 : STD_LOGIC; signal SI_REG_n_136 : STD_LOGIC; signal SI_REG_n_137 : STD_LOGIC; signal SI_REG_n_138 : STD_LOGIC; signal SI_REG_n_139 : STD_LOGIC; signal SI_REG_n_140 : STD_LOGIC; signal SI_REG_n_141 : STD_LOGIC; signal SI_REG_n_142 : STD_LOGIC; signal SI_REG_n_143 : STD_LOGIC; signal SI_REG_n_144 : STD_LOGIC; signal SI_REG_n_145 : STD_LOGIC; signal SI_REG_n_146 : STD_LOGIC; signal SI_REG_n_147 : STD_LOGIC; signal SI_REG_n_148 : STD_LOGIC; signal SI_REG_n_149 : STD_LOGIC; signal SI_REG_n_150 : STD_LOGIC; signal SI_REG_n_151 : STD_LOGIC; signal SI_REG_n_158 : STD_LOGIC; signal SI_REG_n_162 : STD_LOGIC; signal SI_REG_n_163 : STD_LOGIC; signal SI_REG_n_164 : STD_LOGIC; signal SI_REG_n_165 : STD_LOGIC; signal SI_REG_n_166 : STD_LOGIC; signal SI_REG_n_167 : STD_LOGIC; signal SI_REG_n_171 : STD_LOGIC; signal SI_REG_n_175 : STD_LOGIC; signal SI_REG_n_176 : STD_LOGIC; signal SI_REG_n_177 : STD_LOGIC; signal SI_REG_n_178 : STD_LOGIC; signal SI_REG_n_179 : STD_LOGIC; signal SI_REG_n_180 : STD_LOGIC; signal SI_REG_n_181 : STD_LOGIC; signal SI_REG_n_182 : STD_LOGIC; signal SI_REG_n_183 : STD_LOGIC; signal SI_REG_n_184 : STD_LOGIC; signal SI_REG_n_185 : STD_LOGIC; signal SI_REG_n_186 : STD_LOGIC; signal SI_REG_n_187 : STD_LOGIC; signal SI_REG_n_188 : STD_LOGIC; signal SI_REG_n_189 : STD_LOGIC; signal SI_REG_n_190 : STD_LOGIC; signal SI_REG_n_191 : STD_LOGIC; signal SI_REG_n_192 : STD_LOGIC; signal SI_REG_n_193 : STD_LOGIC; signal SI_REG_n_194 : STD_LOGIC; signal SI_REG_n_195 : STD_LOGIC; signal SI_REG_n_196 : STD_LOGIC; signal SI_REG_n_20 : STD_LOGIC; signal SI_REG_n_21 : STD_LOGIC; signal SI_REG_n_22 : STD_LOGIC; signal SI_REG_n_23 : STD_LOGIC; signal SI_REG_n_29 : STD_LOGIC; signal SI_REG_n_79 : STD_LOGIC; signal SI_REG_n_80 : STD_LOGIC; signal SI_REG_n_81 : STD_LOGIC; signal SI_REG_n_82 : STD_LOGIC; signal SI_REG_n_88 : STD_LOGIC; signal \WR.aw_channel_0_n_10\ : STD_LOGIC; signal \WR.aw_channel_0_n_54\ : STD_LOGIC; signal \WR.aw_channel_0_n_55\ : STD_LOGIC; signal \WR.aw_channel_0_n_56\ : STD_LOGIC; signal \WR.aw_channel_0_n_57\ : STD_LOGIC; signal \WR.aw_channel_0_n_7\ : STD_LOGIC; signal \WR.aw_channel_0_n_9\ : STD_LOGIC; signal \WR.b_channel_0_n_1\ : STD_LOGIC; signal \WR.b_channel_0_n_2\ : STD_LOGIC; signal \ar_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \ar_pipe/p_1_in\ : STD_LOGIC; signal areset_d1 : STD_LOGIC; signal areset_d1_i_1_n_0 : STD_LOGIC; signal \aw_cmd_fsm_0/state\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \aw_pipe/p_1_in\ : STD_LOGIC; signal b_awid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal b_awlen : STD_LOGIC_VECTOR ( 7 downto 0 ); signal b_push : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/incr_cmd_0/sel_first\ : STD_LOGIC; signal \cmd_translator_0/incr_cmd_0/sel_first_4\ : STD_LOGIC; signal \cmd_translator_0/wrap_cmd_0/axaddr_offset\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal r_rlast : STD_LOGIC; signal s_arid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s_arid_r : STD_LOGIC_VECTOR ( 11 downto 0 ); signal s_awid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_araddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_arburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_arlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_arsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_arvalid : STD_LOGIC; signal si_rs_awaddr : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_awburst : STD_LOGIC_VECTOR ( 1 to 1 ); signal si_rs_awlen : STD_LOGIC_VECTOR ( 3 downto 0 ); signal si_rs_awsize : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_awvalid : STD_LOGIC; signal si_rs_bid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_bready : STD_LOGIC; signal si_rs_bresp : STD_LOGIC_VECTOR ( 1 downto 0 ); signal si_rs_bvalid : STD_LOGIC; signal si_rs_rdata : STD_LOGIC_VECTOR ( 31 downto 0 ); signal si_rs_rid : STD_LOGIC_VECTOR ( 11 downto 0 ); signal si_rs_rlast : STD_LOGIC; signal si_rs_rready : STD_LOGIC; signal si_rs_rresp : STD_LOGIC_VECTOR ( 1 downto 0 ); signal wrap_cnt : STD_LOGIC_VECTOR ( 3 downto 0 ); begin \RD.ar_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_ar_channel port map ( CO(0) => SI_REG_n_147, D(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 2), D(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(0), E(0) => \ar_pipe/p_1_in\, O(3) => SI_REG_n_148, O(2) => SI_REG_n_149, O(1) => SI_REG_n_150, O(0) => SI_REG_n_151, Q(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), S(3) => \RD.ar_channel_0_n_47\, S(2) => \RD.ar_channel_0_n_48\, S(1) => \RD.ar_channel_0_n_49\, S(0) => \RD.ar_channel_0_n_50\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 0), \axaddr_offset_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), \cnt_read_reg[2]_rep__0\ => \RD.r_channel_0_n_0\, m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \m_payload_i_reg[0]\ => \RD.ar_channel_0_n_9\, \m_payload_i_reg[0]_0\ => \RD.ar_channel_0_n_10\, \m_payload_i_reg[11]\(3) => SI_REG_n_143, \m_payload_i_reg[11]\(2) => SI_REG_n_144, \m_payload_i_reg[11]\(1) => SI_REG_n_145, \m_payload_i_reg[11]\(0) => SI_REG_n_146, \m_payload_i_reg[38]\ => SI_REG_n_196, \m_payload_i_reg[3]\(3) => SI_REG_n_139, \m_payload_i_reg[3]\(2) => SI_REG_n_140, \m_payload_i_reg[3]\(1) => SI_REG_n_141, \m_payload_i_reg[3]\(0) => SI_REG_n_142, \m_payload_i_reg[44]\ => SI_REG_n_171, \m_payload_i_reg[46]\ => SI_REG_n_177, \m_payload_i_reg[47]\ => SI_REG_n_175, \m_payload_i_reg[51]\ => SI_REG_n_176, \m_payload_i_reg[64]\(35 downto 24) => s_arid(11 downto 0), \m_payload_i_reg[64]\(23) => SI_REG_n_79, \m_payload_i_reg[64]\(22) => SI_REG_n_80, \m_payload_i_reg[64]\(21) => SI_REG_n_81, \m_payload_i_reg[64]\(20) => SI_REG_n_82, \m_payload_i_reg[64]\(19 downto 16) => si_rs_arlen(3 downto 0), \m_payload_i_reg[64]\(15) => si_rs_arburst(1), \m_payload_i_reg[64]\(14) => SI_REG_n_88, \m_payload_i_reg[64]\(13 downto 12) => si_rs_arsize(1 downto 0), \m_payload_i_reg[64]\(11 downto 0) => si_rs_araddr(11 downto 0), \m_payload_i_reg[6]\ => SI_REG_n_187, \m_payload_i_reg[6]_0\(6) => SI_REG_n_188, \m_payload_i_reg[6]_0\(5) => SI_REG_n_189, \m_payload_i_reg[6]_0\(4) => SI_REG_n_190, \m_payload_i_reg[6]_0\(3) => SI_REG_n_191, \m_payload_i_reg[6]_0\(2) => SI_REG_n_192, \m_payload_i_reg[6]_0\(1) => SI_REG_n_193, \m_payload_i_reg[6]_0\(0) => SI_REG_n_194, \r_arid_r_reg[11]\(11 downto 0) => s_arid_r(11 downto 0), r_push_r_reg => \RD.ar_channel_0_n_11\, r_rlast => r_rlast, sel_first => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, \wrap_boundary_axaddr_r_reg[11]\ => \RD.ar_channel_0_n_8\, wrap_second_len(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(1), \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(0), \wrap_second_len_r_reg[3]_0\(2) => SI_REG_n_165, \wrap_second_len_r_reg[3]_0\(1) => SI_REG_n_166, \wrap_second_len_r_reg[3]_0\(0) => SI_REG_n_167 ); \RD.r_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_r_channel port map ( D(11 downto 0) => s_arid_r(11 downto 0), aclk => aclk, areset_d1 => areset_d1, \in\(33 downto 0) => \in\(33 downto 0), m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, m_valid_i_reg => \RD.r_channel_0_n_2\, \out\(33 downto 32) => si_rs_rresp(1 downto 0), \out\(31 downto 0) => si_rs_rdata(31 downto 0), r_rlast => r_rlast, s_ready_i_reg => SI_REG_n_178, si_rs_rready => si_rs_rready, \skid_buffer_reg[46]\(12 downto 1) => si_rs_rid(11 downto 0), \skid_buffer_reg[46]\(0) => si_rs_rlast, \state_reg[1]_rep\ => \RD.r_channel_0_n_0\, \state_reg[1]_rep_0\ => \RD.ar_channel_0_n_11\ ); SI_REG: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_register_slice_v2_1_13_axi_register_slice port map ( CO(0) => SI_REG_n_134, D(2 downto 1) => wrap_cnt(3 downto 2), D(0) => wrap_cnt(0), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_135, O(2) => SI_REG_n_136, O(1) => SI_REG_n_137, O(0) => SI_REG_n_138, Q(58 downto 47) => s_awid(11 downto 0), Q(46) => SI_REG_n_20, Q(45) => SI_REG_n_21, Q(44) => SI_REG_n_22, Q(43) => SI_REG_n_23, Q(42 downto 39) => si_rs_awlen(3 downto 0), Q(38) => si_rs_awburst(1), Q(37) => SI_REG_n_29, Q(36 downto 35) => si_rs_awsize(1 downto 0), Q(34 downto 12) => Q(22 downto 0), Q(11 downto 0) => si_rs_awaddr(11 downto 0), S(3) => \WR.aw_channel_0_n_54\, S(2) => \WR.aw_channel_0_n_55\, S(1) => \WR.aw_channel_0_n_56\, S(0) => \WR.aw_channel_0_n_57\, aclk => aclk, aresetn => aresetn, axaddr_incr_reg(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\(3 downto 0), \axaddr_incr_reg[11]\(7 downto 0) => C(11 downto 4), \axaddr_incr_reg[11]_0\(3) => SI_REG_n_143, \axaddr_incr_reg[11]_0\(2) => SI_REG_n_144, \axaddr_incr_reg[11]_0\(1) => SI_REG_n_145, \axaddr_incr_reg[11]_0\(0) => SI_REG_n_146, \axaddr_incr_reg[3]\(3) => SI_REG_n_148, \axaddr_incr_reg[3]\(2) => SI_REG_n_149, \axaddr_incr_reg[3]\(1) => SI_REG_n_150, \axaddr_incr_reg[3]\(0) => SI_REG_n_151, \axaddr_incr_reg[3]_0\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg\(3 downto 0), \axaddr_incr_reg[7]\(3) => SI_REG_n_139, \axaddr_incr_reg[7]\(2) => SI_REG_n_140, \axaddr_incr_reg[7]\(1) => SI_REG_n_141, \axaddr_incr_reg[7]\(0) => SI_REG_n_142, \axaddr_incr_reg[7]_0\(0) => SI_REG_n_147, axaddr_offset(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 0), axaddr_offset_0(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(2 downto 0), \axaddr_offset_r_reg[3]\ => SI_REG_n_179, \axaddr_offset_r_reg[3]_0\ => SI_REG_n_187, \axaddr_offset_r_reg[3]_1\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3), \axaddr_offset_r_reg[3]_2\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3 downto 0), \axaddr_offset_r_reg[3]_3\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset\(3), \axaddr_offset_r_reg[3]_4\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r\(3 downto 0), \axlen_cnt_reg[3]\ => SI_REG_n_162, \axlen_cnt_reg[3]_0\ => SI_REG_n_175, b_push => b_push, \cnt_read_reg[3]_rep__0\ => SI_REG_n_178, \cnt_read_reg[4]\(33 downto 32) => si_rs_rresp(1 downto 0), \cnt_read_reg[4]\(31 downto 0) => si_rs_rdata(31 downto 0), \cnt_read_reg[4]_rep__0\ => \RD.r_channel_0_n_2\, \m_axi_araddr[10]\ => SI_REG_n_196, \m_axi_awaddr[10]\ => SI_REG_n_195, \m_payload_i_reg[3]\(3) => \RD.ar_channel_0_n_47\, \m_payload_i_reg[3]\(2) => \RD.ar_channel_0_n_48\, \m_payload_i_reg[3]\(1) => \RD.ar_channel_0_n_49\, \m_payload_i_reg[3]\(0) => \RD.ar_channel_0_n_50\, m_valid_i_reg(0) => \ar_pipe/p_1_in\, next_pending_r_reg => SI_REG_n_163, next_pending_r_reg_0 => SI_REG_n_164, next_pending_r_reg_1 => SI_REG_n_176, next_pending_r_reg_2 => SI_REG_n_177, \out\(11 downto 0) => si_rs_bid(11 downto 0), r_push_r_reg(12 downto 1) => si_rs_rid(11 downto 0), r_push_r_reg(0) => si_rs_rlast, \s_arid_r_reg[11]\(58 downto 47) => s_arid(11 downto 0), \s_arid_r_reg[11]\(46) => SI_REG_n_79, \s_arid_r_reg[11]\(45) => SI_REG_n_80, \s_arid_r_reg[11]\(44) => SI_REG_n_81, \s_arid_r_reg[11]\(43) => SI_REG_n_82, \s_arid_r_reg[11]\(42 downto 39) => si_rs_arlen(3 downto 0), \s_arid_r_reg[11]\(38) => si_rs_arburst(1), \s_arid_r_reg[11]\(37) => SI_REG_n_88, \s_arid_r_reg[11]\(36 downto 35) => si_rs_arsize(1 downto 0), \s_arid_r_reg[11]\(34 downto 12) => \m_axi_arprot[2]\(22 downto 0), \s_arid_r_reg[11]\(11 downto 0) => si_rs_araddr(11 downto 0), s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, \s_axi_bid[11]\(13 downto 0) => \s_axi_bid[11]\(13 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_axi_rid[11]\(46 downto 0) => \s_axi_rid[11]\(46 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, \s_bresp_acc_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0), sel_first => \cmd_translator_0/incr_cmd_0/sel_first_4\, sel_first_2 => \cmd_translator_0/incr_cmd_0/sel_first\, si_rs_arvalid => si_rs_arvalid, si_rs_awvalid => si_rs_awvalid, si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, si_rs_rready => si_rs_rready, \state_reg[0]_rep\ => \WR.aw_channel_0_n_10\, \state_reg[0]_rep_0\ => \RD.ar_channel_0_n_9\, \state_reg[1]\(1 downto 0) => \ar_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_0\(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), \state_reg[1]_rep\ => \WR.aw_channel_0_n_9\, \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_7\, \state_reg[1]_rep_1\ => \RD.ar_channel_0_n_8\, \state_reg[1]_rep_2\ => \RD.ar_channel_0_n_10\, \wrap_boundary_axaddr_r_reg[6]\(6) => SI_REG_n_180, \wrap_boundary_axaddr_r_reg[6]\(5) => SI_REG_n_181, \wrap_boundary_axaddr_r_reg[6]\(4) => SI_REG_n_182, \wrap_boundary_axaddr_r_reg[6]\(3) => SI_REG_n_183, \wrap_boundary_axaddr_r_reg[6]\(2) => SI_REG_n_184, \wrap_boundary_axaddr_r_reg[6]\(1) => SI_REG_n_185, \wrap_boundary_axaddr_r_reg[6]\(0) => SI_REG_n_186, \wrap_boundary_axaddr_r_reg[6]_0\(6) => SI_REG_n_188, \wrap_boundary_axaddr_r_reg[6]_0\(5) => SI_REG_n_189, \wrap_boundary_axaddr_r_reg[6]_0\(4) => SI_REG_n_190, \wrap_boundary_axaddr_r_reg[6]_0\(3) => SI_REG_n_191, \wrap_boundary_axaddr_r_reg[6]_0\(2) => SI_REG_n_192, \wrap_boundary_axaddr_r_reg[6]_0\(1) => SI_REG_n_193, \wrap_boundary_axaddr_r_reg[6]_0\(0) => SI_REG_n_194, \wrap_cnt_r_reg[3]\ => SI_REG_n_158, \wrap_cnt_r_reg[3]_0\(2) => SI_REG_n_165, \wrap_cnt_r_reg[3]_0\(1) => SI_REG_n_166, \wrap_cnt_r_reg[3]_0\(0) => SI_REG_n_167, \wrap_cnt_r_reg[3]_1\ => SI_REG_n_171, wrap_second_len(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(1), wrap_second_len_1(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(1), \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(0), \wrap_second_len_r_reg[3]_0\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(3 downto 2), \wrap_second_len_r_reg[3]_0\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len\(0), \wrap_second_len_r_reg[3]_1\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 2), \wrap_second_len_r_reg[3]_1\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(0), \wrap_second_len_r_reg[3]_2\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(3 downto 2), \wrap_second_len_r_reg[3]_2\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r\(0) ); \WR.aw_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_aw_channel port map ( CO(0) => SI_REG_n_134, D(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(1), E(0) => \aw_pipe/p_1_in\, O(3) => SI_REG_n_135, O(2) => SI_REG_n_136, O(1) => SI_REG_n_137, O(0) => SI_REG_n_138, Q(1 downto 0) => \aw_cmd_fsm_0/state\(1 downto 0), S(3) => \WR.aw_channel_0_n_54\, S(2) => \WR.aw_channel_0_n_55\, S(1) => \WR.aw_channel_0_n_56\, S(0) => \WR.aw_channel_0_n_57\, aclk => aclk, areset_d1 => areset_d1, \axaddr_incr_reg[3]\(3 downto 0) => \cmd_translator_0/incr_cmd_0/axaddr_incr_reg_5\(3 downto 0), \axaddr_offset_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(3), \axaddr_offset_r_reg[3]_0\(3 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_r_2\(3 downto 0), b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_2\, \in\(19 downto 8) => b_awid(11 downto 0), \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \m_payload_i_reg[11]\(7 downto 0) => C(11 downto 4), \m_payload_i_reg[35]\(2 downto 0) => \cmd_translator_0/wrap_cmd_0/axaddr_offset_0\(2 downto 0), \m_payload_i_reg[38]\ => SI_REG_n_195, \m_payload_i_reg[44]\ => SI_REG_n_158, \m_payload_i_reg[46]\ => SI_REG_n_164, \m_payload_i_reg[47]\ => SI_REG_n_162, \m_payload_i_reg[48]\ => SI_REG_n_163, \m_payload_i_reg[64]\(35 downto 24) => s_awid(11 downto 0), \m_payload_i_reg[64]\(23) => SI_REG_n_20, \m_payload_i_reg[64]\(22) => SI_REG_n_21, \m_payload_i_reg[64]\(21) => SI_REG_n_22, \m_payload_i_reg[64]\(20) => SI_REG_n_23, \m_payload_i_reg[64]\(19 downto 16) => si_rs_awlen(3 downto 0), \m_payload_i_reg[64]\(15) => si_rs_awburst(1), \m_payload_i_reg[64]\(14) => SI_REG_n_29, \m_payload_i_reg[64]\(13 downto 12) => si_rs_awsize(1 downto 0), \m_payload_i_reg[64]\(11 downto 0) => si_rs_awaddr(11 downto 0), \m_payload_i_reg[6]\ => SI_REG_n_179, \m_payload_i_reg[6]_0\(6) => SI_REG_n_180, \m_payload_i_reg[6]_0\(5) => SI_REG_n_181, \m_payload_i_reg[6]_0\(4) => SI_REG_n_182, \m_payload_i_reg[6]_0\(3) => SI_REG_n_183, \m_payload_i_reg[6]_0\(2) => SI_REG_n_184, \m_payload_i_reg[6]_0\(1) => SI_REG_n_185, \m_payload_i_reg[6]_0\(0) => SI_REG_n_186, sel_first => \cmd_translator_0/incr_cmd_0/sel_first_4\, si_rs_awvalid => si_rs_awvalid, \state_reg[1]_rep\ => \WR.aw_channel_0_n_9\, \state_reg[1]_rep_0\ => \WR.aw_channel_0_n_10\, \wrap_boundary_axaddr_r_reg[11]\ => \WR.aw_channel_0_n_7\, \wrap_second_len_r_reg[3]\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(3 downto 2), \wrap_second_len_r_reg[3]\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_r_3\(0), \wrap_second_len_r_reg[3]_0\(2 downto 1) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(3 downto 2), \wrap_second_len_r_reg[3]_0\(0) => \cmd_translator_0/wrap_cmd_0/wrap_second_len_1\(0), \wrap_second_len_r_reg[3]_1\(2 downto 1) => wrap_cnt(3 downto 2), \wrap_second_len_r_reg[3]_1\(0) => wrap_cnt(0) ); \WR.b_channel_0\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s_b_channel port map ( aclk => aclk, areset_d1 => areset_d1, b_push => b_push, \cnt_read_reg[0]_rep__0\ => \WR.b_channel_0_n_1\, \cnt_read_reg[1]_rep__1\ => \WR.b_channel_0_n_2\, \in\(19 downto 8) => b_awid(11 downto 0), \in\(7 downto 0) => b_awlen(7 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, \out\(11 downto 0) => si_rs_bid(11 downto 0), si_rs_bready => si_rs_bready, si_rs_bvalid => si_rs_bvalid, \skid_buffer_reg[1]\(1 downto 0) => si_rs_bresp(1 downto 0) ); areset_d1_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aresetn, O => areset_d1_i_1_n_0 ); areset_d1_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => aclk, CE => '1', D => areset_d1_i_1_n_0, Q => areset_d1, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_DECERR : string; attribute P_DECERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b11"; attribute P_INCR : string; attribute P_INCR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_SLVERR : string; attribute P_SLVERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b10"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal \^m_axi_wready\ : STD_LOGIC; signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_wvalid\ : STD_LOGIC; begin \^m_axi_wready\ <= m_axi_wready; \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); \^s_axi_wvalid\ <= s_axi_wvalid; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const1>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(11) <= \<const0>\; m_axi_arid(10) <= \<const0>\; m_axi_arid(9) <= \<const0>\; m_axi_arid(8) <= \<const0>\; m_axi_arid(7) <= \<const0>\; m_axi_arid(6) <= \<const0>\; m_axi_arid(5) <= \<const0>\; m_axi_arid(4) <= \<const0>\; m_axi_arid(3) <= \<const0>\; m_axi_arid(2) <= \<const0>\; m_axi_arid(1) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const1>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const1>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(11) <= \<const0>\; m_axi_awid(10) <= \<const0>\; m_axi_awid(9) <= \<const0>\; m_axi_awid(8) <= \<const0>\; m_axi_awid(7) <= \<const0>\; m_axi_awid(6) <= \<const0>\; m_axi_awid(5) <= \<const0>\; m_axi_awid(4) <= \<const0>\; m_axi_awid(3) <= \<const0>\; m_axi_awid(2) <= \<const0>\; m_axi_awid(1) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const1>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const1>\; m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \^s_axi_wvalid\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_wready <= \^m_axi_wready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); \gen_axilite.gen_b2s_conv.axilite_b2s\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_b2s port map ( Q(22 downto 20) => m_axi_awprot(2 downto 0), Q(19 downto 0) => m_axi_awaddr(31 downto 12), aclk => aclk, aresetn => aresetn, \in\(33 downto 32) => m_axi_rresp(1 downto 0), \in\(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_araddr(11 downto 0) => m_axi_araddr(11 downto 0), \m_axi_arprot[2]\(22 downto 20) => m_axi_arprot(2 downto 0), \m_axi_arprot[2]\(19 downto 0) => m_axi_araddr(31 downto 12), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(11 downto 0) => m_axi_awaddr(11 downto 0), m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arready => s_axi_arready, s_axi_arsize(1 downto 0) => s_axi_arsize(1 downto 0), s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awready => s_axi_awready, s_axi_awsize(1 downto 0) => s_axi_awsize(1 downto 0), s_axi_awvalid => s_axi_awvalid, \s_axi_bid[11]\(13 downto 2) => s_axi_bid(11 downto 0), \s_axi_bid[11]\(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_axi_rid[11]\(46 downto 35) => s_axi_rid(11 downto 0), \s_axi_rid[11]\(34) => s_axi_rlast, \s_axi_rid[11]\(33 downto 32) => s_axi_rresp(1 downto 0), \s_axi_rid[11]\(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zynq_design_1_auto_pc_0,axi_protocol_converter_v2_1_13_axi_protocol_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_inst_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_inst_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of inst : label is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 2; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of inst : label is 0; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of inst : label is 2; attribute P_DECERR : string; attribute P_DECERR of inst : label is "2'b11"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of inst : label is 1; attribute P_SLVERR : string; attribute P_SLVERR of inst : label is "2'b10"; begin inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => NLW_inst_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_inst_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(11 downto 0) => NLW_inst_m_axi_arid_UNCONNECTED(11 downto 0), m_axi_arlen(7 downto 0) => NLW_inst_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_inst_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => NLW_inst_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => NLW_inst_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_inst_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => NLW_inst_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_inst_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(11 downto 0) => NLW_inst_m_axi_awid_UNCONNECTED(11 downto 0), m_axi_awlen(7 downto 0) => NLW_inst_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_inst_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => NLW_inst_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => NLW_inst_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_inst_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(11 downto 0) => B"000000000000", m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => B"000000000000", m_axi_rlast => '1', m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0), m_axi_wlast => NLW_inst_m_axi_wlast_UNCONNECTED, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => B"000000000000", s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Jun 05 11:21:36 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_util_ds_buf_1_0 -prefix -- system_util_ds_buf_1_0_ system_util_ds_buf_0_0_sim_netlist.vhdl -- Design : system_util_ds_buf_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_util_ds_buf_1_0_util_ds_buf is port ( IBUF_DS_P : in STD_LOGIC_VECTOR ( 0 to 0 ); IBUF_DS_N : in STD_LOGIC_VECTOR ( 0 to 0 ); IBUF_OUT : out STD_LOGIC_VECTOR ( 0 to 0 ); IBUF_DS_ODIV2 : out STD_LOGIC_VECTOR ( 0 to 0 ); OBUF_IN : in STD_LOGIC_VECTOR ( 0 to 0 ); OBUF_DS_P : out STD_LOGIC_VECTOR ( 0 to 0 ); OBUF_DS_N : out STD_LOGIC_VECTOR ( 0 to 0 ); IOBUF_DS_P : inout STD_LOGIC_VECTOR ( 0 to 0 ); IOBUF_DS_N : inout STD_LOGIC_VECTOR ( 0 to 0 ); IOBUF_IO_T : in STD_LOGIC_VECTOR ( 0 to 0 ); IOBUF_IO_I : in STD_LOGIC_VECTOR ( 0 to 0 ); IOBUF_IO_O : out STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_O : out STD_LOGIC_VECTOR ( 0 to 0 ); BUFGCE_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFGCE_CE : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFGCE_O : out STD_LOGIC_VECTOR ( 0 to 0 ); BUFH_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFH_O : out STD_LOGIC_VECTOR ( 0 to 0 ); BUFHCE_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFHCE_CE : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFHCE_O : out STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_CE : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_CEMASK : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_CLR : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_CLRMASK : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_GT_DIV : in STD_LOGIC_VECTOR ( 2 downto 0 ); BUFG_GT_O : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute C_BUF_TYPE : string; attribute C_BUF_TYPE of system_util_ds_buf_1_0_util_ds_buf : entity is "BUFG"; attribute C_SIZE : integer; attribute C_SIZE of system_util_ds_buf_1_0_util_ds_buf : entity is 1; end system_util_ds_buf_1_0_util_ds_buf; architecture STRUCTURE of system_util_ds_buf_1_0_util_ds_buf is signal \<const0>\ : STD_LOGIC; attribute box_type : string; attribute box_type of \USE_BUFG.GEN_BUFG[0].BUFG_U\ : label is "PRIMITIVE"; begin BUFGCE_O(0) <= \<const0>\; BUFG_GT_O(0) <= \<const0>\; BUFHCE_O(0) <= \<const0>\; BUFH_O(0) <= \<const0>\; IBUF_DS_ODIV2(0) <= \<const0>\; IBUF_OUT(0) <= \<const0>\; IOBUF_IO_O(0) <= \<const0>\; OBUF_DS_N(0) <= \<const0>\; OBUF_DS_P(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \USE_BUFG.GEN_BUFG[0].BUFG_U\: unisim.vcomponents.BUFG port map ( I => BUFG_I(0), O => BUFG_O(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_util_ds_buf_1_0 is port ( BUFG_I : in STD_LOGIC_VECTOR ( 0 to 0 ); BUFG_O : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_util_ds_buf_1_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_util_ds_buf_1_0 : entity is "system_util_ds_buf_0_0,util_ds_buf,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_util_ds_buf_1_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_util_ds_buf_1_0 : entity is "util_ds_buf,Vivado 2016.4"; end system_util_ds_buf_1_0; architecture STRUCTURE of system_util_ds_buf_1_0 is signal NLW_U0_BUFGCE_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_BUFG_GT_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_BUFHCE_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_BUFH_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_IBUF_DS_ODIV2_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_IBUF_OUT_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_IOBUF_DS_N_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_IOBUF_DS_P_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_IOBUF_IO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_OBUF_DS_N_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_OBUF_DS_P_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_BUF_TYPE : string; attribute C_BUF_TYPE of U0 : label is "BUFG"; attribute C_SIZE : integer; attribute C_SIZE of U0 : label is 1; begin U0: entity work.system_util_ds_buf_1_0_util_ds_buf port map ( BUFGCE_CE(0) => '0', BUFGCE_I(0) => '0', BUFGCE_O(0) => NLW_U0_BUFGCE_O_UNCONNECTED(0), BUFG_GT_CE(0) => '0', BUFG_GT_CEMASK(0) => '0', BUFG_GT_CLR(0) => '0', BUFG_GT_CLRMASK(0) => '0', BUFG_GT_DIV(2 downto 0) => B"000", BUFG_GT_I(0) => '0', BUFG_GT_O(0) => NLW_U0_BUFG_GT_O_UNCONNECTED(0), BUFG_I(0) => BUFG_I(0), BUFG_O(0) => BUFG_O(0), BUFHCE_CE(0) => '0', BUFHCE_I(0) => '0', BUFHCE_O(0) => NLW_U0_BUFHCE_O_UNCONNECTED(0), BUFH_I(0) => '0', BUFH_O(0) => NLW_U0_BUFH_O_UNCONNECTED(0), IBUF_DS_N(0) => '0', IBUF_DS_ODIV2(0) => NLW_U0_IBUF_DS_ODIV2_UNCONNECTED(0), IBUF_DS_P(0) => '0', IBUF_OUT(0) => NLW_U0_IBUF_OUT_UNCONNECTED(0), IOBUF_DS_N(0) => NLW_U0_IOBUF_DS_N_UNCONNECTED(0), IOBUF_DS_P(0) => NLW_U0_IOBUF_DS_P_UNCONNECTED(0), IOBUF_IO_I(0) => '0', IOBUF_IO_O(0) => NLW_U0_IOBUF_IO_O_UNCONNECTED(0), IOBUF_IO_T(0) => '0', OBUF_DS_N(0) => NLW_U0_OBUF_DS_N_UNCONNECTED(0), OBUF_DS_P(0) => NLW_U0_OBUF_DS_P_UNCONNECTED(0), OBUF_IN(0) => '0' ); end STRUCTURE;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc873.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c01s03b01x00p12n01i00873pkg is constant low_number : integer := 0; constant hi_number : integer := 3; subtype hi_to_low_range is integer range low_number to hi_number; type boolean_vector is array (natural range <>) of boolean; type severity_level_vector is array (natural range <>) of severity_level; type integer_vector is array (natural range <>) of integer; type real_vector is array (natural range <>) of real; type time_vector is array (natural range <>) of time; type natural_vector is array (natural range <>) of natural; type positive_vector is array (natural range <>) of positive; type record_std_package is record a: boolean; b: bit; c:character; d:severity_level; e:integer; f:real; g:time; h:natural; i:positive; end record; type array_rec_std is array (natural range <>) of record_std_package; type four_value is ('Z','0','1','X'); --enumerated type constant C1 : boolean := true; constant C2 : bit := '1'; constant C3 : character := 's'; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 1; constant C9 : positive := 1; signal Sin1 : bit_vector(0 to 5) ; signal Sin2 : boolean_vector(0 to 5) ; signal Sin4 : severity_level_vector(0 to 5) ; signal Sin5 : integer_vector(0 to 5) ; signal Sin6 : real_vector(0 to 5) ; signal Sin7 : time_vector(0 to 5) ; signal Sin8 : natural_vector(0 to 5) ; signal Sin9 : positive_vector(0 to 5) ; signal Sin10: array_rec_std(0 to 5) ; end c01s03b01x00p12n01i00873pkg; use work.c01s03b01x00p12n01i00873pkg.all; entity c01s03b01x00p12n01i00873ent_a is port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end; architecture c01s03b01x00p12n01i00873ent_a of c01s03b01x00p12n01i00873ent_a is begin sigout1 <= sigin1; sigout2 <= sigin2; sigout4 <= sigin4; sigout5 <= sigin5; sigout6 <= sigin6; sigout7 <= sigin7; sigout8 <= sigin8; sigout9 <= sigin9; sigout10 <= sigin10; end; configuration c01s03b01x00p12n01i00873ent_abench of c01s03b01x00p12n01i00873ent_a is for c01s03b01x00p12n01i00873ent_a end for; end; use work.c01s03b01x00p12n01i00873pkg.all; entity c01s03b01x00p12n01i00873ent_a1 is port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end; architecture c01s03b01x00p12n01i00873ent_a1 of c01s03b01x00p12n01i00873ent_a1 is begin sigout1 <= false; sigout2 <= '0'; sigout4 <= error; sigout5 <= 6; sigout6 <= 6.0; sigout7 <= 6 ns; sigout8 <= 6; sigout9 <= 6; sigout10 <= (false,'0','h',error,6,6.0,6 ns,6,6); end; configuration c01s03b01x00p12n01i00873ent_a1bench of c01s03b01x00p12n01i00873ent_a1 is for c01s03b01x00p12n01i00873ent_a1 end for; end; use work.c01s03b01x00p12n01i00873pkg.all; ENTITY c01s03b01x00p12n01i00873ent IS generic( zero : integer := 0; one : integer := 1; two : integer := 2; three: integer := 3; four : integer := 4; five : integer := 5; six : integer := 6; seven: integer := 7; eight: integer := 8; nine : integer := 9; fifteen:integer:= 15); port( dumy : inout bit_vector(zero to three)); END c01s03b01x00p12n01i00873ent; ARCHITECTURE c01s03b01x00p12n01i00873arch OF c01s03b01x00p12n01i00873ent IS component c01s03b01x00p12n01i00873ent_a port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end component; begin Sin1(zero) <='1'; Sin2(zero) <= true; Sin4(zero) <= note; Sin5(zero) <= 3; Sin6(zero) <= 3.0; Sin7(zero) <= 3 ns; Sin8(zero) <= 1; Sin9(zero) <= 1; Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9); K:block BEGIN T5 : c01s03b01x00p12n01i00873ent_a port map ( Sin2(4),Sin2(5), Sin1(4),Sin1(5), Sin4(4),Sin4(5), Sin5(4),Sin5(5), Sin6(4),Sin6(5), Sin7(4),Sin7(5), Sin8(4),Sin8(5), Sin9(4),Sin9(5), Sin10(4),Sin10(5) ); G: for i in zero to three generate T1:c01s03b01x00p12n01i00873ent_a port map ( Sin2(i),Sin2(i+1), Sin1(i),Sin1(i+1), Sin4(i),Sin4(i+1), Sin5(i),Sin5(i+1), Sin6(i),Sin6(i+1), Sin7(i),Sin7(i+1), Sin8(i),Sin8(i+1), Sin9(i),Sin9(i+1), Sin10(i),Sin10(i+1) ); end generate; end block; TESTING: PROCESS variable dumb : bit_vector(zero to three); BEGIN wait for 1 ns; assert Sin1(0) = Sin1(4) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure; assert Sin2(0) = Sin2(4) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure; assert Sin4(0) = Sin4(4) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure; assert Sin5(0) = Sin5(4) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure; assert Sin6(0) = Sin6(4) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure; assert Sin7(0) = Sin7(4) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure; assert Sin8(0) = Sin8(4) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure; assert Sin9(0) = Sin9(4) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure; assert Sin10(0) = Sin10(4) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure; assert Sin1(5) = '0' report "assignment of Sin1(5) to Sin1(4) is invalid through entity port" severity failure; assert Sin2(5) = false report "assignment of Sin2(5) to Sin2(4) is invalid through entity port" severity failure; assert Sin4(5) = error report "assignment of Sin4(5) to Sin4(4) is invalid through entity port" severity failure; assert Sin5(5) = 6 report "assignment of Sin5(5) to Sin5(4) is invalid through entity port" severity failure; assert Sin6(5) = 6.0 report "assignment of Sin6(5) to Sin6(4) is invalid through entity port" severity failure; assert Sin7(5) = 6 ns report "assignment of Sin7(5) to Sin7(4) is invalid through entity port" severity failure; assert Sin8(5) = 6 report "assignment of Sin8(5) to Sin8(4) is invalid through entity port" severity failure; assert Sin9(5) = 6 report "assignment of Sin9(5) to Sin9(4) is invalid through entity port" severity failure; assert Sin10(5) = (false,'0','h',error,6,6.0,6 ns,6,6) report "assignment of Sin15(5) to Sin15(4) is invalid through entity port" severity failure; assert NOT( Sin1(0) = sin1(4) and Sin2(0) = Sin2(4) and Sin4(0) = Sin4(4) and Sin5(0) = Sin5(4) and Sin6(0) = Sin6(4) and Sin7(0) = Sin7(4) and Sin8(0) = Sin8(4) and Sin9(0) = Sin9(4) and Sin10(0)= Sin10(4) and Sin1(5) = '0' and Sin2(5) = FALSE and Sin4(5) = error and Sin5(5) = 6 and Sin6(5) = 6.0 and Sin7(5) = 6 ns and Sin8(5) = 6 and Sin9(5) = 6 and Sin10(5)=(False,'0','h',error,6,6.0,6 ns,6,6)) report "***PASSED TEST: c01s03b01x00p12n01i00873" severity NOTE; assert ( Sin1(0) = sin1(4) and Sin2(0) = Sin2(4) and Sin4(0) = Sin4(4) and Sin5(0) = Sin5(4) and Sin6(0) = Sin6(4) and Sin7(0) = Sin7(4) and Sin8(0) = Sin8(4) and Sin9(0) = Sin9(4) and Sin10(0)= Sin10(4) and Sin1(5) = '0' and Sin2(5) = FALSE and Sin4(5) = error and Sin5(5) = 6 and Sin6(5) = 6.0 and Sin7(5) = 6 ns and Sin8(5) = 6 and Sin9(5) = 6 and Sin10(5)=(False,'0','h',error,6,6.0,6 ns,6,6)) report "***FAILED TEST: c01s03b01x00p12n01i00873 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index." severity ERROR; wait; END PROCESS TESTING; END c01s03b01x00p12n01i00873arch; configuration c01s03b01x00p12n01i00873cfg of c01s03b01x00p12n01i00873ent is for c01s03b01x00p12n01i00873arch for K for others:c01s03b01x00p12n01i00873ent_a use configuration work.c01s03b01x00p12n01i00873ent_a1bench; end for; for G(0 to 3) for T1 :c01s03b01x00p12n01i00873ent_a use configuration work.c01s03b01x00p12n01i00873ent_abench; end for; end for; end for; end for; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc873.vhd,v 1.2 2001-10-26 16:30:01 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c01s03b01x00p12n01i00873pkg is constant low_number : integer := 0; constant hi_number : integer := 3; subtype hi_to_low_range is integer range low_number to hi_number; type boolean_vector is array (natural range <>) of boolean; type severity_level_vector is array (natural range <>) of severity_level; type integer_vector is array (natural range <>) of integer; type real_vector is array (natural range <>) of real; type time_vector is array (natural range <>) of time; type natural_vector is array (natural range <>) of natural; type positive_vector is array (natural range <>) of positive; type record_std_package is record a: boolean; b: bit; c:character; d:severity_level; e:integer; f:real; g:time; h:natural; i:positive; end record; type array_rec_std is array (natural range <>) of record_std_package; type four_value is ('Z','0','1','X'); --enumerated type constant C1 : boolean := true; constant C2 : bit := '1'; constant C3 : character := 's'; constant C4 : severity_level := note; constant C5 : integer := 3; constant C6 : real := 3.0; constant C7 : time := 3 ns; constant C8 : natural := 1; constant C9 : positive := 1; signal Sin1 : bit_vector(0 to 5) ; signal Sin2 : boolean_vector(0 to 5) ; signal Sin4 : severity_level_vector(0 to 5) ; signal Sin5 : integer_vector(0 to 5) ; signal Sin6 : real_vector(0 to 5) ; signal Sin7 : time_vector(0 to 5) ; signal Sin8 : natural_vector(0 to 5) ; signal Sin9 : positive_vector(0 to 5) ; signal Sin10: array_rec_std(0 to 5) ; end c01s03b01x00p12n01i00873pkg; use work.c01s03b01x00p12n01i00873pkg.all; entity c01s03b01x00p12n01i00873ent_a is port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end; architecture c01s03b01x00p12n01i00873ent_a of c01s03b01x00p12n01i00873ent_a is begin sigout1 <= sigin1; sigout2 <= sigin2; sigout4 <= sigin4; sigout5 <= sigin5; sigout6 <= sigin6; sigout7 <= sigin7; sigout8 <= sigin8; sigout9 <= sigin9; sigout10 <= sigin10; end; configuration c01s03b01x00p12n01i00873ent_abench of c01s03b01x00p12n01i00873ent_a is for c01s03b01x00p12n01i00873ent_a end for; end; use work.c01s03b01x00p12n01i00873pkg.all; entity c01s03b01x00p12n01i00873ent_a1 is port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end; architecture c01s03b01x00p12n01i00873ent_a1 of c01s03b01x00p12n01i00873ent_a1 is begin sigout1 <= false; sigout2 <= '0'; sigout4 <= error; sigout5 <= 6; sigout6 <= 6.0; sigout7 <= 6 ns; sigout8 <= 6; sigout9 <= 6; sigout10 <= (false,'0','h',error,6,6.0,6 ns,6,6); end; configuration c01s03b01x00p12n01i00873ent_a1bench of c01s03b01x00p12n01i00873ent_a1 is for c01s03b01x00p12n01i00873ent_a1 end for; end; use work.c01s03b01x00p12n01i00873pkg.all; ENTITY c01s03b01x00p12n01i00873ent IS generic( zero : integer := 0; one : integer := 1; two : integer := 2; three: integer := 3; four : integer := 4; five : integer := 5; six : integer := 6; seven: integer := 7; eight: integer := 8; nine : integer := 9; fifteen:integer:= 15); port( dumy : inout bit_vector(zero to three)); END c01s03b01x00p12n01i00873ent; ARCHITECTURE c01s03b01x00p12n01i00873arch OF c01s03b01x00p12n01i00873ent IS component c01s03b01x00p12n01i00873ent_a port( sigin1 : in boolean ; sigout1 : out boolean ; sigin2 : in bit ; sigout2 : out bit ; sigin4 : in severity_level ; sigout4 : out severity_level ; sigin5 : in integer ; sigout5 : out integer ; sigin6 : in real ; sigout6 : out real ; sigin7 : in time ; sigout7 : out time ; sigin8 : in natural ; sigout8 : out natural ; sigin9 : in positive ; sigout9 : out positive ; sigin10 : in record_std_package ; sigout10 : out record_std_package ); end component; begin Sin1(zero) <='1'; Sin2(zero) <= true; Sin4(zero) <= note; Sin5(zero) <= 3; Sin6(zero) <= 3.0; Sin7(zero) <= 3 ns; Sin8(zero) <= 1; Sin9(zero) <= 1; Sin10(zero) <= (C1,C2,C3,C4,C5,C6,C7,C8,C9); K:block BEGIN T5 : c01s03b01x00p12n01i00873ent_a port map ( Sin2(4),Sin2(5), Sin1(4),Sin1(5), Sin4(4),Sin4(5), Sin5(4),Sin5(5), Sin6(4),Sin6(5), Sin7(4),Sin7(5), Sin8(4),Sin8(5), Sin9(4),Sin9(5), Sin10(4),Sin10(5) ); G: for i in zero to three generate T1:c01s03b01x00p12n01i00873ent_a port map ( Sin2(i),Sin2(i+1), Sin1(i),Sin1(i+1), Sin4(i),Sin4(i+1), Sin5(i),Sin5(i+1), Sin6(i),Sin6(i+1), Sin7(i),Sin7(i+1), Sin8(i),Sin8(i+1), Sin9(i),Sin9(i+1), Sin10(i),Sin10(i+1) ); end generate; end block; TESTING: PROCESS variable dumb : bit_vector(zero to three); BEGIN wait for 1 ns; assert Sin1(0) = Sin1(4) report "assignment of Sin1(0) to Sin1(4) is invalid through entity port" severity failure; assert Sin2(0) = Sin2(4) report "assignment of Sin2(0) to Sin2(4) is invalid through entity port" severity failure; assert Sin4(0) = Sin4(4) report "assignment of Sin4(0) to Sin4(4) is invalid through entity port" severity failure; assert Sin5(0) = Sin5(4) report "assignment of Sin5(0) to Sin5(4) is invalid through entity port" severity failure; assert Sin6(0) = Sin6(4) report "assignment of Sin6(0) to Sin6(4) is invalid through entity port" severity failure; assert Sin7(0) = Sin7(4) report "assignment of Sin7(0) to Sin7(4) is invalid through entity port" severity failure; assert Sin8(0) = Sin8(4) report "assignment of Sin8(0) to Sin8(4) is invalid through entity port" severity failure; assert Sin9(0) = Sin9(4) report "assignment of Sin9(0) to Sin9(4) is invalid through entity port" severity failure; assert Sin10(0) = Sin10(4) report "assignment of Sin10(0) to Sin10(4) is invalid through entity port" severity failure; assert Sin1(5) = '0' report "assignment of Sin1(5) to Sin1(4) is invalid through entity port" severity failure; assert Sin2(5) = false report "assignment of Sin2(5) to Sin2(4) is invalid through entity port" severity failure; assert Sin4(5) = error report "assignment of Sin4(5) to Sin4(4) is invalid through entity port" severity failure; assert Sin5(5) = 6 report "assignment of Sin5(5) to Sin5(4) is invalid through entity port" severity failure; assert Sin6(5) = 6.0 report "assignment of Sin6(5) to Sin6(4) is invalid through entity port" severity failure; assert Sin7(5) = 6 ns report "assignment of Sin7(5) to Sin7(4) is invalid through entity port" severity failure; assert Sin8(5) = 6 report "assignment of Sin8(5) to Sin8(4) is invalid through entity port" severity failure; assert Sin9(5) = 6 report "assignment of Sin9(5) to Sin9(4) is invalid through entity port" severity failure; assert Sin10(5) = (false,'0','h',error,6,6.0,6 ns,6,6) report "assignment of Sin15(5) to Sin15(4) is invalid through entity port" severity failure; assert NOT( Sin1(0) = sin1(4) and Sin2(0) = Sin2(4) and Sin4(0) = Sin4(4) and Sin5(0) = Sin5(4) and Sin6(0) = Sin6(4) and Sin7(0) = Sin7(4) and Sin8(0) = Sin8(4) and Sin9(0) = Sin9(4) and Sin10(0)= Sin10(4) and Sin1(5) = '0' and Sin2(5) = FALSE and Sin4(5) = error and Sin5(5) = 6 and Sin6(5) = 6.0 and Sin7(5) = 6 ns and Sin8(5) = 6 and Sin9(5) = 6 and Sin10(5)=(False,'0','h',error,6,6.0,6 ns,6,6)) report "***PASSED TEST: c01s03b01x00p12n01i00873" severity NOTE; assert ( Sin1(0) = sin1(4) and Sin2(0) = Sin2(4) and Sin4(0) = Sin4(4) and Sin5(0) = Sin5(4) and Sin6(0) = Sin6(4) and Sin7(0) = Sin7(4) and Sin8(0) = Sin8(4) and Sin9(0) = Sin9(4) and Sin10(0)= Sin10(4) and Sin1(5) = '0' and Sin2(5) = FALSE and Sin4(5) = error and Sin5(5) = 6 and Sin6(5) = 6.0 and Sin7(5) = 6 ns and Sin8(5) = 6 and Sin9(5) = 6 and Sin10(5)=(False,'0','h',error,6,6.0,6 ns,6,6)) report "***FAILED TEST: c01s03b01x00p12n01i00873 - If such a block configuration contains an index specification that is a discrete range, then the block configuration applies to those implicit block statements that are generated for the specified range of values of the corresponding generate index." severity ERROR; wait; END PROCESS TESTING; END c01s03b01x00p12n01i00873arch; configuration c01s03b01x00p12n01i00873cfg of c01s03b01x00p12n01i00873ent is for c01s03b01x00p12n01i00873arch for K for others:c01s03b01x00p12n01i00873ent_a use configuration work.c01s03b01x00p12n01i00873ent_a1bench; end for; for G(0 to 3) for T1 :c01s03b01x00p12n01i00873ent_a use configuration work.c01s03b01x00p12n01i00873ent_abench; end for; end for; end for; end for; end;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use work.tube_comp_pack.all; entity CoProSPI is port ( -- Host h_clk : in std_logic; h_cs_b : in std_logic; h_rdnw : in std_logic; h_addr : in std_logic_vector(2 downto 0); h_data_in : in std_logic_vector(7 downto 0); h_data_out : out std_logic_vector(7 downto 0); h_rst_b : in std_logic; h_irq_b : out std_logic; -- Parasite Clock (32 MHz) p_clk : in std_logic; -- SPI Slave p_spi_ssel : in std_logic; p_spi_sck : in std_logic; p_spi_mosi : in std_logic; p_spi_miso : out std_logic; -- Interrupts/Control p_irq_b : out std_logic; p_nmi_b : out std_logic; p_rst_b : out std_logic; -- Test signals for debugging test : out std_logic_vector(7 downto 0) ); end; architecture BEHAVIORAL of CoProSPI is -- SPI state is simply a counter for the 16 clock cycles in -- the SPI transaction signal spi_state : integer range 0 to 15; -- Indicates the current transaction is valid signal valid : std_logic; -- SPI data out shift register (MISO is bit 7 of this) signal spi_shifter : std_logic_vector (7 downto 0); -- Event passing from the SPI to Tube clock domain signal tube_go : std_logic; signal tube_go1 : std_logic; signal tube_go2 : std_logic; -- Signals driving the tube chip signal p_rst_b_int : std_logic; signal p_cs_b : std_logic; signal p_rdnw : std_logic; signal p_addr : std_logic_vector (2 downto 0); signal p_data_in : std_logic_vector (7 downto 0); signal p_data_out : std_logic_vector (7 downto 0); -- Latched data out of the tube chip signal p_data_out_r : std_logic_vector (7 downto 0); -- Tube state is pretty simple as well type TUBE_STATE_TYPE is ( IDLE, READ, WRITE ); signal tube_state : TUBE_STATE_TYPE := IDLE; begin --------------------------------------------------------------------- -- instantiated components --------------------------------------------------------------------- inst_tube: tube port map ( -- host h_addr => h_addr, h_cs_b => h_cs_b, h_data_in => h_data_in, h_data_out => h_data_out, h_phi2 => not h_clk, h_rdnw => h_rdnw, h_rst_b => h_rst_b, h_irq_b => h_irq_b, -- parasite p_addr => p_addr, p_cs_b => p_cs_b, p_data_in => p_data_in, p_data_out => p_data_out, p_rdnw => p_rdnw, p_phi2 => p_clk, p_rst_b => p_rst_b_int, p_nmi_b => p_nmi_b, p_irq_b => p_irq_b ); p_rst_b <= p_rst_b_int; --------------------------------------------------------------------- -- State Machine Running from the SPI clock --------------------------------------------------------------------- process(p_spi_sck, p_spi_ssel) begin if p_spi_ssel = '1' then -- this can only be an asynchronous reset spi_state <= 0; else -- This works in Mode 0 only... -- MOSI should be sampled on the rising edge if rising_edge(p_spi_sck) then -- capture the important bits from the transaction case spi_state is when 0 => -- Ignore commands where B7=0 valid <= p_spi_mosi; when 1 => p_rdnw <= p_spi_mosi; when 2 => p_addr(2) <= p_spi_mosi; when 3 => p_addr(1) <= p_spi_mosi; when 4 => p_addr(0) <= p_spi_mosi; -- This is the earliest we can ask for a read request if valid = '1' and p_rdnw = '1' then -- An edge signifies the read request tube_go <= not tube_go; end if; when 8 => p_data_in(7) <= p_spi_mosi; when 9 => p_data_in(6) <= p_spi_mosi; when 10 => p_data_in(5) <= p_spi_mosi; when 11 => p_data_in(4) <= p_spi_mosi; when 12 => p_data_in(3) <= p_spi_mosi; when 13 => p_data_in(2) <= p_spi_mosi; when 14 => p_data_in(1) <= p_spi_mosi; when 15 => p_data_in(0) <= p_spi_mosi; -- This is the earliest we can ask for a write request if valid = '1' and p_rdnw = '0' then -- An edge signifies the read request tube_go <= not tube_go; end if; when others => null; end case; end if; -- This works in Mode 0 only... -- MISO should change on the falling edge -- For very high speeds (e.g. 32MHz) change this to rising_edge if falling_edge(p_spi_sck) then case spi_state is when 7 => -- is it a valid read cycle? if valid = '1' and p_rdnw = '1' then -- load the shift register just in time... spi_shifter <= p_data_out_r; else spi_shifter <= (others => '0'); end if; when 8 to 14 => -- shift the shift register one place to the left spi_shifter <= spi_shifter(6 downto 0) & '0'; when others => spi_shifter <= (others => '0'); end case; -- for convenience, internal state also changes on this edge spi_state <= spi_state + 1; end if; end if; end process; -- MISO is simply the MS bit of the shift regster p_spi_miso <= spi_shifter(7); --------------------------------------------------------------------- -- State Machine Running from the tube clock --------------------------------------------------------------------- process(p_clk) begin if rising_edge(p_clk) then -- Synchronize the tube go signal tube_go1 <= tube_go; tube_go2 <= tube_go1; if p_rst_b_int = '0' then tube_state <= IDLE; p_cs_b <= '1'; else case tube_state is when IDLE => -- Wait for an edge on tube_go if tube_go1 /= tube_go2 then -- assert CS for on the next clock edge p_cs_b <= '0'; if p_rdnw = '0' then tube_state <= WRITE; else tube_state <= READ; end if; else p_cs_b <= '1'; end if; -- Process write command when WRITE => -- deassert CS on the next clock edge p_cs_b <= '1'; -- back to idle tube_state <= IDLE; -- Process read command when READ => -- deassert CS on the next clock edge p_cs_b <= '1'; -- latch the data read out of the tube chip p_data_out_r <= p_data_out; -- back to idle tube_state <= IDLE; -- Should never get here when others => tube_state <= IDLE; end case; end if; end if; end process; test <= std_logic_vector(to_unsigned(spi_state, 4)) & p_cs_b & p_addr; end BEHAVIORAL;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:c_addsub:12.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY c_addsub_v12_0; USE c_addsub_v12_0.c_addsub_v12_0; ENTITY c_sub IS PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END c_sub; ARCHITECTURE c_sub_arch OF c_sub IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF c_sub_arch: ARCHITECTURE IS "yes"; COMPONENT c_addsub_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_XDEVICEFAMILY : STRING; C_IMPLEMENTATION : INTEGER; C_A_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_OUT_WIDTH : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_A_TYPE : INTEGER; C_B_TYPE : INTEGER; C_LATENCY : INTEGER; C_ADD_MODE : INTEGER; C_B_CONSTANT : INTEGER; C_B_VALUE : STRING; C_AINIT_VAL : STRING; C_SINIT_VAL : STRING; C_CE_OVERRIDES_BYPASS : INTEGER; C_BYPASS_LOW : INTEGER; C_SCLR_OVERRIDES_SSET : INTEGER; C_HAS_C_IN : INTEGER; C_HAS_C_OUT : INTEGER; C_BORROW_LOW : INTEGER; C_HAS_CE : INTEGER; C_HAS_BYPASS : INTEGER; C_HAS_SCLR : INTEGER; C_HAS_SSET : INTEGER; C_HAS_SINIT : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); CLK : IN STD_LOGIC; ADD : IN STD_LOGIC; C_IN : IN STD_LOGIC; CE : IN STD_LOGIC; BYPASS : IN STD_LOGIC; SCLR : IN STD_LOGIC; SSET : IN STD_LOGIC; SINIT : IN STD_LOGIC; C_OUT : OUT STD_LOGIC; S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT c_addsub_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF c_sub_arch: ARCHITECTURE IS "c_addsub_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF c_sub_arch : ARCHITECTURE IS "c_sub,c_addsub_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF c_sub_arch: ARCHITECTURE IS "c_sub,c_addsub_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=c_addsub,x_ipVersion=12.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_XDEVICEFAMILY=zynq,C_IMPLEMENTATION=0,C_A_WIDTH=15,C_B_WIDTH=15,C_OUT_WIDTH=15,C_CE_OVERRIDES_SCLR=0,C_A_TYPE=0,C_B_TYPE=0,C_LATENCY=0,C_ADD_MODE=1,C_B_CONSTANT=0,C_B_VALUE=000000000000000,C_AINIT_VAL=0,C_SINIT_VAL=0,C_CE_OVERRIDES_BYPASS=1,C_BYPASS_LOW=0,C_SCLR_OVERRIDES_SSET=1,C_HAS_C_IN=0,C_HAS_C_OUT=0,C_BORROW_LOW=1,C_HAS_CE=0,C_HAS_BYPASS=0,C_HAS_SCLR=0,C_HAS_SSET=0,C_HAS_SINIT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA"; BEGIN U0 : c_addsub_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_XDEVICEFAMILY => "zynq", C_IMPLEMENTATION => 0, C_A_WIDTH => 15, C_B_WIDTH => 15, C_OUT_WIDTH => 15, C_CE_OVERRIDES_SCLR => 0, C_A_TYPE => 0, C_B_TYPE => 0, C_LATENCY => 0, C_ADD_MODE => 1, C_B_CONSTANT => 0, C_B_VALUE => "000000000000000", C_AINIT_VAL => "0", C_SINIT_VAL => "0", C_CE_OVERRIDES_BYPASS => 1, C_BYPASS_LOW => 0, C_SCLR_OVERRIDES_SSET => 1, C_HAS_C_IN => 0, C_HAS_C_OUT => 0, C_BORROW_LOW => 1, C_HAS_CE => 0, C_HAS_BYPASS => 0, C_HAS_SCLR => 0, C_HAS_SSET => 0, C_HAS_SINIT => 0 ) PORT MAP ( A => A, B => B, CLK => '0', ADD => '1', C_IN => '0', CE => '1', BYPASS => '0', SCLR => '0', SSET => '0', SINIT => '0', S => S ); END c_sub_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:c_addsub:12.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY c_addsub_v12_0; USE c_addsub_v12_0.c_addsub_v12_0; ENTITY c_sub IS PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END c_sub; ARCHITECTURE c_sub_arch OF c_sub IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF c_sub_arch: ARCHITECTURE IS "yes"; COMPONENT c_addsub_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_XDEVICEFAMILY : STRING; C_IMPLEMENTATION : INTEGER; C_A_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_OUT_WIDTH : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_A_TYPE : INTEGER; C_B_TYPE : INTEGER; C_LATENCY : INTEGER; C_ADD_MODE : INTEGER; C_B_CONSTANT : INTEGER; C_B_VALUE : STRING; C_AINIT_VAL : STRING; C_SINIT_VAL : STRING; C_CE_OVERRIDES_BYPASS : INTEGER; C_BYPASS_LOW : INTEGER; C_SCLR_OVERRIDES_SSET : INTEGER; C_HAS_C_IN : INTEGER; C_HAS_C_OUT : INTEGER; C_BORROW_LOW : INTEGER; C_HAS_CE : INTEGER; C_HAS_BYPASS : INTEGER; C_HAS_SCLR : INTEGER; C_HAS_SSET : INTEGER; C_HAS_SINIT : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); CLK : IN STD_LOGIC; ADD : IN STD_LOGIC; C_IN : IN STD_LOGIC; CE : IN STD_LOGIC; BYPASS : IN STD_LOGIC; SCLR : IN STD_LOGIC; SSET : IN STD_LOGIC; SINIT : IN STD_LOGIC; C_OUT : OUT STD_LOGIC; S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT c_addsub_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF c_sub_arch: ARCHITECTURE IS "c_addsub_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF c_sub_arch : ARCHITECTURE IS "c_sub,c_addsub_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF c_sub_arch: ARCHITECTURE IS "c_sub,c_addsub_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=c_addsub,x_ipVersion=12.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_XDEVICEFAMILY=zynq,C_IMPLEMENTATION=0,C_A_WIDTH=15,C_B_WIDTH=15,C_OUT_WIDTH=15,C_CE_OVERRIDES_SCLR=0,C_A_TYPE=0,C_B_TYPE=0,C_LATENCY=0,C_ADD_MODE=1,C_B_CONSTANT=0,C_B_VALUE=000000000000000,C_AINIT_VAL=0,C_SINIT_VAL=0,C_CE_OVERRIDES_BYPASS=1,C_BYPASS_LOW=0,C_SCLR_OVERRIDES_SSET=1,C_HAS_C_IN=0,C_HAS_C_OUT=0,C_BORROW_LOW=1,C_HAS_CE=0,C_HAS_BYPASS=0,C_HAS_SCLR=0,C_HAS_SSET=0,C_HAS_SINIT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA"; BEGIN U0 : c_addsub_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_XDEVICEFAMILY => "zynq", C_IMPLEMENTATION => 0, C_A_WIDTH => 15, C_B_WIDTH => 15, C_OUT_WIDTH => 15, C_CE_OVERRIDES_SCLR => 0, C_A_TYPE => 0, C_B_TYPE => 0, C_LATENCY => 0, C_ADD_MODE => 1, C_B_CONSTANT => 0, C_B_VALUE => "000000000000000", C_AINIT_VAL => "0", C_SINIT_VAL => "0", C_CE_OVERRIDES_BYPASS => 1, C_BYPASS_LOW => 0, C_SCLR_OVERRIDES_SSET => 1, C_HAS_C_IN => 0, C_HAS_C_OUT => 0, C_BORROW_LOW => 1, C_HAS_CE => 0, C_HAS_BYPASS => 0, C_HAS_SCLR => 0, C_HAS_SSET => 0, C_HAS_SINIT => 0 ) PORT MAP ( A => A, B => B, CLK => '0', ADD => '1', C_IN => '0', CE => '1', BYPASS => '0', SCLR => '0', SSET => '0', SINIT => '0', S => S ); END c_sub_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:c_addsub:12.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY c_addsub_v12_0; USE c_addsub_v12_0.c_addsub_v12_0; ENTITY c_sub IS PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END c_sub; ARCHITECTURE c_sub_arch OF c_sub IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF c_sub_arch: ARCHITECTURE IS "yes"; COMPONENT c_addsub_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_XDEVICEFAMILY : STRING; C_IMPLEMENTATION : INTEGER; C_A_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_OUT_WIDTH : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_A_TYPE : INTEGER; C_B_TYPE : INTEGER; C_LATENCY : INTEGER; C_ADD_MODE : INTEGER; C_B_CONSTANT : INTEGER; C_B_VALUE : STRING; C_AINIT_VAL : STRING; C_SINIT_VAL : STRING; C_CE_OVERRIDES_BYPASS : INTEGER; C_BYPASS_LOW : INTEGER; C_SCLR_OVERRIDES_SSET : INTEGER; C_HAS_C_IN : INTEGER; C_HAS_C_OUT : INTEGER; C_BORROW_LOW : INTEGER; C_HAS_CE : INTEGER; C_HAS_BYPASS : INTEGER; C_HAS_SCLR : INTEGER; C_HAS_SSET : INTEGER; C_HAS_SINIT : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); CLK : IN STD_LOGIC; ADD : IN STD_LOGIC; C_IN : IN STD_LOGIC; CE : IN STD_LOGIC; BYPASS : IN STD_LOGIC; SCLR : IN STD_LOGIC; SSET : IN STD_LOGIC; SINIT : IN STD_LOGIC; C_OUT : OUT STD_LOGIC; S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT c_addsub_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF c_sub_arch: ARCHITECTURE IS "c_addsub_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF c_sub_arch : ARCHITECTURE IS "c_sub,c_addsub_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF c_sub_arch: ARCHITECTURE IS "c_sub,c_addsub_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=c_addsub,x_ipVersion=12.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_XDEVICEFAMILY=zynq,C_IMPLEMENTATION=0,C_A_WIDTH=15,C_B_WIDTH=15,C_OUT_WIDTH=15,C_CE_OVERRIDES_SCLR=0,C_A_TYPE=0,C_B_TYPE=0,C_LATENCY=0,C_ADD_MODE=1,C_B_CONSTANT=0,C_B_VALUE=000000000000000,C_AINIT_VAL=0,C_SINIT_VAL=0,C_CE_OVERRIDES_BYPASS=1,C_BYPASS_LOW=0,C_SCLR_OVERRIDES_SSET=1,C_HAS_C_IN=0,C_HAS_C_OUT=0,C_BORROW_LOW=1,C_HAS_CE=0,C_HAS_BYPASS=0,C_HAS_SCLR=0,C_HAS_SSET=0,C_HAS_SINIT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA"; BEGIN U0 : c_addsub_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_XDEVICEFAMILY => "zynq", C_IMPLEMENTATION => 0, C_A_WIDTH => 15, C_B_WIDTH => 15, C_OUT_WIDTH => 15, C_CE_OVERRIDES_SCLR => 0, C_A_TYPE => 0, C_B_TYPE => 0, C_LATENCY => 0, C_ADD_MODE => 1, C_B_CONSTANT => 0, C_B_VALUE => "000000000000000", C_AINIT_VAL => "0", C_SINIT_VAL => "0", C_CE_OVERRIDES_BYPASS => 1, C_BYPASS_LOW => 0, C_SCLR_OVERRIDES_SSET => 1, C_HAS_C_IN => 0, C_HAS_C_OUT => 0, C_BORROW_LOW => 1, C_HAS_CE => 0, C_HAS_BYPASS => 0, C_HAS_SCLR => 0, C_HAS_SSET => 0, C_HAS_SINIT => 0 ) PORT MAP ( A => A, B => B, CLK => '0', ADD => '1', C_IN => '0', CE => '1', BYPASS => '0', SCLR => '0', SSET => '0', SINIT => '0', S => S ); END c_sub_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:c_addsub:12.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY c_addsub_v12_0; USE c_addsub_v12_0.c_addsub_v12_0; ENTITY c_sub IS PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END c_sub; ARCHITECTURE c_sub_arch OF c_sub IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF c_sub_arch: ARCHITECTURE IS "yes"; COMPONENT c_addsub_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_XDEVICEFAMILY : STRING; C_IMPLEMENTATION : INTEGER; C_A_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_OUT_WIDTH : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_A_TYPE : INTEGER; C_B_TYPE : INTEGER; C_LATENCY : INTEGER; C_ADD_MODE : INTEGER; C_B_CONSTANT : INTEGER; C_B_VALUE : STRING; C_AINIT_VAL : STRING; C_SINIT_VAL : STRING; C_CE_OVERRIDES_BYPASS : INTEGER; C_BYPASS_LOW : INTEGER; C_SCLR_OVERRIDES_SSET : INTEGER; C_HAS_C_IN : INTEGER; C_HAS_C_OUT : INTEGER; C_BORROW_LOW : INTEGER; C_HAS_CE : INTEGER; C_HAS_BYPASS : INTEGER; C_HAS_SCLR : INTEGER; C_HAS_SSET : INTEGER; C_HAS_SINIT : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); CLK : IN STD_LOGIC; ADD : IN STD_LOGIC; C_IN : IN STD_LOGIC; CE : IN STD_LOGIC; BYPASS : IN STD_LOGIC; SCLR : IN STD_LOGIC; SSET : IN STD_LOGIC; SINIT : IN STD_LOGIC; C_OUT : OUT STD_LOGIC; S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT c_addsub_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF c_sub_arch: ARCHITECTURE IS "c_addsub_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF c_sub_arch : ARCHITECTURE IS "c_sub,c_addsub_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF c_sub_arch: ARCHITECTURE IS "c_sub,c_addsub_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=c_addsub,x_ipVersion=12.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_XDEVICEFAMILY=zynq,C_IMPLEMENTATION=0,C_A_WIDTH=15,C_B_WIDTH=15,C_OUT_WIDTH=15,C_CE_OVERRIDES_SCLR=0,C_A_TYPE=0,C_B_TYPE=0,C_LATENCY=0,C_ADD_MODE=1,C_B_CONSTANT=0,C_B_VALUE=000000000000000,C_AINIT_VAL=0,C_SINIT_VAL=0,C_CE_OVERRIDES_BYPASS=1,C_BYPASS_LOW=0,C_SCLR_OVERRIDES_SSET=1,C_HAS_C_IN=0,C_HAS_C_OUT=0,C_BORROW_LOW=1,C_HAS_CE=0,C_HAS_BYPASS=0,C_HAS_SCLR=0,C_HAS_SSET=0,C_HAS_SINIT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA"; BEGIN U0 : c_addsub_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_XDEVICEFAMILY => "zynq", C_IMPLEMENTATION => 0, C_A_WIDTH => 15, C_B_WIDTH => 15, C_OUT_WIDTH => 15, C_CE_OVERRIDES_SCLR => 0, C_A_TYPE => 0, C_B_TYPE => 0, C_LATENCY => 0, C_ADD_MODE => 1, C_B_CONSTANT => 0, C_B_VALUE => "000000000000000", C_AINIT_VAL => "0", C_SINIT_VAL => "0", C_CE_OVERRIDES_BYPASS => 1, C_BYPASS_LOW => 0, C_SCLR_OVERRIDES_SSET => 1, C_HAS_C_IN => 0, C_HAS_C_OUT => 0, C_BORROW_LOW => 1, C_HAS_CE => 0, C_HAS_BYPASS => 0, C_HAS_SCLR => 0, C_HAS_SSET => 0, C_HAS_SINIT => 0 ) PORT MAP ( A => A, B => B, CLK => '0', ADD => '1', C_IN => '0', CE => '1', BYPASS => '0', SCLR => '0', SSET => '0', SINIT => '0', S => S ); END c_sub_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:c_addsub:12.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY c_addsub_v12_0; USE c_addsub_v12_0.c_addsub_v12_0; ENTITY c_sub IS PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END c_sub; ARCHITECTURE c_sub_arch OF c_sub IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF c_sub_arch: ARCHITECTURE IS "yes"; COMPONENT c_addsub_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_XDEVICEFAMILY : STRING; C_IMPLEMENTATION : INTEGER; C_A_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_OUT_WIDTH : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_A_TYPE : INTEGER; C_B_TYPE : INTEGER; C_LATENCY : INTEGER; C_ADD_MODE : INTEGER; C_B_CONSTANT : INTEGER; C_B_VALUE : STRING; C_AINIT_VAL : STRING; C_SINIT_VAL : STRING; C_CE_OVERRIDES_BYPASS : INTEGER; C_BYPASS_LOW : INTEGER; C_SCLR_OVERRIDES_SSET : INTEGER; C_HAS_C_IN : INTEGER; C_HAS_C_OUT : INTEGER; C_BORROW_LOW : INTEGER; C_HAS_CE : INTEGER; C_HAS_BYPASS : INTEGER; C_HAS_SCLR : INTEGER; C_HAS_SSET : INTEGER; C_HAS_SINIT : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); CLK : IN STD_LOGIC; ADD : IN STD_LOGIC; C_IN : IN STD_LOGIC; CE : IN STD_LOGIC; BYPASS : IN STD_LOGIC; SCLR : IN STD_LOGIC; SSET : IN STD_LOGIC; SINIT : IN STD_LOGIC; C_OUT : OUT STD_LOGIC; S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT c_addsub_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF c_sub_arch: ARCHITECTURE IS "c_addsub_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF c_sub_arch : ARCHITECTURE IS "c_sub,c_addsub_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF c_sub_arch: ARCHITECTURE IS "c_sub,c_addsub_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=c_addsub,x_ipVersion=12.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_XDEVICEFAMILY=zynq,C_IMPLEMENTATION=0,C_A_WIDTH=15,C_B_WIDTH=15,C_OUT_WIDTH=15,C_CE_OVERRIDES_SCLR=0,C_A_TYPE=0,C_B_TYPE=0,C_LATENCY=0,C_ADD_MODE=1,C_B_CONSTANT=0,C_B_VALUE=000000000000000,C_AINIT_VAL=0,C_SINIT_VAL=0,C_CE_OVERRIDES_BYPASS=1,C_BYPASS_LOW=0,C_SCLR_OVERRIDES_SSET=1,C_HAS_C_IN=0,C_HAS_C_OUT=0,C_BORROW_LOW=1,C_HAS_CE=0,C_HAS_BYPASS=0,C_HAS_SCLR=0,C_HAS_SSET=0,C_HAS_SINIT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA"; BEGIN U0 : c_addsub_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_XDEVICEFAMILY => "zynq", C_IMPLEMENTATION => 0, C_A_WIDTH => 15, C_B_WIDTH => 15, C_OUT_WIDTH => 15, C_CE_OVERRIDES_SCLR => 0, C_A_TYPE => 0, C_B_TYPE => 0, C_LATENCY => 0, C_ADD_MODE => 1, C_B_CONSTANT => 0, C_B_VALUE => "000000000000000", C_AINIT_VAL => "0", C_SINIT_VAL => "0", C_CE_OVERRIDES_BYPASS => 1, C_BYPASS_LOW => 0, C_SCLR_OVERRIDES_SSET => 1, C_HAS_C_IN => 0, C_HAS_C_OUT => 0, C_BORROW_LOW => 1, C_HAS_CE => 0, C_HAS_BYPASS => 0, C_HAS_SCLR => 0, C_HAS_SSET => 0, C_HAS_SINIT => 0 ) PORT MAP ( A => A, B => B, CLK => '0', ADD => '1', C_IN => '0', CE => '1', BYPASS => '0', SCLR => '0', SSET => '0', SINIT => '0', S => S ); END c_sub_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:c_addsub:12.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY c_addsub_v12_0; USE c_addsub_v12_0.c_addsub_v12_0; ENTITY c_sub IS PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END c_sub; ARCHITECTURE c_sub_arch OF c_sub IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF c_sub_arch: ARCHITECTURE IS "yes"; COMPONENT c_addsub_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_XDEVICEFAMILY : STRING; C_IMPLEMENTATION : INTEGER; C_A_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_OUT_WIDTH : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_A_TYPE : INTEGER; C_B_TYPE : INTEGER; C_LATENCY : INTEGER; C_ADD_MODE : INTEGER; C_B_CONSTANT : INTEGER; C_B_VALUE : STRING; C_AINIT_VAL : STRING; C_SINIT_VAL : STRING; C_CE_OVERRIDES_BYPASS : INTEGER; C_BYPASS_LOW : INTEGER; C_SCLR_OVERRIDES_SSET : INTEGER; C_HAS_C_IN : INTEGER; C_HAS_C_OUT : INTEGER; C_BORROW_LOW : INTEGER; C_HAS_CE : INTEGER; C_HAS_BYPASS : INTEGER; C_HAS_SCLR : INTEGER; C_HAS_SSET : INTEGER; C_HAS_SINIT : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); CLK : IN STD_LOGIC; ADD : IN STD_LOGIC; C_IN : IN STD_LOGIC; CE : IN STD_LOGIC; BYPASS : IN STD_LOGIC; SCLR : IN STD_LOGIC; SSET : IN STD_LOGIC; SINIT : IN STD_LOGIC; C_OUT : OUT STD_LOGIC; S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT c_addsub_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF c_sub_arch: ARCHITECTURE IS "c_addsub_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF c_sub_arch : ARCHITECTURE IS "c_sub,c_addsub_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF c_sub_arch: ARCHITECTURE IS "c_sub,c_addsub_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=c_addsub,x_ipVersion=12.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_XDEVICEFAMILY=zynq,C_IMPLEMENTATION=0,C_A_WIDTH=15,C_B_WIDTH=15,C_OUT_WIDTH=15,C_CE_OVERRIDES_SCLR=0,C_A_TYPE=0,C_B_TYPE=0,C_LATENCY=0,C_ADD_MODE=1,C_B_CONSTANT=0,C_B_VALUE=000000000000000,C_AINIT_VAL=0,C_SINIT_VAL=0,C_CE_OVERRIDES_BYPASS=1,C_BYPASS_LOW=0,C_SCLR_OVERRIDES_SSET=1,C_HAS_C_IN=0,C_HAS_C_OUT=0,C_BORROW_LOW=1,C_HAS_CE=0,C_HAS_BYPASS=0,C_HAS_SCLR=0,C_HAS_SSET=0,C_HAS_SINIT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA"; BEGIN U0 : c_addsub_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_XDEVICEFAMILY => "zynq", C_IMPLEMENTATION => 0, C_A_WIDTH => 15, C_B_WIDTH => 15, C_OUT_WIDTH => 15, C_CE_OVERRIDES_SCLR => 0, C_A_TYPE => 0, C_B_TYPE => 0, C_LATENCY => 0, C_ADD_MODE => 1, C_B_CONSTANT => 0, C_B_VALUE => "000000000000000", C_AINIT_VAL => "0", C_SINIT_VAL => "0", C_CE_OVERRIDES_BYPASS => 1, C_BYPASS_LOW => 0, C_SCLR_OVERRIDES_SSET => 1, C_HAS_C_IN => 0, C_HAS_C_OUT => 0, C_BORROW_LOW => 1, C_HAS_CE => 0, C_HAS_BYPASS => 0, C_HAS_SCLR => 0, C_HAS_SSET => 0, C_HAS_SINIT => 0 ) PORT MAP ( A => A, B => B, CLK => '0', ADD => '1', C_IN => '0', CE => '1', BYPASS => '0', SCLR => '0', SSET => '0', SINIT => '0', S => S ); END c_sub_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:c_addsub:12.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY c_addsub_v12_0; USE c_addsub_v12_0.c_addsub_v12_0; ENTITY c_sub IS PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END c_sub; ARCHITECTURE c_sub_arch OF c_sub IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF c_sub_arch: ARCHITECTURE IS "yes"; COMPONENT c_addsub_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_XDEVICEFAMILY : STRING; C_IMPLEMENTATION : INTEGER; C_A_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_OUT_WIDTH : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_A_TYPE : INTEGER; C_B_TYPE : INTEGER; C_LATENCY : INTEGER; C_ADD_MODE : INTEGER; C_B_CONSTANT : INTEGER; C_B_VALUE : STRING; C_AINIT_VAL : STRING; C_SINIT_VAL : STRING; C_CE_OVERRIDES_BYPASS : INTEGER; C_BYPASS_LOW : INTEGER; C_SCLR_OVERRIDES_SSET : INTEGER; C_HAS_C_IN : INTEGER; C_HAS_C_OUT : INTEGER; C_BORROW_LOW : INTEGER; C_HAS_CE : INTEGER; C_HAS_BYPASS : INTEGER; C_HAS_SCLR : INTEGER; C_HAS_SSET : INTEGER; C_HAS_SINIT : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); CLK : IN STD_LOGIC; ADD : IN STD_LOGIC; C_IN : IN STD_LOGIC; CE : IN STD_LOGIC; BYPASS : IN STD_LOGIC; SCLR : IN STD_LOGIC; SSET : IN STD_LOGIC; SINIT : IN STD_LOGIC; C_OUT : OUT STD_LOGIC; S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT c_addsub_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF c_sub_arch: ARCHITECTURE IS "c_addsub_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF c_sub_arch : ARCHITECTURE IS "c_sub,c_addsub_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF c_sub_arch: ARCHITECTURE IS "c_sub,c_addsub_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=c_addsub,x_ipVersion=12.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_XDEVICEFAMILY=zynq,C_IMPLEMENTATION=0,C_A_WIDTH=15,C_B_WIDTH=15,C_OUT_WIDTH=15,C_CE_OVERRIDES_SCLR=0,C_A_TYPE=0,C_B_TYPE=0,C_LATENCY=0,C_ADD_MODE=1,C_B_CONSTANT=0,C_B_VALUE=000000000000000,C_AINIT_VAL=0,C_SINIT_VAL=0,C_CE_OVERRIDES_BYPASS=1,C_BYPASS_LOW=0,C_SCLR_OVERRIDES_SSET=1,C_HAS_C_IN=0,C_HAS_C_OUT=0,C_BORROW_LOW=1,C_HAS_CE=0,C_HAS_BYPASS=0,C_HAS_SCLR=0,C_HAS_SSET=0,C_HAS_SINIT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA"; BEGIN U0 : c_addsub_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_XDEVICEFAMILY => "zynq", C_IMPLEMENTATION => 0, C_A_WIDTH => 15, C_B_WIDTH => 15, C_OUT_WIDTH => 15, C_CE_OVERRIDES_SCLR => 0, C_A_TYPE => 0, C_B_TYPE => 0, C_LATENCY => 0, C_ADD_MODE => 1, C_B_CONSTANT => 0, C_B_VALUE => "000000000000000", C_AINIT_VAL => "0", C_SINIT_VAL => "0", C_CE_OVERRIDES_BYPASS => 1, C_BYPASS_LOW => 0, C_SCLR_OVERRIDES_SSET => 1, C_HAS_C_IN => 0, C_HAS_C_OUT => 0, C_BORROW_LOW => 1, C_HAS_CE => 0, C_HAS_BYPASS => 0, C_HAS_SCLR => 0, C_HAS_SSET => 0, C_HAS_SINIT => 0 ) PORT MAP ( A => A, B => B, CLK => '0', ADD => '1', C_IN => '0', CE => '1', BYPASS => '0', SCLR => '0', SSET => '0', SINIT => '0', S => S ); END c_sub_arch;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:c_addsub:12.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY c_addsub_v12_0; USE c_addsub_v12_0.c_addsub_v12_0; ENTITY c_sub IS PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END c_sub; ARCHITECTURE c_sub_arch OF c_sub IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF c_sub_arch: ARCHITECTURE IS "yes"; COMPONENT c_addsub_v12_0 IS GENERIC ( C_VERBOSITY : INTEGER; C_XDEVICEFAMILY : STRING; C_IMPLEMENTATION : INTEGER; C_A_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_OUT_WIDTH : INTEGER; C_CE_OVERRIDES_SCLR : INTEGER; C_A_TYPE : INTEGER; C_B_TYPE : INTEGER; C_LATENCY : INTEGER; C_ADD_MODE : INTEGER; C_B_CONSTANT : INTEGER; C_B_VALUE : STRING; C_AINIT_VAL : STRING; C_SINIT_VAL : STRING; C_CE_OVERRIDES_BYPASS : INTEGER; C_BYPASS_LOW : INTEGER; C_SCLR_OVERRIDES_SSET : INTEGER; C_HAS_C_IN : INTEGER; C_HAS_C_OUT : INTEGER; C_BORROW_LOW : INTEGER; C_HAS_CE : INTEGER; C_HAS_BYPASS : INTEGER; C_HAS_SCLR : INTEGER; C_HAS_SSET : INTEGER; C_HAS_SINIT : INTEGER ); PORT ( A : IN STD_LOGIC_VECTOR(14 DOWNTO 0); B : IN STD_LOGIC_VECTOR(14 DOWNTO 0); CLK : IN STD_LOGIC; ADD : IN STD_LOGIC; C_IN : IN STD_LOGIC; CE : IN STD_LOGIC; BYPASS : IN STD_LOGIC; SCLR : IN STD_LOGIC; SSET : IN STD_LOGIC; SINIT : IN STD_LOGIC; C_OUT : OUT STD_LOGIC; S : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT c_addsub_v12_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF c_sub_arch: ARCHITECTURE IS "c_addsub_v12_0,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF c_sub_arch : ARCHITECTURE IS "c_sub,c_addsub_v12_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF c_sub_arch: ARCHITECTURE IS "c_sub,c_addsub_v12_0,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=c_addsub,x_ipVersion=12.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_VERBOSITY=0,C_XDEVICEFAMILY=zynq,C_IMPLEMENTATION=0,C_A_WIDTH=15,C_B_WIDTH=15,C_OUT_WIDTH=15,C_CE_OVERRIDES_SCLR=0,C_A_TYPE=0,C_B_TYPE=0,C_LATENCY=0,C_ADD_MODE=1,C_B_CONSTANT=0,C_B_VALUE=000000000000000,C_AINIT_VAL=0,C_SINIT_VAL=0,C_CE_OVERRIDES_BYPASS=1,C_BYPASS_LOW=0,C_SCLR_OVERRIDES_SSET=1,C_HAS_C_IN=0,C_HAS_C_OUT=0,C_BORROW_LOW=1,C_HAS_CE=0,C_HAS_BYPASS=0,C_HAS_SCLR=0,C_HAS_SSET=0,C_HAS_SINIT=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF A: SIGNAL IS "xilinx.com:signal:data:1.0 a_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF B: SIGNAL IS "xilinx.com:signal:data:1.0 b_intf DATA"; ATTRIBUTE X_INTERFACE_INFO OF S: SIGNAL IS "xilinx.com:signal:data:1.0 s_intf DATA"; BEGIN U0 : c_addsub_v12_0 GENERIC MAP ( C_VERBOSITY => 0, C_XDEVICEFAMILY => "zynq", C_IMPLEMENTATION => 0, C_A_WIDTH => 15, C_B_WIDTH => 15, C_OUT_WIDTH => 15, C_CE_OVERRIDES_SCLR => 0, C_A_TYPE => 0, C_B_TYPE => 0, C_LATENCY => 0, C_ADD_MODE => 1, C_B_CONSTANT => 0, C_B_VALUE => "000000000000000", C_AINIT_VAL => "0", C_SINIT_VAL => "0", C_CE_OVERRIDES_BYPASS => 1, C_BYPASS_LOW => 0, C_SCLR_OVERRIDES_SSET => 1, C_HAS_C_IN => 0, C_HAS_C_OUT => 0, C_BORROW_LOW => 1, C_HAS_CE => 0, C_HAS_BYPASS => 0, C_HAS_SCLR => 0, C_HAS_SSET => 0, C_HAS_SINIT => 0 ) PORT MAP ( A => A, B => B, CLK => '0', ADD => '1', C_IN => '0', CE => '1', BYPASS => '0', SCLR => '0', SSET => '0', SINIT => '0', S => S ); END c_sub_arch;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_20_ch_20_10.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- package ch_20_10 is -- code from book attribute foreign : string; -- end code from book end package ch_20_10; entity and2 is end entity and2; -- code from book architecture accelerated of and2 is attribute foreign of accelerated : architecture is "accelerate/function:and_2in/nocheck"; begin end architecture accelerated; -- end code from book
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_20_ch_20_10.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- package ch_20_10 is -- code from book attribute foreign : string; -- end code from book end package ch_20_10; entity and2 is end entity and2; -- code from book architecture accelerated of and2 is attribute foreign of accelerated : architecture is "accelerate/function:and_2in/nocheck"; begin end architecture accelerated; -- end code from book
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_20_ch_20_10.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- package ch_20_10 is -- code from book attribute foreign : string; -- end code from book end package ch_20_10; entity and2 is end entity and2; -- code from book architecture accelerated of and2 is attribute foreign of accelerated : architecture is "accelerate/function:and_2in/nocheck"; begin end architecture accelerated; -- end code from book
target := expr1 when condition1 else expr2 when condition2 else ... exprN when conditionN;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ENTITY SimpleUnit_b IS PORT(a : IN STD_LOGIC; b : OUT STD_LOGIC ); END SimpleUnit_b; ARCHITECTURE rtl OF SimpleUnit_b IS BEGIN b <= a; END ARCHITECTURE rtl;
package p is type UNSIGNED is array (NATURAL range <>) of bit; function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED; end package; package body p is function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin mainloop: for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; return RESULT; end TO_UNSIGNED; end package body;
library ieee; use ieee.std_logic_1164.all; library work; use work.bus_pkg.all; use work.motor_control_pkg.all; package encoder_module_pkg is type encoder_type is record a : std_logic; b : std_logic; end record encoder_type; component encoder_module generic ( BASE_ADDRESS : integer range 0 to 16#7FFF#); port ( encoder_p : in encoder_type; index_p : in std_logic; load_p : in std_logic; bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; clk : in std_logic); end component; component encoder_module_extended is generic ( BASE_ADDRESS : integer range 0 to 16#7FFF#); port ( encoder_p : in encoder_type; index_p : in std_logic; load_p : in std_logic; bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; clk : in std_logic); end component encoder_module_extended; component encoder_hall_sensor_module is generic ( BASE_ADDRESS : integer range 0 to 16#7FFF#); port ( hall_sensor_p : in hall_sensor_type; load_p : in std_logic; bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; clk : in std_logic); end component encoder_hall_sensor_module; end encoder_module_pkg;
library ieee; use ieee.std_logic_1164.all; library work; use work.bus_pkg.all; use work.motor_control_pkg.all; package encoder_module_pkg is type encoder_type is record a : std_logic; b : std_logic; end record encoder_type; component encoder_module generic ( BASE_ADDRESS : integer range 0 to 16#7FFF#); port ( encoder_p : in encoder_type; index_p : in std_logic; load_p : in std_logic; bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; clk : in std_logic); end component; component encoder_module_extended is generic ( BASE_ADDRESS : integer range 0 to 16#7FFF#); port ( encoder_p : in encoder_type; index_p : in std_logic; load_p : in std_logic; bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; clk : in std_logic); end component encoder_module_extended; component encoder_hall_sensor_module is generic ( BASE_ADDRESS : integer range 0 to 16#7FFF#); port ( hall_sensor_p : in hall_sensor_type; load_p : in std_logic; bus_o : out busdevice_out_type; bus_i : in busdevice_in_type; clk : in std_logic); end component encoder_hall_sensor_module; end encoder_module_pkg;
architecture RTL of FIFO is begin end architecture RTL; -- This should fail architecture RTL of FIFO is signal a : std_logic; begin end architecture RTL; -- This should fail architecture RTL of FIFO is -- Comment signal a : std_logic; begin end architecture RTL; -- This should fail architecture RTL of FIFO is-- Comment signal a : std_logic; begin end architecture RTL; -- This should not fail architecture RTL of FIFO is signal a : std_logic; begin end architecture RTL;
library ieee; use ieee.std_logic_1164.all; use work.decode_pkg.all; use work.common.all; use work.encode_pkg.all; entity decoder_tb is end entity decoder_tb; architecture testbench of decoder_tb is -- inputs signal insn : word; -- outputs signal decoded : decoded_t; procedure verify_r_type (insn_type : in insn_type_t; r_insn : in r_insn_t; rs1, rs2, d : in std_logic_vector(4 downto 0)) is begin print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; case (r_insn) is when R_ADD => assert decoded.alu_func = ALU_ADD report "Invalid ALU function" severity error; when R_SLT => assert decoded.alu_func = ALU_SLT report "Invalid ALU function" severity error; when R_SLTU => assert decoded.alu_func = ALU_SLTU report "Invalid ALU function" severity error; when R_AND => assert decoded.alu_func = ALU_AND report "Invalid ALU function" severity error; when R_OR => assert decoded.alu_func = ALU_OR report "Invalid ALU function" severity error; when R_XOR => assert decoded.alu_func = ALU_XOR report "Invalid ALU function" severity error; when R_SLL => assert decoded.alu_func = ALU_SLL report "Invalid ALU function" severity error; when R_SRL => assert decoded.alu_func = ALU_SRL report "Invalid ALU function" severity error; when R_SUB => assert decoded.alu_func = ALU_SUB report "Invalid ALU function" severity error; when R_SRA => assert decoded.alu_func = ALU_SRA report "Invalid ALU function" severity error; end case; end procedure verify_r_type; -- purpose: verify U-type instruction procedure verify_u_type ( insn_type : in insn_type_t; imm : in word; rd : in std_logic_vector(4 downto 0)) is begin print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; assert decoded.imm = imm report "Invalid Immediate Value" severity error; assert decoded.rd = rd report "Invalid Rd" severity error; end procedure verify_u_type; -- purpose: verify UJ-type instruction procedure verify_uj_type ( insn_type : in insn_type_t; imm : in word; rd : in std_logic_vector(4 downto 0)) is begin -- procedure verify_uj_type print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; assert decoded.imm = imm report "Invalid immediate" severity error; assert decoded.rd = rd report "Invalid Rd" severity error; end procedure verify_uj_type; -- purpose: verify a decoded I-type instruction procedure verify_i_type (insn_type : in insn_type_t; i_type : in i_insn_t; imm : in word; rs1, rd : in std_logic_vector(4 downto 0)) is begin -- procedure verify_i_type print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; assert decoded.imm = imm report "Invalid immediate" severity error; assert decoded.rs1 = rs1 report "Invalid Rs1" severity error; assert decoded.rd = rd report "Invalid Rd" severity error; case (insn_type) is when OP_LOAD => case i_type is when I_LB => assert decoded.load_type = LB report "Invalid load type" severity error; when I_LH => assert decoded.load_type = LH report "Invalid load type" severity error; when I_LW => assert decoded.load_type = LW report "Invalid load type" severity error; when I_LBU => assert decoded.load_type = LBU report "Invalid load type" severity error; when I_LHU => assert decoded.load_type = LHU report "Invalid load type" severity error; when others => assert false report "Unexpected load type" severity error; end case; when OP_ALU => case i_type is when I_ADDI => assert decoded.alu_func = ALU_ADD report "Invalid ALU function" severity error; when I_SLTI => assert decoded.alu_func = ALU_SLT report "Invalid ALU function" severity error; when I_SLTIU => assert decoded.alu_func = ALU_SLTU report "Invalid ALU function" severity error; when I_XORI => assert decoded.alu_func = ALU_XOR report "Invalid ALU function" severity error; when I_ORI => assert decoded.alu_func = ALU_OR report "Invalid ALU function" severity error; when I_ANDI => assert decoded.alu_func = ALU_AND report "Invalid ALU function" severity error; when others => assert false report "Unexpected ALU function" severity error; end case; when OP_JALR => null; when others => assert false report "Unexpected instruction type" severity error; end case; end procedure verify_i_type; procedure verify_s_type (insn_type : in insn_type_t; s_type : in s_insn_t; imm : in word; rs1, rs2 : in std_logic_vector(4 downto 0)) is begin print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; assert decoded.imm = imm report "" severity error; assert decoded.rs1 = rs1 report "Invalid Rs1" severity error; assert decoded.rs2 = rs2 report "Invalid Rs2" severity error; case s_type is when S_SB => assert decoded.store_type = SB report "Invalid store type" severity error; when S_SH => assert decoded.store_type = SH report "Invalid store type" severity error; when S_SW => assert decoded.store_type = SW report "Invalid store type" severity error; when others => assert false report "Unexpected store type" severity error; end case; end procedure verify_s_type; -- purpose: verify a decoded SB-type instruction procedure verify_sb_type ( insn_type : in insn_type_t; imm : in word; branch_type : in branch_type_t; rs1, rs2 : in std_logic_vector(4 downto 0)) is begin -- procedure verify_sb_type print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; assert decoded.imm = imm report "Invalid immediate" severity error; assert decoded.branch_type = branch_type report "Invalid branch type" severity error; assert decoded.rs1 = rs1 report "Invalid Rs1" severity error; assert decoded.rs2 = rs2 report "Invalid Rs2" severity error; end procedure verify_sb_type; -- purpose: verify a decoded I-type shift instruction procedure verify_i_shift ( i_insn : in i_insn_t; shamt : in std_logic_vector(4 downto 0); rs1, rd : in std_logic_vector(4 downto 0)) is begin -- procedure verify_i_shift println("Instruction type: ALU SHIFT"); assert decoded.insn_type = OP_ALU report "Expected OP_ALU" severity error; case i_insn is when I_SLLI => assert decoded.alu_func = ALU_SLL report "Invalid ALU type" severity error; when I_SRLI => assert decoded.alu_func = ALU_SRL report "Invalid ALU type" severity error; when I_SRAI => assert decoded.alu_func = ALU_SRA report "Invalid ALU type" severity error; when others => assert false report "Invalid Shift type" severity error; end case; end procedure verify_i_shift; begin -- architecture test uut : entity work.decoder(behavioral) port map (insn => insn, decoded => decoded); -- purpose: provide stimulus and verification of the RISCV decoder -- type : combinational -- inputs : -- outputs: asserts stimulus_proc : process is begin -- process stimulus_proc -- LUI insn <= encode_u_type(U_LUI, "01010101010101010101", 31); wait for 1 ns; verify_u_type(OP_LUI, "01010101010101010101000000000000", "11111"); -- AUIPC insn <= encode_u_type(U_AUIPC, "10101010101010101010", 21); wait for 1 ns; verify_u_type(OP_AUIPC, "10101010101010101010000000000000", "10101"); -- JAL insn <= encode_uj_type(UJ_JAL, "11010101001010101010", 10); wait for 1 ns; verify_uj_type(OP_JAL, "11111111111110101010010101010100", "01010"); -- JALR insn <= encode_i_type(I_JALR, "110011001100", 6, 5); wait for 1 ns; verify_i_type(OP_JALR, I_JALR, "11111111111111111111110011001100", "00110", "00101"); -- BEQ insn <= encode_sb_type(SB_BEQ, "101101101101", 20, 1); wait for 1 ns; verify_sb_type(OP_BRANCH, "11111111111111111111011011011010", BEQ, "10100", "00001"); -- BNE insn <= encode_sb_type(SB_BNE, "000010000101", 16, 18); wait for 1 ns; verify_sb_type(OP_BRANCH, "00000000000000000000000100001010", BNE, "10000", "10010"); -- BLT insn <= encode_sb_type(SB_BLT, "001011001110", 15, 14); wait for 1 ns; verify_sb_type(OP_BRANCH, "00000000000000000000010110011100", BLT, "01111", "01110"); -- BGE insn <= encode_sb_type(SB_BGE, "001010101001", 13, 12); wait for 1 ns; verify_sb_type(OP_BRANCH, "00000000000000000000010101010010", BGE, "01101", "01100"); -- BLTU insn <= encode_sb_type(SB_BLTU, "001010101001", 13, 12); wait for 1 ns; verify_sb_type(OP_BRANCH, "00000000000000000000010101010010", BLTU, "01101", "01100"); -- BGEU insn <= encode_sb_type(SB_BGEU, "101111000110", 11, 10); wait for 1 ns; verify_sb_type(OP_BRANCH, "11111111111111111111011110001100", BGEU, "01011", "01010"); -- LB insn <= encode_i_type(I_LB, "000111000111", 9, 8); wait for 1 ns; verify_i_type(OP_LOAD, I_LB, "00000000000000000000000111000111", "01001", "01000"); -- LH insn <= encode_i_type(I_LH, "011011011011", 7, 6); wait for 1 ns; verify_i_type(OP_LOAD, I_LH, "00000000000000000000011011011011", "00111", "00110"); -- LW insn <= encode_i_type(I_LW, "011011011010", 5, 4); wait for 1 ns; verify_i_type(OP_LOAD, I_LW, "00000000000000000000011011011010", "00101", "00100"); -- LBU insn <= encode_i_type(I_LBU, "110110110110", 3, 2); wait for 1 ns; verify_i_type(OP_LOAD, I_LBU, "11111111111111111111110110110110", "00011", "00010"); -- LHU insn <= encode_i_type(I_LHU, "111111111111", 1, 0); wait for 1 ns; verify_i_type(OP_LOAD, I_LHU, "11111111111111111111111111111111", "00001", "00000"); -- SB insn <= encode_s_type(S_SB, "011111111110", 21, 22); wait for 1 ns; verify_s_type(OP_STORE, S_SB, "00000000000000000000011111111110", "10101", "10110"); -- SH insn <= encode_s_type(S_SH, "011111111110", 21, 22); wait for 1 ns; verify_s_type(OP_STORE, S_SH, "00000000000000000000011111111110", "10101", "10110"); -- SW insn <= encode_s_type(S_SW, "001111111110", 23, 24); wait for 1 ns; verify_s_type(OP_STORE, S_SW, "00000000000000000000001111111110", "10111", "11000"); -- ADDI insn <= encode_i_type(I_ADDI, "111111111111", 25, 26); wait for 1 ns; verify_i_type(OP_ALU, I_ADDI, "11111111111111111111111111111111", "11001", "11010"); -- SLTI insn <= encode_i_type(I_SLTI, "111111111110", 27, 28); wait for 1 ns; verify_i_type(OP_ALU, I_SLTI, "11111111111111111111111111111110", "11011", "11100"); -- SLTIU insn <= encode_i_type(I_SLTIU, "111111111100", 29, 30); wait for 1 ns; verify_i_type(OP_ALU, I_SLTIU, "11111111111111111111111111111100", "11101", "11110"); -- XORI insn <= encode_i_type(I_XORI, "111111111110", 31, 30); wait for 1 ns; verify_i_type(OP_ALU, I_XORI, "11111111111111111111111111111110", "11111", "11110"); -- ORI insn <= encode_i_type(I_ORI, "111111111110", 1, 2); wait for 1 ns; verify_i_type(OP_ALU, I_ORI, "11111111111111111111111111111110", "00001", "00010"); -- ANDI insn <= encode_i_type(I_ANDI, "111111111110", 3, 4); wait for 1 ns; verify_i_type(OP_ALU, I_ANDI, "11111111111111111111111111111110", "00011", "00100"); -- SLLI insn <= encode_i_shift(I_SLLI, "11100", 5, 6); wait for 1 ns; verify_i_shift(I_SLLI, "11100", "00101", "00110"); -- SRLI insn <= encode_i_shift(I_SRLI, "11101", 7, 8); wait for 1 ns; verify_i_shift(I_SRLI, "11101", "00101", "00110"); -- SRAI insn <= encode_i_shift(I_SRAI, "11110", 9, 10); wait for 1 ns; verify_i_shift(I_SRAI, "11110", "00101", "00110"); -- ADD insn <= encode_r_type(R_ADD, 2, 4, 8); wait for 1 ns; verify_r_type(OP_ALU, R_ADD, "00010", "00100", "01000"); -- SUB insn <= encode_r_type(R_SUB, 16, 31, 1); wait for 1 ns; verify_r_type(OP_ALU, R_SUB, "10000", "11111", "00001"); -- SLL insn <= encode_r_type(R_SLL, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_SLL, "00000", "00000", "00000"); -- SLT insn <= encode_r_type(R_SLT, 16, 8, 4); wait for 1 ns; verify_r_type(OP_ALU, R_SLT, "10000", "01000", "00100"); -- SLTU insn <= encode_r_type(R_SLTU, 24, 12, 6); wait for 1 ns; verify_r_type(OP_ALU, R_SLTU, "11000", "01100", "00110"); -- XOR insn <= encode_r_type(R_XOR, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_XOR, "00000", "00000", "00000"); -- SRL insn <= encode_r_type(R_SRL, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_SRL, "00000", "00000", "00000"); -- SRA insn <= encode_r_type(R_SRA, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_SRA, "00000", "00000", "00000"); -- OR insn <= encode_r_type(R_OR, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_OR, "00000", "00000", "00000"); -- AND insn <= encode_r_type(R_AND, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_AND, "00000", "00000", "00000"); -- @todo others ---------------------------------------------------------------- println("Verification complete"); ---------------------------------------------------------------- wait; end process stimulus_proc; end architecture testbench;
library ieee; use ieee.std_logic_1164.all; use work.decode_pkg.all; use work.common.all; use work.encode_pkg.all; entity decoder_tb is end entity decoder_tb; architecture testbench of decoder_tb is -- inputs signal insn : word; -- outputs signal decoded : decoded_t; procedure verify_r_type (insn_type : in insn_type_t; r_insn : in r_insn_t; rs1, rs2, d : in std_logic_vector(4 downto 0)) is begin print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; case (r_insn) is when R_ADD => assert decoded.alu_func = ALU_ADD report "Invalid ALU function" severity error; when R_SLT => assert decoded.alu_func = ALU_SLT report "Invalid ALU function" severity error; when R_SLTU => assert decoded.alu_func = ALU_SLTU report "Invalid ALU function" severity error; when R_AND => assert decoded.alu_func = ALU_AND report "Invalid ALU function" severity error; when R_OR => assert decoded.alu_func = ALU_OR report "Invalid ALU function" severity error; when R_XOR => assert decoded.alu_func = ALU_XOR report "Invalid ALU function" severity error; when R_SLL => assert decoded.alu_func = ALU_SLL report "Invalid ALU function" severity error; when R_SRL => assert decoded.alu_func = ALU_SRL report "Invalid ALU function" severity error; when R_SUB => assert decoded.alu_func = ALU_SUB report "Invalid ALU function" severity error; when R_SRA => assert decoded.alu_func = ALU_SRA report "Invalid ALU function" severity error; end case; end procedure verify_r_type; -- purpose: verify U-type instruction procedure verify_u_type ( insn_type : in insn_type_t; imm : in word; rd : in std_logic_vector(4 downto 0)) is begin print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; assert decoded.imm = imm report "Invalid Immediate Value" severity error; assert decoded.rd = rd report "Invalid Rd" severity error; end procedure verify_u_type; -- purpose: verify UJ-type instruction procedure verify_uj_type ( insn_type : in insn_type_t; imm : in word; rd : in std_logic_vector(4 downto 0)) is begin -- procedure verify_uj_type print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; assert decoded.imm = imm report "Invalid immediate" severity error; assert decoded.rd = rd report "Invalid Rd" severity error; end procedure verify_uj_type; -- purpose: verify a decoded I-type instruction procedure verify_i_type (insn_type : in insn_type_t; i_type : in i_insn_t; imm : in word; rs1, rd : in std_logic_vector(4 downto 0)) is begin -- procedure verify_i_type print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; assert decoded.imm = imm report "Invalid immediate" severity error; assert decoded.rs1 = rs1 report "Invalid Rs1" severity error; assert decoded.rd = rd report "Invalid Rd" severity error; case (insn_type) is when OP_LOAD => case i_type is when I_LB => assert decoded.load_type = LB report "Invalid load type" severity error; when I_LH => assert decoded.load_type = LH report "Invalid load type" severity error; when I_LW => assert decoded.load_type = LW report "Invalid load type" severity error; when I_LBU => assert decoded.load_type = LBU report "Invalid load type" severity error; when I_LHU => assert decoded.load_type = LHU report "Invalid load type" severity error; when others => assert false report "Unexpected load type" severity error; end case; when OP_ALU => case i_type is when I_ADDI => assert decoded.alu_func = ALU_ADD report "Invalid ALU function" severity error; when I_SLTI => assert decoded.alu_func = ALU_SLT report "Invalid ALU function" severity error; when I_SLTIU => assert decoded.alu_func = ALU_SLTU report "Invalid ALU function" severity error; when I_XORI => assert decoded.alu_func = ALU_XOR report "Invalid ALU function" severity error; when I_ORI => assert decoded.alu_func = ALU_OR report "Invalid ALU function" severity error; when I_ANDI => assert decoded.alu_func = ALU_AND report "Invalid ALU function" severity error; when others => assert false report "Unexpected ALU function" severity error; end case; when OP_JALR => null; when others => assert false report "Unexpected instruction type" severity error; end case; end procedure verify_i_type; procedure verify_s_type (insn_type : in insn_type_t; s_type : in s_insn_t; imm : in word; rs1, rs2 : in std_logic_vector(4 downto 0)) is begin print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; assert decoded.imm = imm report "" severity error; assert decoded.rs1 = rs1 report "Invalid Rs1" severity error; assert decoded.rs2 = rs2 report "Invalid Rs2" severity error; case s_type is when S_SB => assert decoded.store_type = SB report "Invalid store type" severity error; when S_SH => assert decoded.store_type = SH report "Invalid store type" severity error; when S_SW => assert decoded.store_type = SW report "Invalid store type" severity error; when others => assert false report "Unexpected store type" severity error; end case; end procedure verify_s_type; -- purpose: verify a decoded SB-type instruction procedure verify_sb_type ( insn_type : in insn_type_t; imm : in word; branch_type : in branch_type_t; rs1, rs2 : in std_logic_vector(4 downto 0)) is begin -- procedure verify_sb_type print_insn(insn_type); assert decoded.insn_type = insn_type report "Invalid instruction type" severity error; assert decoded.imm = imm report "Invalid immediate" severity error; assert decoded.branch_type = branch_type report "Invalid branch type" severity error; assert decoded.rs1 = rs1 report "Invalid Rs1" severity error; assert decoded.rs2 = rs2 report "Invalid Rs2" severity error; end procedure verify_sb_type; -- purpose: verify a decoded I-type shift instruction procedure verify_i_shift ( i_insn : in i_insn_t; shamt : in std_logic_vector(4 downto 0); rs1, rd : in std_logic_vector(4 downto 0)) is begin -- procedure verify_i_shift println("Instruction type: ALU SHIFT"); assert decoded.insn_type = OP_ALU report "Expected OP_ALU" severity error; case i_insn is when I_SLLI => assert decoded.alu_func = ALU_SLL report "Invalid ALU type" severity error; when I_SRLI => assert decoded.alu_func = ALU_SRL report "Invalid ALU type" severity error; when I_SRAI => assert decoded.alu_func = ALU_SRA report "Invalid ALU type" severity error; when others => assert false report "Invalid Shift type" severity error; end case; end procedure verify_i_shift; begin -- architecture test uut : entity work.decoder(behavioral) port map (insn => insn, decoded => decoded); -- purpose: provide stimulus and verification of the RISCV decoder -- type : combinational -- inputs : -- outputs: asserts stimulus_proc : process is begin -- process stimulus_proc -- LUI insn <= encode_u_type(U_LUI, "01010101010101010101", 31); wait for 1 ns; verify_u_type(OP_LUI, "01010101010101010101000000000000", "11111"); -- AUIPC insn <= encode_u_type(U_AUIPC, "10101010101010101010", 21); wait for 1 ns; verify_u_type(OP_AUIPC, "10101010101010101010000000000000", "10101"); -- JAL insn <= encode_uj_type(UJ_JAL, "11010101001010101010", 10); wait for 1 ns; verify_uj_type(OP_JAL, "11111111111110101010010101010100", "01010"); -- JALR insn <= encode_i_type(I_JALR, "110011001100", 6, 5); wait for 1 ns; verify_i_type(OP_JALR, I_JALR, "11111111111111111111110011001100", "00110", "00101"); -- BEQ insn <= encode_sb_type(SB_BEQ, "101101101101", 20, 1); wait for 1 ns; verify_sb_type(OP_BRANCH, "11111111111111111111011011011010", BEQ, "10100", "00001"); -- BNE insn <= encode_sb_type(SB_BNE, "000010000101", 16, 18); wait for 1 ns; verify_sb_type(OP_BRANCH, "00000000000000000000000100001010", BNE, "10000", "10010"); -- BLT insn <= encode_sb_type(SB_BLT, "001011001110", 15, 14); wait for 1 ns; verify_sb_type(OP_BRANCH, "00000000000000000000010110011100", BLT, "01111", "01110"); -- BGE insn <= encode_sb_type(SB_BGE, "001010101001", 13, 12); wait for 1 ns; verify_sb_type(OP_BRANCH, "00000000000000000000010101010010", BGE, "01101", "01100"); -- BLTU insn <= encode_sb_type(SB_BLTU, "001010101001", 13, 12); wait for 1 ns; verify_sb_type(OP_BRANCH, "00000000000000000000010101010010", BLTU, "01101", "01100"); -- BGEU insn <= encode_sb_type(SB_BGEU, "101111000110", 11, 10); wait for 1 ns; verify_sb_type(OP_BRANCH, "11111111111111111111011110001100", BGEU, "01011", "01010"); -- LB insn <= encode_i_type(I_LB, "000111000111", 9, 8); wait for 1 ns; verify_i_type(OP_LOAD, I_LB, "00000000000000000000000111000111", "01001", "01000"); -- LH insn <= encode_i_type(I_LH, "011011011011", 7, 6); wait for 1 ns; verify_i_type(OP_LOAD, I_LH, "00000000000000000000011011011011", "00111", "00110"); -- LW insn <= encode_i_type(I_LW, "011011011010", 5, 4); wait for 1 ns; verify_i_type(OP_LOAD, I_LW, "00000000000000000000011011011010", "00101", "00100"); -- LBU insn <= encode_i_type(I_LBU, "110110110110", 3, 2); wait for 1 ns; verify_i_type(OP_LOAD, I_LBU, "11111111111111111111110110110110", "00011", "00010"); -- LHU insn <= encode_i_type(I_LHU, "111111111111", 1, 0); wait for 1 ns; verify_i_type(OP_LOAD, I_LHU, "11111111111111111111111111111111", "00001", "00000"); -- SB insn <= encode_s_type(S_SB, "011111111110", 21, 22); wait for 1 ns; verify_s_type(OP_STORE, S_SB, "00000000000000000000011111111110", "10101", "10110"); -- SH insn <= encode_s_type(S_SH, "011111111110", 21, 22); wait for 1 ns; verify_s_type(OP_STORE, S_SH, "00000000000000000000011111111110", "10101", "10110"); -- SW insn <= encode_s_type(S_SW, "001111111110", 23, 24); wait for 1 ns; verify_s_type(OP_STORE, S_SW, "00000000000000000000001111111110", "10111", "11000"); -- ADDI insn <= encode_i_type(I_ADDI, "111111111111", 25, 26); wait for 1 ns; verify_i_type(OP_ALU, I_ADDI, "11111111111111111111111111111111", "11001", "11010"); -- SLTI insn <= encode_i_type(I_SLTI, "111111111110", 27, 28); wait for 1 ns; verify_i_type(OP_ALU, I_SLTI, "11111111111111111111111111111110", "11011", "11100"); -- SLTIU insn <= encode_i_type(I_SLTIU, "111111111100", 29, 30); wait for 1 ns; verify_i_type(OP_ALU, I_SLTIU, "11111111111111111111111111111100", "11101", "11110"); -- XORI insn <= encode_i_type(I_XORI, "111111111110", 31, 30); wait for 1 ns; verify_i_type(OP_ALU, I_XORI, "11111111111111111111111111111110", "11111", "11110"); -- ORI insn <= encode_i_type(I_ORI, "111111111110", 1, 2); wait for 1 ns; verify_i_type(OP_ALU, I_ORI, "11111111111111111111111111111110", "00001", "00010"); -- ANDI insn <= encode_i_type(I_ANDI, "111111111110", 3, 4); wait for 1 ns; verify_i_type(OP_ALU, I_ANDI, "11111111111111111111111111111110", "00011", "00100"); -- SLLI insn <= encode_i_shift(I_SLLI, "11100", 5, 6); wait for 1 ns; verify_i_shift(I_SLLI, "11100", "00101", "00110"); -- SRLI insn <= encode_i_shift(I_SRLI, "11101", 7, 8); wait for 1 ns; verify_i_shift(I_SRLI, "11101", "00101", "00110"); -- SRAI insn <= encode_i_shift(I_SRAI, "11110", 9, 10); wait for 1 ns; verify_i_shift(I_SRAI, "11110", "00101", "00110"); -- ADD insn <= encode_r_type(R_ADD, 2, 4, 8); wait for 1 ns; verify_r_type(OP_ALU, R_ADD, "00010", "00100", "01000"); -- SUB insn <= encode_r_type(R_SUB, 16, 31, 1); wait for 1 ns; verify_r_type(OP_ALU, R_SUB, "10000", "11111", "00001"); -- SLL insn <= encode_r_type(R_SLL, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_SLL, "00000", "00000", "00000"); -- SLT insn <= encode_r_type(R_SLT, 16, 8, 4); wait for 1 ns; verify_r_type(OP_ALU, R_SLT, "10000", "01000", "00100"); -- SLTU insn <= encode_r_type(R_SLTU, 24, 12, 6); wait for 1 ns; verify_r_type(OP_ALU, R_SLTU, "11000", "01100", "00110"); -- XOR insn <= encode_r_type(R_XOR, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_XOR, "00000", "00000", "00000"); -- SRL insn <= encode_r_type(R_SRL, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_SRL, "00000", "00000", "00000"); -- SRA insn <= encode_r_type(R_SRA, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_SRA, "00000", "00000", "00000"); -- OR insn <= encode_r_type(R_OR, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_OR, "00000", "00000", "00000"); -- AND insn <= encode_r_type(R_AND, 0, 0, 0); wait for 1 ns; verify_r_type(OP_ALU, R_AND, "00000", "00000", "00000"); -- @todo others ---------------------------------------------------------------- println("Verification complete"); ---------------------------------------------------------------- wait; end process stimulus_proc; end architecture testbench;
----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- -- -- This file is part of the DE0_Nano_Linux project -- -- http://www.de0nanolinux.com -- -- -- -- Author(s): -- -- - Helmut, [email protected] -- -- -- ----------------------------------------------------------------------------- -- -- -- Copyright (C) 2015 Authors and www.de0nanolinux.com -- -- -- -- This program is free software: you can redistribute it and/or modify -- -- it under the terms of the GNU General Public License as published by -- -- the Free Software Foundation, either version 3 of the License, or -- -- (at your option) any later version. -- -- -- -- This program is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- -- GNU General Public License for more details. -- -- -- -- You should have received a copy of the GNU General Public License -- -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity strobe_gen is port ( clock : in std_ulogic; n_reset_async : in std_ulogic; strobe_output : out std_ulogic ); end strobe_gen; architecture rtl of strobe_gen is begin end architecture rtl;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jil4IvgVMvTDCe1v/NxeuERnTlA5p6U4lkoNWlRM4I12XciN7RcaSd9VzFqHU5CnflWAVtHLTlV6 twEOya3ffQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Woa5fw7+m9/rT3WFrKFNyQp3CEh9JxVTrjZbvODWT2xbBagiFOs9QW/CgGMOaKbySUTQ17kpANvr TwZPJ4/11EttPhMOZ3gsQhcC91dD/qP57l8rQFZ726PNhFn/65bRSHD1rxIxnt9WXAVqtbPsAGVb ydrXHHIEzRWEiGD+yOM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wg8Jjuv0R2oQs1z+NYspS7XiYNezKmfCLxe4vYoGi/vHIDF4uXL//COI4Z5XoNBZMqz0N4FICVhT pcMxyJD7i2kavDcKF1INsx1AHTGEn2+ABcXkQJGyzxd0E2xHQdBer0qYKNYUSxhRgg6XWpPaQQxx NSU4HgKDSoX71xxYQ3tdeJVqYm+63x9hCO1RqDdHeqHqltgLHv8am/Io7q+Y5hVDwSAP52iAFMe9 Ol9/0mT2+YdFiDEPmFjtlhwnOcYus0EPX7rcdlU2jVeFW9sF0HNdD4mfTSXiIpsW6h3CAJyO3in1 xLn7WMs7XqPDP6bhwoD45r8/rkmt9rh1fzZriw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 27GtccqyyI5l4ofoWmNKOGbLIi8U1C8bBaJ36rGKl43ATXYvQcIu/TZlQsc5ZibMv4yrFONC0i18 7qj5cMNMBYNF84+7f37tNbrwpPSKGY9KEG7PNbd0sINuOIVRWm779eracT1bZUzkRBYZqMnoJhXf ku/X8ElSB0Q1rsO1HLE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kTNJfMX8qQuvaXgF4YOtU6jO3ft+J8zyTI5slGt5t08gFPZ23+eoKzJcau/6P4U3rqjtLsjLp/dQ DikuuJrEp5K1Oy02tC5/cIybS8fDgu+4vGFKWXzLxixBmI/DPTYB+6NxuUyl2F70KAzsfuLQqSZF /1vRQlZuyCjqZDsJYQIoZHUpkGSV0wOl6kBgDfblZNyvO1o3B5hjReG4qJK1zffXqRw5l4kO30l6 evjHTB97+I8jRrDCwROg3Oo286/bSJpnXs6ICVmn8EEcFUmZ4BzPK1I9XFJJW57KwHpnDLKlHvGG Rj8NGO9D57xOL65c600oQ8AUHItrH6V4MC5oww== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50528) `protect data_block eHiboXlGUu/atNexXjeLBxPPSld8oUWJPo+lchA03vv4gMbp4tO/GdtD8Wn7OLNGNzPcrFuKF24B R8Kt4shpew47FNWUOjI0cnWG2wGGeG0MIz1QiK7YRaiAPEdReK82zDy7ZroJgIRaiFkv3ftLOhfd J+oyevIcqMIHQt4rKvE2oF5+XyO1sP0Q2MT49vFgfK7AdxtLYk7OlM1V8pVAYiI8dEW2umLScB0E fY7Dp6KhPnF/lnnujp/2c8uWZ2LzU68RB27yX3v4cNjYrajyARlQjj249krWnu3G/FiPkTf3qvzv Tm8k7lf+boiwwLIMhKwx0x4kkzvk//JXP7df/jbo3lUDTQhlkTzd408cGYJ1iZmEf5GbQc0AanF7 EXgtiEGZPVatDn1I/K+gTtqBgY1BcLmQBZywBtreHhW6QDkys92wpAprutXok4SqbZoRQJV81ct9 kplchL5waPTKqix+WdeWXC8Rt9Lkir5f/u/keUuh2nEszP986/2LCi1NGyFiSZlF+QK7oD+NdwvB Ydyw8y7WxPQEOwamKCdXg3YLPY3fffryuObwU3HLPPkTrznSY1+sSDZCgrkjYtlYL7hQqoA0Xayq zR95aaILN0+jH2Tq1/Iol48q7Eb7w6Gou7sMXl5RCyqEBDUHFtJN6PMnYrarxUzzIBFnGp7vwxP5 cR3uxRTQEPvFNk+YA0MgM4l2oL9l2h/DoxF4MaxYeVJ4ScDoGsETz8FciQYX1CLhiW39ORIRt/5R zLK4vtnHAeQ2eKGSpcVK2riIpj7TFtvHImh7tB65j+62OtQ/oiJReQDoLzRRZDThuGOWHCX0+okS 7CKpoZQcHdeKuWQS/R2R+7+yjZ0FBb8Pfs+ggkYP/VMpTc6r7cLoII5x5H+il2lRqmLcgn2J1jJO 1rPqJypRYggZ+jIvCHWmyUsr4z2ZhpRnghh+PN1fd+mbzld/86wqCwY7rKF1zpqpN+C1xIMSuQHE 2qhBtHHSCpOL10SE/gVVCROnZxu1iQtGBs5w80nXFi1vC2lX+ZFIs1zKAsQmJW2AXQMp3ATDfl8D ZVLwaiO90D5jvvC7yqbja8Um81hd01DyKaWtj5MGKFCsw8Zy74WSLi97lvZnk2oCFxV3fX6Netis 64FyD8mtmGXml2vUkaPnEgBrIQ26JXM6gf51C9nnfd7YW6XFSclqU5QInPdQawW/nu9PS90+RA9X FgAZYJbcXd0jzic4jP7GDZURM17eYqkc6H2ObBYhjFur2sZpzPZ8B3bDWoBxZB5g+y5Fci0ag8bO TLLoiW1INdRbwbffGPLjLtVUuRsC4VHrKSEKuntY9viWNtATvUOLIVsMFrAsYsiOc7TLlcFg+jfu Hw4QuOphSedSQdb8etU+hQFll5/K7DzlJxkqOSM2MUraxYa/dw9t8N8t5X++zsteAy3db2jTYFq7 FljLskSq2vq/mfkW16AatSzfuuKUnbRY2aJwfsauYoZ6qcdLp2aiS7s/eQ8QRIk1DoyiNR4xGnNB 6UBgErJr6Wnc/pQtRqgy+i+Jg0wI6ia6UddcSROgq4Db+fkXZ54jsxnzV8OM9yt9XZgSvoQZyRYX e/rb4MEMn6jgokLvg72JVUBnxC0SCL6TytpUEPn/zcVZBS5IPltSrOCtYLfpeAKdZxhyTX4SQ1pq 8ykxw2D6rp+02/aykpu9Kmc2+aqUAXtqmYA7+YGf2BulTxXulh487pgZlTskzvQj07msjCzckjmL 76UpZo86nV8Q9SjoA0n7cTBm5NM5iGK45mp3ul25DzOraISZe2tzyVvsm/P0E/P3uxeWocSLGX56 xdry7DzQrGwl6IU0LoalPJv6ehk8CKuSlQ63cLQmjilnNaapXfHE99rS0qbMppoxa7Co90Ciq7gP cf5Ozx5XQ79O3R4i375407PP8OczoT68iMv9Hr57Om9RzljDtsXJ/FNZ8DP7WUw1V0LzGYbJHUN+ /pjrrjHRk8DKpvOO+uhJW1p9WLhJTfX518zbsP5Nb+qiztLKhp5wSIhvTL9zoSrD83FxnCpQ1dj6 AwFmnMKntcxBjtCRMmMrca3cdFfWnaprpWm7gtjEBzgkhfVehLIIV1fm6fstgRZ6tqJO3iSBPZff M9C8gO2bjybSX5vshxX0J01/U5NJEtzV8BsoCrNfQ9buWQYnwYzZZvxd07KLj3SzgiaenOcKyKvv IGUALrXFMqOez5BvDFj+1v1o7WPzcUAr87pb+xxOGfJ29chPuXKa0l7pwYWaHOrPZRhW1APZ+yf+ o1DIUPAjr3nyg/0QJ8yCeZqwTQCDzzsh5fGB7cLz+MH+LnOpakG3aZUI9Ej2LGma9SWZhlEMqZ6z Fc29mxDaO8HEXFg9L8etU5fpP4fweR5gkVV2UNThiy1grKH02aHt1lEycRoSjO8kdnKjm96WT8EB slbZAtYy3opIk81jEydiAuDAmmWLIU5Vv7zJSds+MhbkknSTcI5LAEigSnLvkv/4qDgsWdq/dLQM OOZUPDGJSPH96UP7TLiT5Fqq1p4z14O1OnXugXUkgrDQF7s/L4ZIX9X5PkNnXz9uRAizmv5fbsnH fD+sZplmnMxJOQBc5/osCPjEU+cxGiMBk9SlCnqvBXIKewSdlL/qs99gihhlw9g+VBcfApbhHyvj ge3lsiRf+ddnDjxKdmWFPduPAx/kEYJfT5zFHrcf6Fb3id2zG9YIyG5scBLkeKw72tzuI0Gc62WT V+VqoWIgtud4ri9SUfrcD2+yrte0hXAAGF/lFlHj1we5yVgGjT7lJVGBV/PqQDB+mZG1NoEx5IRc z2rC6wB7267QHCngKK0KxXQ7fepRmnVXQxBw0uqYWj8DOBGJbJ6cN1cPsOe2lKI9P7BI26feW9PF TfnSpZ4vJxwkMFR4UZmjk6/fsm/h7l055IhMPbdaUg1UDvEwsBliS+f0SlHwsXDTj3a7/q+4hfmH +0JGWlj7HWxlfiPwSjA6myNUb90gGRbyNYMLtWyElEcmQ2Yvf2+mGx8SjZxOKRDh8gAPoqaeMPe9 Pwc8w8buGijN3nfF/jzc0L5b0T/HDBn0RgMGMC96RujJiG1WmFJCoBfXzgJMZRu7LvsnZQUhut4t ROZiLPCd18JS43rJfci3Mw/xrMSsBtlOpbwjc0PfkZba/cvWO+LrV1zQqhBJ1xyszvNgVsIfC+lM Dpf3VQ55c63gWYVAShttU4yVqoHhRd7e95iNxyQNNTWea2M2zyKwRyTBX+2XCT6dZNrbC1tXpefo tlbeili+0VqPPKztIz1RmfJAX0HwMfU0BEjh0PPjwp82Yan+dL5fey31bwLQ51GXggcujJYLPTDV BX2ZoqxrtGZWg2XgMVeLEU371II48rNuGaaXKeSjPmNVjJmevX2IT5CkzwcxoJiKU15q8T+WfYNj XLSuA2cN/C/tfqWiDMrdRuwpzZjHcAimt6F529U3BpGwBufvw+RaANeLRifkEWd3nF6PHh4V6Joo 99yTdOc4k2VBC1qsnAcMhqRrhPI/ubLOsYgqYdw11Uqj1Y+Da1Fz38c4MMjsE/AVAuiepTJ8BVIq 1P0oR6NpLWYktmBFlVWCv4h98mgfFqcmRo8raDn///bcguTcA3TL9cUm6eswxwD4z6FK5LE1TX59 uNn/2bNCAT81d+3MjK5VstUb1kyPMsxuscTRfp2zB0HuV44qIQeHviSfOF5zMDPg05Tj4A7yRCyf Qd78V3CK2cI3Rfb4KhFRcKLoMyKcQ5sbBYqR5Xi4iRqdUNcqCkuZ3DUS1wSutKTKovlmUpKAM15x e8/9dSoK+rvjDTK1rqJsan51kvb7JuYYXs5DF+HbIRCoVwq8MPdwpyIq3V5Cz0YSnwEenWPp4Lrd EZWvyqzMjdRff4SxkU6anAsXckOh7kA+4naSg0fsBy1/z4Vn0dUxcskzguNtu0HdlFC5vHkX+dNa VbHce8Iy06CvO0CVykrsbMM0rFCHJ4M2921PgyZVcdYGoPy+1Jt6BLzJ+Y548y6VcIK/NFIytIYm QX+H0cNfXpDqQdWVBL70oA4ycYooAnfyTxFluWMZ+ieLUsm7j4JMgC0RhDiHkZA2sF+Pl5qoVIF0 3JTM7L9wVB29ejdskIGTUZwn5ko5dXfYntHCcLF1ddApdtnV3Hp/YaYEDvrzsBDF63cdDR/anvJ6 AwPp0qH5HKvmMhx/nZzvxFGoliPgQnpkF3mPF4MJOpkZvHljdJPyB2fbh2hWhMAaUREo3udYbWO0 8jFRWB4jr5yQZE5p018jvYanrdw1UHaNW6yJyCo3gzzWNCZnKKJubEXqAM4WG4HdaZbRJXKl27nF qUNDdBFCAHX+K9yCGpQTritfpqoKb/iGRUVvuWKWXgtake+LOuTSJTuf7B3tCjf7V2Mzd2WfJJ3H 9c1wjmdk1QUyGEyWJcLe87p7wfaSzQvO4wHqZDMQ1LyNM5hfb3VpNltdc30s8OrU8LnHMPN5xRXK JAVeGiVpEfBfk8eXjTeK5JowM2a2QPXqyHPRCzozL4GnFHZBYCL0N5IeaHMKRkCfrkH3m2GkGwjM vdpQewxWx8Byu9P+U31SpyBctb8sVVE1SNr0Nh5aprrdqTOCPn3u9zyYYMfrWocUFdTDff35dZvz XIsDrmzi5SD2evXLA6ekxOkpLNVMN/+rtJfpNZT7/CtGVymhhM1+Xu6Jn18Xz8l/kKuOBUeB4JQ9 xioUgxANMbeOPzUNqVk4OGnPbDmhCmApdkC7ygQ5Qff70UUFNi+BM/vfcdscxKCSl4m4u1fH8wwJ GkG27U/vHApDqKWSuTprAEt9dpmPpPlQCWS1ij89TlYH0P7eWJ0fKFkyzkR1w6d6Ocm6W0pWmDeZ EEDwkjqKtPoKxPZVoWwRDvIYBhY/0ffOvSg/tknJjfzzQSGGLA+K31/hOty78FUuZ8EZeuHtNcD0 lGZjyip5ucCiyYRsALg1jaAoh+dYsA18cnZe/aCGtjX7Q++Vkd5OKXgJb9cqJt0YzTqGVn3sD+5u ckTuY52zNSLlIDWBVVh51lIbPQh9LtWWAbdvdEjrPaU4GuKd4L09yMOD7sJSVvH9B3weuJpQethI bJtZkmNilwjM7rsGi3GoC6uCyFbp81EMoo+RejWNhRhah1juIXPn6jyy4nKKQIipQweSHdeB6NoB KqfCgP5/O0blQENCyaKBdQpKlZze2Jt2rh+QrCUbJbCii/rmgVUHuWZaIQWBjWQBxAy3gRMhEQQx 5hGC7MzIMy6Y8XN+OktVetmAA1zFwQyxvJeTgHRiyZJk0pjroKHz59bcFkTqWk/itMFyg1xbnuMm x4UmXnDOFNfdwOIal3T6HfJRaR2IccIU9kfGzUUBYFzNCIadq2YLDqWGY6mbIJtdSTBKcZR8pPw4 LdY6mOCaYvIBvfvuwCgz+oDsoMr82AD8O5ZKLK7vNtViDFHwgJWhXFt2wF3daHF3uWUQ7U5LVd9Z ZraAIHBWSNvIaeeyLwKKUNcuj4SMRxBzQjy+izgOedXhQEWRzrmlfvjsWegC1ZEgLmbZHakrTYpN oaAdhHZ12na9zpoLXXX/XT/qCKwyMXC1l+wtP50qw0ZsN4eaAcGxDt5zOeO+VSSDw3SAWRdP2sQ6 94gA8wNkLHV4l3XcTXE2rrkIf+AvecgQ/UQSUk+xub4C7yCDMXfRR79g/CSzp1O8x6RA48Y8RfDG kS8iHPhUiiPt4kZ4lzv1oHKhFHaOULp1in6HShw+hRd1+UBAIvRoVAyMCOWPFSBLu6PfLCUOVJsL 397O0K7tlxEjJEQJyTDtsvIdmJNxM1lgHcKLxXVi9jE3qRdc/AgsO9m8T+HNngtojiOyIG8CGdmO G1bYjJH/WrYiz1tbZThyOd4wQoakryqAjLbYRFIU2n3Ej8Gib8B5mAOLCMTpIPnBscJmZm9Dm/CB e9WcbdeVQSTv8/OFhcLXAHrbLfc96FwzTp28HUnusOtWesCmT7nSB2XdDNnXIEpWMKFXhod2Nta1 DIOkM4eU0Vtl7czp1JSOFiNdjT1q4F8XMUKI9EEBlX32Y8lHKdLmkXCckmZK+/hsGyrZMe9Jumor ETTDdCtocD//LpJvicdVRQ/QCcmAI9ODV4NubNbDU+Iv8wz9ImZCB8lOPWKSWCHhc0su6U9329EO M7PCbIG1lKkQ11h8ayC9RO+ms4tGWoCLL9QFfsykLuOKeYsEuB+b0DaYqxaKxs+md9kVgmHhAe6h GFwHZFkPTMKFJKwlY70X1WOKWlna6QoKOg91TeZpglfRoxP2eMQ5d6RGDepAMBi3PQKo79x3r1bW cnNxE+Y7iJzWgTorNkrsUTsdyGBiKg0FfYZrf2EOZtqvkJ8okHhsWMDweD7QNoo/WQFiPUba+0OF qxzv+DPj247bnm9myMxuLqjrFrrUUy4MUz4Ie/0lwJ25vlzIb0N2W0+kmqopHG1EK3kpRnuHw/La VqnMwaojjLM6FftTvE6AxU/7pnasYOt5vooty0jKE1D5xf5E53J+TTJUGS//OBhgzc7X/fntaCRt CFlrM0I9bJ9JArNMuOAGWIZdN0oIaQotBILKuTysiu2LUQyw0SdwI2sfZ4Y+TqU7N3ePenpfb8x9 v1phELhRSUrGEZft+PhgBYwoILEGA++Fz5eruq/6HFiY80XvPSa7lHDsBm4obLjLKYfnshDeAxOl uHxdM6PPNXxBflDShUVPoF04JBDeAsSQogU6Mjciiud/Mt+YYnZYnbC8aHcIbp3IJXml8nFON7eg PC058FVaNFnOIMQr8iausfHRjWYvdElXXEUDxQmjESnO0N/j4Ud+9fTNGpVZDONNr8APgpw97Aay WIgcYqtk1uAncHwzjrMzA6rlUAfoNETOmIxLSsaMqqpDMQTUiZhr2ijUkCOudb8Mr1W7eqx4ZnNf B++s3pkVMPa8hlSJkfzdMH7r1SQr27u96KLkH4YOJ3WNpGDdU+XmFq+oSLZAnGW+Q5tPohpW9jbN qYzU6Yk6vhYMDhCKCX+YfBnvQg8SE8pA0nE5JTWzQPMlAWcaMVdh7Lh2tdBBLlkgDl1Fky/KhDSv 4LyktbeeRCK3qk0ZsWuQu+Vk9vaIpL8yMfFYatjaHJg6T26fZzyoBuCfEO3Dopt1mrY9AVWiW5hj nDpy0gy9gUK9Po085+yLSFuBPCAC9cfJyEsHBGzlGBgwrUMwXxXJNvwsB+qI+Lw0qOcCwS6RDSsN oV7A1q6XcLR/XerP3PPb2/ky0EqX1Jpa5hR1FXcnkO5Uev/PDVPIaZ32tEfRV9TGqwpfLyxgEXiP DqBecAiPvNiGjFwKF1bTAamxFwqXRcBrwfVMkBgTNTnxpxGdhoozrcf/VZZDA7a+moTZbC3c/hv+ M5aIb+fJBPo7c16BCc4dgNVOS55QPXatNyP3nqtpZyZWGj1qfonzmd2znTFUn/IsjR7nHQtnXXnx +JYWgOPJoau9yrCqGbzNNItEUVPe7fpRrrnZNNbnV4kyszAQ30BLuRUOQ1g4Srbv1ZlistuNKTPY pTlFC4jgr4QKB+FyECiwMD5WdA6aQ0COOiYNo2J+v+3in2Mc3tNS+Hrc5ZndiNIp+SnxO4r2Nvvg 5Ok3EDz2hj5j084BTLnNUKTpxIvVLp7Ndbahi5z2FZLS52GOpYUefUgI31bik6pMySLgJP2pP1Iw eHzM1as2QRK3RCTXSIPiv/ENbfK5d4lxhl8awKHX+nLZID/GL0DJRdxWmrcjyx+z2IEhHmZ75l8c Yytu42fJvGJXhZiv0Znk8rnBoWaSMUETibIla35U21YJxM5jifm8MN5N+htwYSeX7RnU3g+w3k+v JnZ0VAyX8wffEocTo9sONdz5fQLWxH9ZIOp6tPxdsqpa+Tiq0Gvr6BWolidKZy5LQnD+VSmCPSas L2FTLYKI4eBm+JnccZ2blYns/k9a8DTPEb0lXzGeUQ5+SmzNW2RFjBEXJyfEputz6tnw2k0fH2gG FARw6sRrFohViMX/ao0NYaA8kBDa7YJYC9Sq8H4J7rXTceq8YZpB9DJkB4rNy2TMYUC12fdgKH8s 0qwdPRSwdPXxo+SWEZ4RIoPnk3q3t+5p5EVL1I1etPtlngKUHBDfKXb95bcp4kXiVfexLfRQ8X+N yxTeNWYI5k3TW36S4VrqVZl77t88M4j5wPJpdO+d98Xf+LNzcrUDZr3MzopdSPVhApvJ9GJ4qpIj aH/DPGMCHzf1l4i7NJ0FXfzsL9CURU4qrHqVKbVr8GzC0fqKByc9aa35vGiIamm7eC9REDaML+DR 2dRD3FvYrujeGhPUdvEwpgpETU1lOFRNYD8oEfcNnCKy2jHt/qkxDM9EF9V/Rq/aPrphdwlyYt6G yztL9UY0TjzvP+s7s304NHlPd36dVlnw2mXBfQZrqeMszP1Xjq/+yuftCPwi/PGEPvVi5uuSmeaB +0R+KmEDKaILxriYM77ezF66OVMIc2UzcS/k94RMTOLGctRJpcLRAejbEQDvycCwNh5wPctu37yG GbZstVf3R26RiwFv7M61B9mjButLBPE5hgZ9JliQ7iZvwme/48DV/WfgPIKrHiAiSaKpgH9FyrV8 GENKePs+V8u1u83aGjemBHJ/47zrm+mst0CEGZB9XzzoxYTFH0a3n4q++tlzDYrNKX8fsmWea+n2 NyemlouyYzKy2IWCwe1Rdk8DUoXWlI5qMQ7kKrnoY8g/iSSnvzAPk4FXFMo0TAdPPBGMVN2xQCmq eqFTLRcjD102pML/8TQknC3gnmt9gqvFQGIzSml23PpW27ZujzwE5s4eQz9lzmDDHIEGO7LsM0XB TeW1GJSv3ZFavodW3YEJjpt/ibZvuO09JK2DCw/6V6OTRF7z6EQ3NWawdfBTB0n4ji3+0z6Cx4yC 5vdCtJz2MZDCbLcJIxtovaiqecKchYroRlsB3630Bm/ogxdxkjc52uqfY5McueRGv7ctyejWE7Kd uSam2u03UOrHog4BfaN2FsfWSUHwdTbHRbZANFUYmCZOLMLn3A9PFHdC9V5RZnoi7node4FxhgAR 6isbHzcdj2E0zAmrzt0qhA9sDdZ79jp4PgY4CDo6yhHC4y2xVbHOLKuOwLvQ+8qxFTYy64I6lXbt 0bkfUv5c7g3DyBOBz2WoFA3j6NnwCnyx/20H9qNdQz4w64ux0g8JjELM0YO7DmP+0AR30WhTTMT8 1FtDD93nMigKveT3X7B6m9tEmNlPtyy5WDrU+vxZSca8NkhTe4izpW9mLRnP0+auQ4zaMpgkK+BU s3gi3UULZmCvJsFSx+NWnLgguRJM+XX8RHeRE/dxbRYOXHMP9WCEixyvkuFUfVed7YCrN5JRDQ8U LCq10QPOUsxign7NgFeKFzjVffKVERa/DuPEIjmoW2DR895vKrmpbqgPfYOEnkNPRhGfpzGJNThu OUUcpRXYj4z7GAS3HBUKwQICXdMucXAVB0VyMHe9Llwlna7iJWyrOVH4qlzVQrFQ+8Rw/AisZ8AC f+ZeMNfoeTDzqHcWjOFkJPPZ3iaVMj7Vb92EELkftCGH671UATNanqHyRZJJCU0a3hFZovyAlzJj 5i90VK50mnqLwc1wfqfpc+pOPt6CbcFZFIyzjaG8qASS9itHkcg0/3CAwspXdxDRtsHl4BucEwMu 7tX15yWV1LXoYeIW1CrpAUeVuhbkki8diJ4j3ae26JUgNKDTV7B0VtkTJRwzplNtDIcQt7NsjgGT yjU8unz9ltpVTmDm1hLwFNknk+iiyboQotGQICXPzT5GbvbBdKYctVKuQP/ybipBFwU2msUqk4BL 6nOtzdIKTgNN8TEtuqOSpnG6EmSBfPMvm+KTavSckIWVXTCJbWyRQGQBJYKnNyAbUVBz9yFMtLGd aXWfQ5v5YBLLDJCEI5jiQrcFlM5yTuplptLSLrvbIinthTaB1a6wNXwKQiOeK31GLpccfhDlqXJL USJwkNaj58fFn0D/Rf8j3f89+Ni7ZwmxbLgrUaHXrZ98hocJyytGV2WN1rWIXpsaapCsGYe28Yay sUJW6q45iy+kWsfF+FXTftYbJt2MNZqhWsGpP+WdiYY0QJWeHMpnS3p0c4ylg5uA+IAW7TDkb/yT iduBir/BSzdDQgecpRidCXUKIoauhtsvPLHZiKu+w3qtvJGam3WQxPhDKxF/fX868tKrl6PWhkSZ 3RQgtZqnQ9e+WF5niMcM55dqfh4co3HnC+nY09cdEBQhqBtbSfL6kOa5mDIEFa62eZRboNE4Cdxu J+vv6Y5xYj6LOxeY6+Bz361061QIIRV1EiDAV7tFyW9SZUgIcXNuVxUVW5GzvvAbMzdJ5BefaLk0 M5VtZfYo1RKbsESmjWWWJjpQTczHWh9JpJYSl2i0G7ZIyt/v4mpT5paeli0vI3zt9vltNBuEyZy2 qBdDC9Ky3ZeWGGamEm/VLYCoM2agKgc1VNc6l3BiWCnjCNhCmucWMnbZh+M38vz5c4IeJIOzeKuZ QUFLRDnRg3J62y3sxgrJI9Agysx1b6bx85ggsA+Ul0LD/skRq1m2nxYmNnfIdomJD6Va/v+F469D NqMscu7YBSjLp9ZdqeYXdtf6Y9qoGM2mSoYpYmDZfIkECXjmSDRfXbW3Zr6Yx8t9ifteM2/fF/Jx yXN182VeGMzJGhBCexAuUEjX6SY1Mf0HHoqLhQlnerlIMjTRwW7qssbVfsEtyunf/YFMrdCzCCis 93fXAJolkjQooMgjaTRS6Y3D+s3gK0xvZOwZBRdcuPfqymt3O+DdzFGutauDeviF77JzUYzyPrg3 a4hlRwBjI6KCcvN6x6x+Ic5JIrP65wOjCwDRqfbGfSsSvQ0g1EdSfMbKkpQ3qrXnRorUKLChWaYk cZ8LjldGaGskBU76Ihg+fYcqGj+GGBOshf9IOI1AHQghpGvWQrRtbUrsBYOmbjnYUq7xctLv2sWx iopNZXuTnYREiR11+UJoti5CRt9ZUzE0NW4M2mUa/bDoJQseJaCgvQ2F3pV5jcYHgJA3r/Osd+6D H3nozF855hW4qL6sT/eQur8xjg7czTy9Ulj4VUdB/7DnL5BQTkZLnYPR3iq3l3kI8Nric5xKESC8 eALIv8CSL8s6jX/P6nO7qfkMJiVL6HwCc36fpwVbcJolmzLmUQKawNqKKsr53DOcXPr7dIbTZu16 7mFiwQX470ppyJMlfoQRsJ4HQQiNwp/Dqi8zsT/lckTrZNRdsDF9Y8dReTLRU4dRumdXQrJaqog9 w+atZ09e2p1xmXrf/zCcBhUqNSDXvsDPyKQOZ8lpDFEejBublwQ1q64BC/ekgQWuBNWLVVmHBhM7 SKDQX4PweDEpjWzRK8IPzEznfRKwJBfvPvqJ3JQf4blrVq+30it2EKcvaRpIGDPjBCKNvO+vC4l8 v9qUfc1+AK31/8X0UsG9Q0JhfK2McDmvDgeTsnxAMSVZr7HaU/yV7AxCDReWA3mwzB8HG5VZllVb RSJGrNKOMn7qg9usanacG80FFzE30PySWZJgZABkvsrMUe+PzvhFXhH8J7qn7B15y8IrZJ/VATAD 1i/G7oSQ9X4vIsMijcuZNtElWrouxmw0qAU5qJyov859Tr5wE2sp1ZaDoE4tZAW/25vC6ybRuR8+ jPoIstYNzITQhpAhFnf2CwHWuNNpkCCpYndMTxTl4R8OrFAW5w8Zwkdrf89jHq9bKJnRPeVmWp0S Q16wrtWEJjxOYDYd9ZY1bJV9j6rbG3ofMBOIJ1JzPYgKFATaVnMd6z6aX1T8jcuffhvBnWNvBYkr zIaw3hxhJ3kSkyGOsCvdBrphSfzpKWdcLNNVN6CR/7wqQMAzVDraLOJo0C/h/iMxK41mMInh+ohH LmlfJXHo0MN66I4mxuglPBUS823BhprY8t6i4cIj94hSncc8pvUGmq8UBwkPmZWX0I+zwOxTmlM9 54hK0emu0LxvTunZpq13luqUs8rrlV5Lx2+XI+4iIGbQK126I8UHSPK2DySy4ya72dvSiJMYX8Fx qvwRl60KUcbva1DRHw+myctSJtdBBgJjJ0HN6XobGRXIXXXQTPCrRD340973TUnRwLvRdNx9EX7Q lC8DxEno4/6i/KfLMTcP7tNg9m1fYeWXxrW9xpkMCrAiMT/YXWstAOlIOVvyzqgS5M6vHAZOtCYy iQCBLzKhwGc9tdN6iR+BCctAqUxJPETvuyDC/E4WLs3Y6PcQSmMrv5iLrhLPHEBFIlTXxKfy1PJH mtzYbBAYEIUls4gLCB4JnoX2N09LcXS35x/wv1ZocYtT50dUZ0enLAG+ca2hLbLzUW9sV+Ih3xz9 eA85DpIO8MKVr2EOO49FM+jg/OV7JeAYOxj93jD6Fp2x/qZ+korsS2g6AeMVzn80ZocyTMJvbxv7 NGwtEgDNr8JTods46jHjl/2/lRXuBoYzEFKQwPfUnAhO/1vuzVFUK7I/elisrXliLpt345nJ0B+m qV84Gjr7UPHCDgRwGKVH/by2ztpRIbaUSpMmZcSdRTkH/i5clOt4FoWrXCe6DUw56aXzwef38IgL E5RYkiiPaHAfOzDfbzwo7BkPTynqoYj8ofVpgiB1sy0BoSnz2XrzxHxNb8eJNiM+zGBy29jFfF14 jBy1S8ptthVEVIX5Wqe/0X+035CkcRP+asB4xQxa6l4L9iZLNgMQEIzJujazd/F0Qi+iUQaKN+GT OaOvjDtsuFdV0CaGl6x2HtpoXByNWyVCXHxHXQZj+hSK32KBrMD+JH7u0GQ0aS3lv8Q0EOwDsSPM BOrxDC3fZS16lvnyCcaeexGeOKPhAYhUltr/5NxNdA77EohD/Oqjf49xEVO4BtBUUSAGvfkhDcBU wBTkhHQGjTRYG1OKs1Mx8zyLDRXatpc68kA5OeoI5eZ3u/ptA+jWoEEk7vs8entsUeEovz6fkw6T nsGKluxA2iR9Fhg6+uxNThlO/RwBidaxl+2LLV3m8icYwESSaJ/rU1w0Wj2hAi65c+e34cEUoTTG 96t0CaIeNEPTTuLOObM4chgeGfxfRStx4heyn9OjsUQOcrDw8FjNlJ/DWcymouQsTrq+PiUDCruB qYxR99kCFDxGIqWqMNbhMcKj7EldMo32ybtXHP+qoNJ3Cqe94rmx2yls0zcFXAFVrVjgaGoStxnw 5ylRg3qfAyGahG76ZTOQOvL64dZMIJ8I0qga2LkBrsvNAF0104Wh5MOD4mFnQIl7G9GZvKL+LXx5 M7Xrj6oWGc0VoXtopdrKRXYhAziUHM5ivbrekYubdryqjnUjNbVyse7xcXEpfEqFiOuiaRr9TlSi RiUFgrK36wyJA/NaAU1RPA40bgB0oS5p9KUn5LVT09c4Rt5JJzPJHTElXMA+N8mj4//L3BNa0COl wgZp2ZYE+KONbsnBAhqHtyimSsIO4ZAi9T+HuFADRTwAYSctCwnQO/8Z/qtvPht2rC7q0L8DhCxs +211fbWLsAHkblq0AyusP7Vetz0/yrniaRQj3hQoSY2AW57iapUBPwyBcK+BIrkFiHxj1tHMOptv 3T1tt4GqgBAYg+OFYQ+mepDe5lTbRRiyU/wPIgFambC8U29EJ7DDjCzmY6kJmKK9sQtONKsBhm8E bjwh9EahZXFyO++IJvDhY6IE+0969B4XA3LA8xmrbZhK5/oWnMf9Oi11LXNerjfLDcBLFEBX+UQq V62QM4rohUXgnlYl5iIyrYTq1Wpjon6YHIKIZj2ItjS7BqGkA5wlF0wLvax+44M4lkwOxhOOR5Hl jpTNEDuV/zy2lUFlILwO8ioYuouvV5hdxr9xkFm9xyxTucM7X+6usnEVUi4c2Q6YyZgGo+Jhjwyd HxwN1hwdfKkSAsHDXX+X4LvhNaouLbsfaEhEpBs2pQYeXF6tIcRMGzuBeAgucLWKqnFdALteOJ+f IG+JEJv1kEpzjkW6dSaGRizPZq6pd0WycvmiLkpjleX8wrGDnuB1l/LkMXG+yvMwtRZ+rnZ+uSBo H5Y8CktzY1ZwHbvoPlX5O9SpI4e6Pu7n4OnBtN9WOTLHtc+yJJijcnaCPief5Y1o8Vwk4qXyaY8G uJ1r95RpApcGLQmY03hn+ZumEIji2ZlGgBQ3nNg223Kv7iz2/fMz4dY77pwkWAI9PBp6uA1dIcR9 Ovr9rolOjUaJH58fjXBLlEuafb2w3N1RJJwsCIMIWtZVC5R5NQZvluP3joD3JNYg4/KAaYPhGuve 7MQAJGi0Ld+lvV53z19yM+xlYzPNJX7O1kh3qV9ue3lMBU2BWmmwJp5gptuPtq6y0bTGeaWv7cha yzh0a6Uu6qWMow7jY3st2ONpnU1SkdBFeU43lhR7m05IBgNA0I/i8YQKIkPnCqzidrKuZhDiEuwv TRWLnwn/Y1EUMTkZmRp8Eq02BYmk7vsDRrCUdZDofssi7pTGEI+/LHvPzdR40kNik2jiPR9RILyn v+EL2w149JVQba4IpJz2/pWxW3Gs+7UzvC42qxdaFOLRPzVNh8vf6N564gR92ODHW9Vnef3Ms00W B0bm2d15YtqXPs4AhTZ0Z4AshI46KfhMoypgsQePwkWhxT8AAQiJR3561hMgNQzmX9nTh/lsa+aI eB3vd2K7TokcISLwYZhOya8cSTCaM1/hcypz5e5RHKKGCOZ5/72AeU6GyJIOBE1gmrFvqZJ+hppV T/0oJdNarLbN8RukfPt9mFEUk+5eTCYLsBGfej17ePqhJfZClqCVnHRt5/HTJv8Ji3KHh3P3/VCG TYVjYwTCtkFTovbjT2xlxWteeafJfrfeGc7/hIzgFUwhtHh77FfqN/5GIYiE3RrD+n+ZdL8lsBY/ MQBEwdpUsBiHOhe/f3nFDvD/FN+SHmEzfOnUbGQ5ejSsuolAMzAjHCrb0YkkzR7a9gsf9U0bL8Vs 2A3Y3joDw3Zl6OOmauKKktebL/aJpSeWqintaG4CI0alJZ1Yb7CC8I43oBny8lqfDHIcXtfYR+RB 6oGxV92+PQZy8mbbh0jTcKq0jtsVxvm3PXcJ4MUiokf6cSVx+/yMSua7PsuFnxORUuxIl/gpJ2Du sckLvPHTleIMl8snnx2ZDCMJ6HHQ78/zj5mTZpEdIrDrYDRHgdCrA+Rc6L+1i98XlsgD2W09UMII 79XXkECwPxU8EKMLOXvbB/HQ0bSufM4cU3OCvbKX+Zn8lzR5OiYpeJtuDoOIvDgO7cFbASczgUCb gcLczNQhei7dfFQKeXM3ClzYHfoDzbfYvJIBD6x9NsgqaJib8IBi1XrG12fDSQRgyN0xPJAL+RE9 0xjVDXn+CNMgYmnAZ3T6wyugynidmpN8BNByklXIqQ2w83bsB75VrK4C4CgWu8lUl3gIkMW2swvH Orouc+E9vWFJtH/isH/lI/cyOBch8K+EhrHO6aQLj1PcUACfQ6j9dOInQm85I198Jwf/moSwTuR3 pv4wrxe+wAhcfZOOivY8hVT2pp+8Tb87DdNM9isgAOWL/4Abo72CwwQZKL1pbHE9RpI3+lTRAu+K THsAZ+fA85qtd6ETw4lsIXa8kj2N1NqyyyfLMAnT3aodVBSWzb9v7KR0dlQkicev7cMjL47ev556 LFymSDCNiA6gbkGnrJhC6DisYVnQ/cz6uph1L5Ft7X7+xjVK+3hphP5cZGJV/keG33DwhCo3cc8H 4xcxABuXTocRYh/r2ujGaO9MxNKF+1HI6MBKekm4iipDzhuUXxTWdQJmoS2bB2E2UjrfY2B0loME jPvyRS86zLYYIOzAqshPWvyaugdsEYNEfwRX6g8DSf18qFFG05vWtcrenCrbNlYKaDllJYdUw8fA M8cBNuFCVSLGYb2sMKejjlu7Zxl76CvU/WM3fgFlPZEHp1Gqwxh0fxPaCxwFGwsGjcPIIGvGMsWa 1LX3oQuLxMeB10y3QQI6m7EizwlbgLxzxKgKtUsd4intmrj6SI4LK3CLmTY62LwOi2rQKUGGJDnT lvKfF/lmvPDGhlCcdAYKICn7wOwY2yQ8xY4zMiafhQ+QwZg876ySDRymw2KTNQO13/pIWtqo8ezv X2Iv8DZZR7S/PvZoZ09zlTKUVqW7/6u8GaR/Lu8+D2GS8L8oT2fJu20qRTiAMuISGHkp3tqbGm+0 ZsnDyKuWgGslea8MrFUg2n7Nrq27tL73lrRBbZKBm8YtpNLBbRD14RTad8dwrCHYlpcAkIYw2GXs aZYhw+RQGTA/xSVlr0BvlGb4Bw4o4MWMEL6OP+KBIavFcu1FtxV+XlLiw8yuOpd/Ak1y+Hl6ZOZj a84TD7Yjk9SDP22NqhKIIb1lJkv0hL33qqqWWFi0cb+r/q1zjIBJ8HA85zsN4Mf3DxkeKMSJFYWm bON97mSFiR41wud8Ri/CYOJNVm8RpnUbRonDUkH/hriWHGBEZRjhmxacYv9DE3+28orK4cFCaS7n BuJOoyqp1sY/y7ClJDp4fT3Mqu0nw1BI31m1RlUMgKzncmYvGeVH3n2YsaQfBpQghjxQCuaNNmew dCgCUZdYyo5GW0HALScPl2RLQqcnG7A/laryZt4sfi3YMaK2BR89CKJ1Ky/u0Okrr7lD98Wfxiw2 raWslZ+z5XdBV0egJFYx+Iiupp/V0QgDEeSJMBwnkba/Q/gQO7JnnD5+Qgicr3pPRVwDHlU+AD6f WFSx7WC3ImCpO373E6h98Z+aeyxtm132bG29fg7pQO6XGgFLe8sUlx8Ylmes+pRLbp/As5g3hnsX a78CdEBy2AUT/SS3Sf2aWIKo8ivcEqShpEHVaOmRfGeDosV4VrRqDA7dCQW/lcdD1dk6juf6pOp0 ninwCsHZ0apKOUrXE4rgF2Odg/3rc46YQUOeq3h+OHmQZL9yWHO0pwSeYAXfslG2TnLU9JV3z7VQ Y6vbcjsj7QVmhrHx/GFq4A5Xp8Uth3lvv26Vs84Jj0aK+MXnXXY9NrZHcwjB48baK7NSscbW8qgQ ne8ev99DhhLR5xS+kxJ/q9BgO9hoBGvgAPJjPVz/fBL6PEXuhaPzfM8ejYxdeqpG98D9aV3ASgn4 DKy9ZA3Ezs+4VhhBVmmnMjIKYJDnW9Pp7HRrUK8H+HdEXEgELtrcji2J/GHYq6zZWH7/Jj6d0WRt Tsc7AipLRsv5+r0ux/jpQ94D8b0eK1rinruqEKIbIcfiiTPP1mhaylszE77eV7u13rgVFU13azQM Z0T+zHfy3J/ZjE38Q+IdRgFMJI3dmfPj+N7vKU3C4U8WyhPxgz8ILtGJRNqiZAW0V9ajtDcBijoX qPmR0vPiMhRv0hkPDihadRuYioS2oRrbSuJnFBUjrwFU9v784aWtNTd3VKYKTKrtztbdPQ0YUEiU 4p7+B9VnmxpDxr1EHLJLHkARidaA3gadR7a9hADH++v68z/YQEl1sO2yRgLokPUZBybPn9qxIC0A 6rCnjVjjkDEAC1ZoraqKY7QbKNcdLAhY7ecEcWDtZrWORa8aCC4a5GBMHhgQzYWsuJRyg5J43VD1 cozuSaI+czngFugVMGSbXTagKG0mlZ6bu/SQO8/Wxg7TkmBjcv4bSpipCyhzqK6YyuOdD0HOR9kV f8Yh7tL1L0fol1tMIhxlnvoyCWge7VbixsjpE4ycdzK9GeYaYClf1X02LWjJydO9KEk7/hfx09Dv G1JH43mVzF4TXQr5Xq43VVkTW0j4UIrCExqsLwoGIK0W0xqpP32nBMXFM58/kqzfSt0KuQDfTPQz t5BywKZZH8NeC6+YQrqaGDvFhZs+skO+AVx7tPd0vnWvW0do5gSOiaNcPmROTxzTQiw8JJ7ahROk f78pWWoPS3+Kt1zuaHWhcTcSE9+/rQbLGiq/VOCKkVVlLcqLQxfMdDHCnlom9CJqfAU9C+IJHBdQ JOZKyNvNm8k8T+7DiVCNLx5c1WMRcQEvQN5P8cJSVX+3Zj5e7KVOHgkUJXr8RnpdT4Dp6w2z7yDe HqhXE1jvXIyc5QxQhKFLl/z/Kzw0iXnQr6H8Auh2I6P04ZRUryOoNV++eDVAO2927WWmgZsR9OHR cglZdJEYU/qrMe9NduGUBfvBqXdrI5zl9CoqLW7prtpcWNAF3ZNQ51NR7cub+WTvlB24A0rxUUxh O/w3RxxgpxOQAfrl7QRiaWnSOwcgHhcuX5E1ifeaFh8B3HmEwYN/hpOKgMmNazE+gZD3Wa6IyR/8 CR2VdLxxPHMCQlZjU7+psOa8d5NV4CrEJV0FdqRHOSpQj+u3JvkgGVGWI1gflzyb2WBtOTVwN4E6 ocGSx0SGeMFlPoFdr+uCRVw6keJkkWGLf7y8lf3DNzstwLsrJ1yxWV2MrjCGdCTDBEcyOE6WuP0t KGYjXQRtSTYJ+0wSPLLTQIPW6owrBYp1n9wgl5D1wE+2sU5eSH1BmO/+0Mn09X3d+4nhVJrQzq4O Bh21hESFS19LG5QNK1wvKY4EHV1CCe2X8RrHz4ZdqTmpIKjli1rEarOWpZTovsVFKPcmd/IgKSGG Xvhd9XXD83qpVr1pRot+kjuzt9BBO8aiHMqoAVZ+ug495mKQk5ZGJD8gBt4VzQqNfBWuzsouXR9+ ECEpFQ9JMHF/l2Nsvdl7IMze6hQKXnZ3mfTU7KiTAePDm2JSNeyHR2bR2FJeQg99b09odWzIVuT8 Xbez+gE303uxg98SCmupiqJqCxK9Ve7qRHe2WxYGaCDtNPsVw9BBkCTz5bj13LfoxUMKyv4bG+Dj gQc7u6M7yzRxdYOJNDNnTjO36HjqjmuUe8uHunx5COrRJRT0fwru3N2aqZ2r4fhYu4++QLRtp9oH akoUSmtbutPBfKcL3YHKft2i4WJvNjva7ik0ZlVnJuCwcuEoSxqDIfvZ4JN50XHdVYdlYpIbs2sq 9GPf2xZxSOx/pLXuFnlypordTp3trBddhzzv9+Ommex3CdMJ4Z5ZoBCHdXS7Ur6bJcxISGjcMFgP ibxkt+wZk4d+2SkZE7+vosjYLQmamOteau7Detxh/ifc1aYQu9nXcSdZDmiwKt+T/DHtSNCV2Fte 1yd5UQ+3faHzO3TbOZew8cZA+Xobetcu5fsY53SPlrsA2B7et2Sxbzmi7BDpiUqEGRJmemj1Lnx+ yMxf6ACOl5l0W8YGjShrmyFW4DC51CTfCcFqE5LQz3Io2v8zXZSdwY2I1MFbyss3695zBBrDnQUV DEHCp6mmrF10iB+74NYVGLWrWLTmUcGK5rolWL3zcKs6g3g7ToCOzkPGIzirvAGvYfISynxbL7Kw 80O8lPRYPXgrXapuTVavJ3Dy2j3PdKsijDbZRWiLMOc4vFJV8027VYBNUCIRpZygLZjOnuu6yRjj ccqb5whAx2TZcMeGmYcaLoczjd0sWlDs5mTL99zaImqOZMx5YSS5aNMR8ekhqU+ryeWYtYXcKAsn EqSAjRK365FlKxgHaehyH6eGFTtCTjdQboNQgsTBOE0PWDSLsKQaXqzCuS7tWfqLSfUCSkAdwTj4 EhAvguHltDFpI7CEa5wV+mk3gf0HoC6RNtJs3P8FUhf3cwI92iPwHJ5KHMNM2aiHZXjl4ZG++2NU 0qHbeU3lVSb6iSwjatO0pZcAaKVmoD0uVmsvORyVdmQJbJ6sViXAPTnPYmCUBFPDECTJOlNaic0u vJ9M+3aTZY43tcmzfa89ROge1GIfPgQ04kP5L8lmvZlTUpouiv0hOdKgzYRDCFOiQEeYlBJmCCyZ u+/CnQvuP2qhFCd1SZlq2+KnUUlMS/2GShbh79C2D2X6MXKt05tHsJsdaRImK1WgXcaniSLAxrfN WXmdL91B+vXaQoDD469oElqLrrXFaqvC6qzzQNbNI1Jg8W5Oe/kAyF2KtbpEbPG5k78G+x/rHfNB CSXaSSB4BMoHlxRsJqQHREPd5mAoz956BsVEJI12AbVrRyjVlVYlzwnVgIbRpvL6Z4jRKri3xTrp RyTc3fg7E9+wcgP++SQPR0t4bkqi6pQgEtWAoBhzupeX9Cvk67P37XmTJBADvQSGopCb08Wnr2LS FUUw1rtgFtO6E1kJsAmZFn3XsuMl5lIqw4KbrUnI1/spv3K1I3pAZKtJKSw1/V85NQe05LIqO+xe 3isxIUPS9a50jkV2wer0+dVIa1y9G5l3/qgaM5myRzHq23o7lRK/uCFGi/v2zXwfUQDCCZ31W9yC 6tY/aLPtXSzmVQFNPO6aeAFE7sBAeXLroee0uRcCcpn2LiDCS7Wg8AYc9vp9l8t1hpH59x4ODBvG yZjKcFqilPYYJDZiIlf58ox6/TiRKh0ZPw++SrcLqbWxtPhdnksuhBbDcYeNrThpTvhLbzJCsSBF AFOOxOLm/rF+dOXEayMMzuDl3wiT+WHRIZPMYzEMMNEzKjMFvBSZeiFj7J11VlgI0X3x8WP3hPEk l9sjYGNEY+9bI/Ldr+u9//e5fYBenv/MC9s0rCqmQvVv2nxSt97UXcxDNZprMQOwIrmGkbHFf8ue vKn9lFN97MqI/9stTUsp1wHz0J6zRnDCWDJPAZCa2LexmZJKSVGKsZL6P/VrI9uE8tPjF/yAd2W+ 3loZ2zvYJeNrFofVDUX2DlfXeZM45+K4TzypC261Fyzsvd9wkM6avCc6c/742mK7WOGbx4k6478z Nph7WPaL52ooCWK+DCeVO6xlxuSbY0h6knVwZfsHKyvuau69Voc8Kt1ptgkQRaCDUIwStLb3TGzT EomF6Rxj576SP+joP1aB3RKqLyc+d5f2cXLM2fl0+b+syeLAuY4RXm41D+41rrpuTZSA5X18bzMa k3c3zP1UbGzYgYerPNo8HeD8C5q/528YrNeJAsRj2DcQAmnug1L+1dqCkyGXoSQyrWBdwEL05FBP vyABYTKaLjFPMcskmTLJ1PjCzU6HmEULunnBHGjZrDYfDmby5nF+pdHZozZhQXI1sMOulbUrGqHm 8jtiFE9bg0wnR+yWkJZNzZO9MUV7xWcKwTvnUOdZO+99G5qJmWJokCDKjSLQPUNkLgolOkw93VsU gijp+JVSOyOlvkf7VUx47+9wSBNVEj01P9sjoZZvesNTYTc9ZaQ160xf2TJkWKyyojWVDJqgY05r 0lwhwTO/7HF3DsHZ0FUTF5RJyzNgUn64hKVYaUjwU9BCEx3Ly9O0ov+nQdQMUrxwGghI+QzsKLm0 EMWX9gAHzABVV7vzqAYEt40x4NOoFeA33yS5Y1d2KfngZJ9opiT95eFLv0sxfpUx7KnBASOIWtlx yUnB0EP1Pj5XCg5Zpnn+k+JVEbzqrIhbjd4WXvKsxXMlmyLwV0qVlSFeSzOIl3wTkspfF5TfdcMF g75KYTIN5orDon95XUmq2Jv7ENbdLwFIy+2/vNlLdvGBy/wwRCEzrqkUDfqaljTIahox7hsS4OjT ++4T56BRX58BxI9l7rjmrC3dVr62FJ5GebTJDHsPDFL/CryseGp7+zF2JIqcL0kkT9d9bCpLa/cf r0LbFV4WS7QYU2H/qFQNmazfitXq1tziTJNvWWOeiRrBC1DeJZYCaT8OSXPtEsyPiJqbcqdFxL0X 5EJtqLPTduqacap/eU1J9B6FJnvNu4KY43Cd05sr7rDCtchooVOjB6eXd4tadVzRxrFanZ2Ow0WE xRSGeAXOvBwWXsb1Ep4WDki5QzV3qnqNaldkqzEc6I5zAqo0Cw9d9DjL8btiDSmWq0dmed1iJI+M sw7kOfyZWbwP5K8tMCYIQ86qATsTT7+V/zIdHHuk9Jm3pdI3p8r3iJgzCwgIc6HyrtYe0gWgTqv2 zMTYt9I84g6Qd4tyI8KK6s7M9cFHRxupSo3NEKppctDNoIFvotF2FlRPXivXWO6sUrPLNrwZMXDi M5ElFZgx9/BE5yD2m8sII1pn6tAIxr+c3UirN3v9QvgFKzt1EziYn6GCSJjgWeazv3ih5msu1+4D h5VAlQLSUqpjouqTTzpqHrnU8CfrUAqD2m96QCFyUFTTq7MPIRLawLib4S6xZcMwsQb+5ReQTryl wfk72HHgjwmR/dYJWvHPsRkRlk4jcbHnERuQoZD6ODHlThmbjenDiOqItqWvKJig/SI2XDyqgMP8 LaqIWHb71brYMm4N2UsJRPRCQbqD5KxhVxiWKjTncIfWCI26YqflwMUmlgU0k4eK9IhcBCHPItvP ZNJEGt/1IJw9iIpbIZLmjAQn0ynomfHD3rH8D3uVsbhc6XQj/h0p/UqbbbzgeeF8Hl/wuno+btOI qoOOtfwtVjErrhxhNYibssM3YROL2WSHftW4tfrQ8pwZUEbVtIF1+JyKVmFm7d/d9zaa8uWj9Th9 LZCXlz6D8co/hvB/MA5VN8JMtgjUpY/KmQ5DQHLk3CVG3JQhTnNMbLCCcJyB4SCEHDSmgDhGt95u +V+8cWQVnHBfTG39V4qRsrq17fmR1xvWbFLUe9wcPbT80UdRQFwIwCq7CwUvqgev90vIh9aHkAGI Tk8PG0inQCSsXWG7ovveJuufxJG7KbrnI6B9CzcqVNXU3cQVXE2FQFMsNXrIGtDssfSV5pEAH4BO dwFRZYdCdRAruMuBolZZpitt9DbrNtJUh7M0EoKKfhtw1HcFETt9OFUOi+rkRUCeENmncTuuRgIb FCdn+CTxDI36dVwEC70sEkTSZUCUbDY1zdYirlY2MdfjahMph+c46b5Ukuku8BTkiUrIDhd7UcI8 lJ+zcsWrDYSB1HClfvNXECQjyfKW0Qb8xR4ZOacMuRfRAcQ/oxaH8NRHXdMlPSIIfduqs7HJg4tS TSAdKGKp1JIg6uDAUzuwWXsKZmXybA7MuSoEc+InmCoPdxlxXjtgHsyU19iwVQq3uDPMewKx+bX4 eulRBgLxYq0Emyc3CKuL83R1GKmQ0f/JaPCDLTxYT2axqUh8Hi79gsS3+x6f91o5ZPldYdTC3Asc x2rBAu0hIhCdVtTlghrWD2Kzx5k5P+D5nlLRYFDLSmRIG397u7jjaSpjerqmO4zdInFBFA+ps38/ Xfdb6c0Qnk65iTpEkA9A3suKet0T6CAigcW2aIbPEwjYpWG2Yu9M/KPhWdBGUd3sFeT6znDWV8My 9p8kYciqPA5s40cobfvuVZg4kgXXQlfCJmnm0CmBECg8pME8aRxfQSDfM4YTxAOSfHzGcL4o+3Ux 5kuUHOafMpPFEAfMa+aM4eHbFdPcfr5Sye+W37qM2KU+CC/Xjvlvuo5gT96bipxxlkPQ8cnyE1X5 WDfjNJzrACBU+9L2T5dsGnlF6VCrUF2tiJmXlPg9bmgZcnax/CTRONwN724DSquTxrT30SsKHNc4 X7GVBq0U1kO+yryyj90f5di79PGh5fOnRg4QaJnQ7NBBDm53cESMq9iaSLLyilCULnRrURaSsN2q CdwV5gAkDb7UeGuth1yFNsDUvNzbztksyE/8OsTh+yxEZ2YSJ/+6bzmZiH1ux86RRIOfKAaPrJNu M2az2Co6RrpQsZ0Jwmck1GMguVhnaUDtf+/jX40Z3huPwaRDG2ahagIhonOTwBgeK9nZPTrCwBDi yMgn7EU9w3sPsLtoeBqVuK2QOBio2MH03iwIka2nlfHS15nbCOQ8tYv8INr+4G6tSU1yO4jv/U80 Rbt5dWPwspCr8EQ1xQVV3T24I+SKGAjIqKZs/6I01rvD4rsy2Hr9cLdcQeBcmvoSPkZ9rgWC6E0a nNBwte2AWwB8oRDavgiZ26FWG49nhC8CM6UO8UjV34HVqRetoAR0UARqF0sE4CGDumtMmtUomQIR w5Oa6yp9q97xoXIa874gIrwIwdVgIlu5zmBE9hJLlmeMlzJ+u0PFmlt4LDgJDH3FGVbhYozxEyrY SQsutqeBc6dzmIfBymh+/gOCwL/N8KafBXarLhfjhSxyYuPJIFfi7Cf1u6IEVf1qbch7aujRPANK oSOCFNQi/k0r7iJ5maZfCBpOkGGhD+p7Na/mDTCO+u7kTsEyj/Gj0tBJ2xxtHciiyxhqqTbivNzs GFBlvHHx7QfiGyhE5I6OxSo7fgjwO0K+SMMy4bJzcjdxTvW8z+oiKEt9b7t4XMnmFDQDC2P6tQmx 1ALuZhQ1eMG9FNdjokxI28/V2xYt6y4bLt4ShqhMH2bVxJ0g7atc63jf+0RtNDvFxHWW2WFlofxJ 7S+OJfvz0QH9b7ttH0L0+sZxEOwUbqdmFGuRZE/askGkMUKr/MKtCoD7LKeuEke8tsea9MixEZh2 il1Np88vlwKDSuPVSk4/VRVLUaYHV1SGdf0HMnm/bEa0gPgUpevwbIjj9RNtmaFQr6Xs/xVfwT9S Nt6K+syavDe3f3WisSi8OoKcIc8vGmLVme1vDN89zoUJo5fNzVUP1T7WRVVM15X1VwuJYXygR8gh W5gg/HKI5PBXPhyLuQ9lj4NCMgUmBfdzSdJvjT8TWPdeEwMOeN0CJbLg+Qwt7blwXGW6ebPam/U3 M1L/XmfcKVCywR4T3y9UPN2sgsa9PDqUyac6lRLZKQAJHnvt1/igsa/L+ZCugoytqT8YWfjbCebz Ke5u0ka4FDfIBTczzXPPct7e87Wq/FcOqyMHjJVwz+z6cU3WQxm1B2pGuSvtnTYda49x9yF27m+U p/hoLVt9aUDaBpRZY6TVHpU8rUsardbUvJaPxSHG4ZHwZPL3NoAjAz/b79ZzdttRT0lMlouPfWzi coWiz5Hdv32+P1d4T4DHj5fEnPZjAxkNMGsYv4INbX7uJ8E0XV6MUTrkkOEux89lf83D5flTOyd8 AyVaGhxeellScz6JwvBkhvwVQbUWaLBRowlK1I7NN9wx/NFUr6N+6q5ryhv3n/U8D06NgurTxZff 0tbCi02mGwlToyi+wrbvpeocMN1WLmqelTflzS30asuVmj2fCnrGNqxyQgnUzHrcMSvTQUuvPEFP UhirlOoQ0NH6GIZI4CUbLj782b1x+/5lW6BiewkvXO/38YPPq9lRF+dWYYKr2YWZV934tHqCsM2A Rt3m29VnAtyEQjitDTTbrHFPgjcemr1MUHXTspJFKt20nozVX/9wq3NZEQ2WI8nOqKBQz2Vke/gA uSPzbpsxobEFSBWE2NCMcM7dFVon9+1lJ6OmblEaFO4CR7ENtPZ00MRuUKJrAHLwYU/IP9vFsuH9 L4Iw7U3bKYz0w66izpIPwgEY9zuoc1sauNJ09IHnO/x7yb4hgVP5s0DP2RygHUmQH0IT12qF6akC 7M0rnWlgRmDOLfPq9KwbqfY5/ORtk8PzUp6XLkkbThIGAF4EmRFvKNRKYynaxPlfRAxn26jHyc6Z 6FKO08avM/xsbvtFMCYo9nwP5/RK7e1+Z+wC+NWmpZWWNdM/dswKQDSstokYeSVg3PkXslN6uq8w JZsJnD1cfNwt/0IVrqqloSuwOpcxfl0Tf2Ajr8azsO6waAGf5Unl/BD5Oi/7CeiY98ozg1DCnd2n DQ6Exqy+LPAHi3b9xP1KdJoDO80QKH+JgqEM3sQVNoEPOeLMdA4EE7IOwTfDAInmtOJUlL/xhisp ikQwO53O64YlNsZB3KSTl5oCBRad9c3Hvy+2beazjNI12igaE6LrX3tpN8iaDNfjOldEYdI3u5Bp kvLUTumLwif2tUrnpU2Q8vmJdHPJ2eE6d3CnDA7Jju1evZU8ZArziFxVmxSm5/OmwdIxfydd+/Y3 y4yJBXoYkcYoriOUJ4+onJ5A4N5ddLM3lPefJ24iYBPf2BuIUHofotMBjsK9TkdftsYpIeacksdN +h6KCdegR2r1VZREOZrghFRU8Ren+tHnkiUW9qoCyimLI0rLTffUIm5qmp+yy1Qd3UFaXqXLu7XQ eOG2aajPYwQyA7+EE7FZPTR6aVxQVtUxyTj2idYTumo+TmEwlaOk3jiGMqx1iJVOg6Os7iM9sQhl xoP0GZQJaXbmF2wg6P4tbt7wlavVYrQWL8Ykxczd/xfyRFH+oXJJ41/WII9d+x4px48JEGMRfkTX b1n7wBBHQCoJoL3prX3MyiV4KkanR+9f9dY5/gPtYbpvWPO04EG/prL6UHBE0cxpbjkXsfSTkNGG dN4eQMSFa0k5eLFggmjN3HEgPKfl2d9cofx8f3CqXfzZmpo8DslazESBKw7jgTK+4AIamTd/Ccgw t/yW1tLn4nVw2WvXRX5cEZ9nj85SRyQBVfpoCmMwu5gkc5PbcOEPOgxbTvulGE1qtuhbEDcI97JY QdngFeCfVXH6WCcenPLlj+0315FWHY3Rpc86NhX6rmUMDTsz/ijTu+W1QusM9MrPzMjVbAaQrVcJ WaV5WIacFQkiCDtcAfODcLkLziCPPbIXqxDaSTZKB05lK07x0Rifks/dkLiBmtdMVQxTwG4vCyCL csd77/vOY0pyf/33qUIy9rt1wSAuAWvUXxbsFh5cxLFvYQa1q1Ey5863XlI7XJdwCtG7/CQE7cbU 7grpEHeeOB2Wxg7+LkoUQvRda9l2BXn57Imp6uAShpS2H+ZjQRGeMxA0fsDEMknO1f7GQofFckeW uWPkFlrMSnSedKBMEHhOSik1RCDPE/g6pMnksS37yet3wdLn6M1t+YxuSOKASqpYIt48d+Qbwj/0 VZrGd+ahe1Ud8H5DDynN+ctCBQlRuj5RmyD9m35JAuhhNO/fw2W9o8vrvyDjpjZqfPdRgH9mg7qU GQGUwNLwa8tObb+1ZMScJDeDP47usg6rkUQHdOBrol7AAghvMLBd8wmquhEpr8bKyCWAMhkK1CM7 r8/cG/JXZVfNkbpICOMeEmBXepWuT+bV/SSc7qughlpiVGaKd/Ok6HEHI6Rj7sd3uvuxonioLUzI FaCr0+cqNvilO92GqePw+4IsXgT63TXWdSFN1w4SBEd30apnnouavVl51sLhRamBAyvIoyi+HyYc BmDdSKyKM956hav3YYuCuO6ckFpUhhDYd252hp94SK0kQfjjXFYmJdJCV8NqS7ibnvLvVAs/vbJQ pqHEXLUPSOqVOWEmeL+2QSvrZsDHnJjYRXsAGfPpMgiJr2KS66F5i2c+ZoaYXq3KIUukHdSXyFUi BUxyrM9x65/viQkO7lkHAdn7+8ftPWOIrbRD0WeyAU2U8ZCv1c2XgdXHy+Kdy+FhxEqxApbq/96E QAn7D41nEvFjreM5CY4HJYtX3uBRAIqt1G/S5Jgl+8FxCrU+TqjOIvRB2pPGgpoy8b8tnBNmP5ga dOywyw1Z10gQ4AiS2xcKhbYJVre7agE5v7wzLkwkQ0WqkVBNoT3puBrtAvTn3Lh62Zv6iTcvRRKr 8n5zhoflIT0ayE3oCKkYtH8fuJT4OtbRauTh6k8vwwmTXE7hCItd0c1JAERJMbWgxhl2cK0LCy14 KAe9bmQ8S5f/J6RfXd0lwBVVedDzx7D/lZUg2nDAFnIiXIJF9VFDvjip8e4kcpAY1GkLzhyqjFxD YW0VdhKk4KMug+VEnhvFbFZbeXfSSImdKs+k+qamjZD2D7ziJAPzsVCP3xjPDwY7QALrVe3MZoFz qlIRPByDSKUTAmP2INih3ySumUcgAVkjvRaRfasxm1ZBbahcRuioyfsWWj9vLHqlA/w2W9ib7/VC suHTB5pJPtFwuknT1IaATAs3idoe6/cQEDCqAQPX9WF84m72x0t6oZ00D5O4xqxbt0MetcDlTfAv scBxBzGD3hsYdofKpUXgCNvKtpYZHuCQUVRTULjzJ8759/T0rCKvY+rInvrdqhqn3/2T3y2Jtak4 dAgfMzjkPo3WRvKvhI8HNZpjjREDnAkXh+m3RaFzSjacTTp9chfjCuSyAK/D+djWFRzesQyUUtSc AE8PNMsgD0hwWdfgepi/7K13ZHnuh98+d15fBV7yyOhgeSwkFkanCKlmDivuUk2bSaeG3yHlLLcC eWJlOoYIpDrmTk+sxfhL6oOCQDb7VFtgLhTxAMMvFyr/XoteiF9xHcv6PcHNRJrj/Dc5oYaH1m/L MxQ3KG7aDAcPfgDfT8rpUkU0Znj5sFYF8kmnGZ2V/0WviEI6cdV8nenpEDsQk7jJo7cIp3tfYdyi kfdNyEfNRSDNEjapI5UREJwytJxHZe2j8UrjqeDyFME/MqBzxUQNWD0K/uVADSGHDx1kzCY2ohR6 wNaBRVMShtet63cwxxMPXU7ngRBrACqOTR9/A+4Db2HvkdMGSOrgCVYZYJOL234CCju+l/UWMbgW 8WwwFMLloYsbP34hK4QMjeEeNgukHMFcjueHJgJJuKNgNY80sccsC7MjWMk9NltHMcZV5UJudN7U TWVuHMb0Yw/NYLoFh0WlV56gHcM2Dq7myqM8oSRje/PbtRjmuPnt4O3xIzVPGzuJ2Fq65K+RJead e2+7Q6pAXHVLZr6PsFqPrVERqgJLpknqYsIc1AGPXnO8vtd78BnL0Yi5T7o8F9pa76hDTwGHf/Za L0NeCSkCJ1StOKMD5IouUKIdRFpoJq3N5LwKfYkflz4q6ZGmKBlOmonBxGaGBWFUMcetTkjYMjj+ uRgZK45hU+UcoWRmd4q7UsicFt4AXzVjKIUu7YBTYJZbeHugbi0qAa/YyJkpG6poVFSfi9wzemGG A26qVPUQWNMvE6JtGjxP86qKrMVAWM2BExLseGzLazJkEqP8DTextcA8pYI1o53zL5QKQLkZBO5T e//eVmnfTbFBs1tj2i5ebP6ItW14KwKU7DMykCl4DwtdJRpEVcvEMby6UeF5ulSuv2yrBs2Z4oez FLqgG3vrtf9h6Qq7TJ4FNHwGL7Quf9QTgofnKulPVHSL7+OWcE/JkAb8mymIjo1zYrCGX+J8UdI2 iNctHwSuK9VLcrcV3/XPfNY1uh4uG1DgdKHr4+swuY7Nhy8/GP8qX53p2tSD1yyCn2/+vPxh9j/a 2wLQl/7MBSRi91Ieu3aolqiuQr9ht+lEBTQvJymc9XC40BTelo26x1NXxm2hepQyJfxNrkkM/ddP McoXHL0sEt0jF851+MEewuLs6IKPocmFu4dmsmVkitItFVe1vShD14jTYc0vuee5Z2EW0KIj4brh /d5YoS5rbbapGfIumn0rpMZeVD+K2BkG5G4HsuplG26pqjwl6Hu2C3U1x3gJBQ8q4xStW9M68bpW LkxkT6oSdU9EaECoVPRTmEo7sI9ANSV3JsKkia89QiyZCYnah0VgME/Hk2liYCvF5p0XTPhQeUcX la6OxS2sosiwkoCafiJ7l+rauEveLuOuk+tZNI2BGGY/IfSwD2MwfV4yYH0O8pAZtHvmRe16lu8r g1l6TBhEnPTVS8bHl1ikCkm/VWnk+Ib8ZrBKXHrMdwyl48aRs0lL5IKK3FIrFJyqBGppumc+cXlY vwt8LvtcFnEUwW2kGVDtYe+mo14ZdyNqb9fzna68kivf17oc6hnSH+NQqI2XnpojwSzDmtJZFJSQ 7FAzTl5Nj0Gxakxdt9CYsCHTCWJ83Rxe1mXs1RnKuEs989LAlYvrPubSNhyNgEdrA/geyKGKvOMe P8km1Tjoey984ZNwT2yb641vWP9ELTiSG4htD67GEztK4M2NanHv3W9ccRxNK4ZY+VmCMNNShJTP 88dCaP30rE34FLWfkGkTxNB7nZUuysMkaiQLEzL2sFc9LvsY6kzlHqfB7yApUJD0sBwFOlKu/YYX AfQhlySfHPQFL1HZprLrHAzVAauu+Y1QbvECdRkm+RVHeAI/ScVKHwWAZGzlrhb8axv+lhyOzPKb +QL4TIZZBoFbeQ5vjE0SWSk0My7w9FCLVT+xAix6VnQhAV/QTPumDP+KAJuxU8zXaWAAQ7zM7Uat uQEdOdJgsql4ELUXLcD2G7FIj4hV1K1tBuQ1lRuHGjMLDYhKTMgvMA6kGnDPsFFPoTk1UyEyZ54P iWfkoZHnnJPAykiYwfFxzBYpBow/eYNThho5eWM8QL7KtL1qWT/xtDVLpiB8s+hPAIUjHe4yaasv SAbksI0HwT6IrxV5MEabLDy8XM1sjLwBis1mV6fwpNemyYzpeE0skX+Tr0hv4UHXS7wbLgXeePh/ s75LjMHrunRZd54fIWZeIUc59lX6H6/B3CjtnC3raZramFB50LCuDmN3N6TdGrxZbrT4uocO5ozo 3J2/zt4Qz83oJzZv8CDkxtL7Klnd5Ed2JaZJGnwLP6WawRnxf9eW5hIlPMFxgAFpSBiiTYBvv9im 5K3oqFBRdyC5iZfUtMNv0RTpHXeWzigwSc8tvQiS29uMjrrzFGpT7K1NcAma0E0feA43GZtlky5a hWRzg8NqchC5RTyEdy+7g6hu9pHSEtqTos6gvVOAW+Fz5K01/yYdle6Q1oKQvJ/+sdnxZyHXdzdB IQSlm5QhOQoJfArQKMdEJqQd02ZOZK+/LgcFi0jZOUewjl5uJObsPY37094EsETQPEHTXlSqU52O yO2Wu9tnzEIhg2G0VWHDtN5/h6hmoX3gigQmfuYnXod7yJ0F+eUyHt8/gxwHKSV4Gq2KoCGS9w1Z dtlXb1kxAHL7UiehwA4m0SYYWFP6MWu4MeC3UnBvWDSS5nDReFYShQ38/WYI5+VvufSB9+dYPSEu RNxRxlhjt2nwvWAJG+UH8REsSHMJAjsguHyt/IwFrF+B4qvOhwrw0wrFkCkRZfiHyuYzOic5T/ur Vz+mzV5ZcMZYE9AP7HXPnPkWT/3m8UBommgdJYhNMnNzQdBFsOuiWYZG6cULi94+yF9Bkx9CvNGU P91cW8ZIkkpWm24+Wckk3VeWfgwSfMGZ1nltGg3bL99N5sCYivip4mQPEhZuAxaEvap3XiCjlEnn hyiIBhwMM/ZRrv41f/oHSsMkDKzCFSKt+D8xZPVfMcByn5keAURiQUG4PKExOZr8qbjWUnbDejIi ANTomN+3nFUx2wRDOeu23dVwEDt34EXuonC0jdP0FoamdzSAr+I0hW4j7ilWOnCNA+L15/t4/ziI lLNFtp6sdDdOo/FEAT0ziatB/Vnj3tDis2BaEYlsooD5M4gweYFPdRk7UTG7FFTWrCUGa6l+hagL sHMd6P5O4LsGUoeMJvgpqa8rOvZwrZ07DxZzhPrPbgxElAt8NNJcU7xUDLcTPjsJzFyiLocUGZRj /ZfiZkr+SkNufrj4vLrE6bKkLY7BRXVr0vIU6TjiaanMMhRJ5Fka5XlbVoJMJY8Sk/zVv+XUjUUp V0W7aH0KPOmr6B5XWrIIf1VqfIqU9qJB7oy2FAlfLCbYK85dgueCX59Shbe/vqfg8RjKM09WZzYD UGLOEqiW7DLVJBq04+4RVDa5KjVRs1OisyWCkkta0O2PNY+H4hdztMjDRFIAVvbOsSnFl/cEoetB nRRTHZdEhDfX9IpaKs8B16Kc80RKH6A5SkXoI3xBYj6b6HuN3j8Bqq9DHMZVA118iOvrBWIfOtRA 3d7LM3oY/TWN6AKByTfFZaO07GW+g5/wHlkb+T64Vcb+aOAqHX3YL0/kHhJVKN3/CYFjBw2+axjV gW2lMAcK+xan/wqBIl+9eCOZ6KySUKbGxP5iRCmKdwD/ooz9T34EjSh5QohDHaOgGfUf7pimENmT grN7QaRlusK4/wnZ8TXw34INJdUTNtvUBJOmk356PnKdwfA4f7rFaxvf8mewDfLz/ONSCb+ONf3i haUidttLMQSpmbaqgHgrmx/aiNkbdd8Z5nAWfQAfQWm/4mAAkQ75r3OdPyJjS8Nj75goRodV2rlR FLhImVnTdPgU6LZfZwAHz0wlxh3/xwiTvJU542Ae46NhMkGddjGGc6P/qg4CzDwVFFpdlHPnIlCB kaqbFsDO9ENVAN0fbz5IrNGu7FtyaCqE/FdZpXFYkV2je6nPaVs5X1+rrFwzDec8CnlXtUimYUta ULkdSe4KkldIC6BiqrNvpnbjO1XvyiRvOJmuDacRPhRdNHaD2IGpXjRb84Zc2K9/WFtrKa2Lg9jw SnBQXRakuPcGw77rP6/LD/5ivtpKBSq8MCSXzoH59JrtkWxPud3DAZMAORxt6JCTs8ar4ja5sE+m S1FxA6EhENTV0fOE6ZR7LipzyjvR4dTap6M//8a6TUsgw9SYGpLSQds2m0O+VzAlIDU3J4LGLVmx vDyCjrkfLvHsYFzZOOMsGfcT104Hftt2VVbry3LKdxOjfrNwMLCsoi8nJoDaGcCcstvCh0K2QmCg dbMSRwovpNfSpawYPax+riPdlxS75rqZyQljcQa5K8rREQaZAqOiqObpuyeFVqt3khZFdMwjSo5B WKYf5TXTQ7Y9uNk/Q79jQ+eH6Jm7HKT1Q9y3K/eHToHRWXKQLF0goddcst7IGnArOMzQavbU2E9F qNzQYn3pg02MvMwN4camAkqtNxGuqSx7XJ67V3F0ui081PbMjoDt2YCfsQFSC7o4/31MXpdzb2bU kwNo/nG+kL1/bGCFY4H1dkpEKiTNgGCXW0pY3dERcOu4X801VR5Nf4Py6eT4BGLrkn0xmBFadhuv ao/W1I9a6inCS6tLyICWZyAWk6J9CS2elMCa9RROLRsI7H1OqSdMJtQC256LSXjHZVZ4aOwHiBRC eQReKNVrAdcXNiAXhJ2Q+ar1wrrAt537/aGML451eqeJ1M8MkR+7axvOORuwWLkVzKt8eNv802TT HHyhsKS6cwZSCgI/BHt/0Sxastj5vsLpKfpPPxBSdRoQ8LpdM1Jv43drxTx9ICjMULYDsxni0iTk oupVHLeG4AEerzuY/femZAIfNwtE5GrgtXhhxDIWsQnQunIHDAYJzrNkhK+/s2mzav40vO0Nkzeu mUtss3emrbH+MF0rJixcKisGxR6U9JaC8L0RW8eSNd4jXgzpPGEOCjm5flCUxsKARP5GTxWl3RO4 zBmVpUJri0FLjbWKLjgzD001rSToHpdb+EFi3+mKbrZp6fM8jnwBbMcKNZQTyxX6z32OGjyS7yoy 5uR4KGPZ8EtjAhLlTd2O89JwSpgPg4bx21IG0qNcKKg3X1NDUJa23idp9u3ofBzmjo7SSDgGdMX4 qAV0EL+QubvZwH3zzilhLtl6IEhEynjPR3I2b+ZiM8dqx6NMwMbcm32TSyhs5CKkCzU3MaLXY1A1 AJqstryPxXmQGIxLsDSB0Hc3TfkONLOv/AKi4+r8/N29XiuzjOBeTNW7K4Tj2+bkA/91kPkXm5SI kf2HA1f+wCUjn9a9S+Crq6kM5rIvxk3ql/5e08iR8X3RlBicGDec+nu2cfPJHa+IHxs8gNEZnXkg WF0vc97jo6Dqmf9vpCyfwSEz7vTmW0EG91MZTK4T8IbZ558fpJumTptHkI/BswO0/u2j6OT+WMat fVXVoEBSTtGi8VRhZPIKrs7a1YxhD6w+iNvzLFw/77vkx/tAzO5aVHvwgbMkG6+h4jSODxnS3PG9 c0/F3oEkgV01brySJLNWIfuLtdyaMfNU6rZs+uYNiJo5EOJdi1Bknzd7KQv+Lm5YBT/TXbKc77wQ gqdiL6doMpBu2uGhy5Qs8huaQZGkuMW7cAMnu7QWEN3WYxy0364NRc+p44G4yKVgClHqD9Czcdko 56ofDSBqoBlPwYT9HpvcxqNzbxgPdRUiR4QNQw19T27FJqnYmbdbEav07TECCRaQfhdKviCc5VhI vMyk1k5Bka0yATgU/M0RiA9THHaGsx/O2BXgtbPqPm4nlV4hQoQPpM7WZyb6b35hUhCY5kYNc8DN t+c8Ysdfh6tkVrCqsPSsr/y51GuVSTfXAlPtivPf19SNbWxAh7wRzBhdcjGG+MoxZ/pZTINBroci R75cOW9q+ds0uVUdw+q2qn482gTn0D9YkmEAbAVUJFoRI/rTjiUw+7xU7uF1kzRRVpAYM18w/Uct y3hM+K+fMsuVMzEOhm45HtcKNaew2akcY5XCcVGfSdE/e2S4j21PP4M71uODgMpWgPeGpjLfvG1k KfbzjZDgZFDHFgLhoZx63zdeA5wdHP50EYCvTQgNnQgB9NnBNNmqv4ptI0EcUY7hYgYSAOWSjuWp zEiq2cDEi6v48HjAPZnN1wbZ4v2+2USQfBAjFec24KzdZQo6tLROxakV65BWoVVoA463H6JNcZWY 4KEF5tADng4BI23IusGVIfDKCH4xbL5cnEhk6ev2Ohyplfn9kWhIutUb4OU+nHGhfDGCF7pSQ4XV BB1UQooiYQ7zH3tiUyhWGqvc4YXPxG8EECShhL+PkcLhyoNAJwV4FdeawbO/581cqHa8Q/hR6dSG qRCxD3Lu/OB2Zzqxk88/n3n5rnGQcgKjbyo31IFJ8y+Fn9mt6mj1q+nNp6RSV3PJgx9k91UAqE5R 79NlfmXDuKEB0WA2WLl+KLS+SX65KRQbK/3xpUYv4u3JqTS4EJxTpLa/mqdfz6+kSx189sIBbSL8 R3tRAZSboqjCG7LBdDbMjIigkqPE+uK7Lld/NNnb0uEb0p7oe1qieaq3RsF1Nv7X2ii3gq6XscmD anaoaI+Lv7JZxTwrQBUBFzazvHSbgTNl+pNIon78PA3dXkAOjqvX/8f3+25BUmEQcs2ZcDeM0oY4 Nw+NzHxX4yoL9WxoEugPR7MawrTFuKQ/PRzTs8KF5hxxGt7rrnVBADDVJIwnQnvBp/AKQxVpCZQQ /4NTIr1pr9Mde3TMOQAX28EZyAsUDtkspwCrQAPmSlxw/VMkz42ynJetFy5jcEcv+Do18Iw2GUc5 /LMvm5SMhDm4OK6ckU/IQnisl9OqJxKQ9ES9KqLuPnE3CA6i1N/2EmJLbpY+UwiZXkUqY/OxHBFF GdvL8Hqpdb+JbfWlIZa6omFOPHbCKtxxmXm79VCs2qJRoKl4h2vMG/YJGC6psJMLRXmrpdqQEjZa WtWEcS6tDTSRGyG86CphFCLeX5SzqVHQ36GWCtOcCPZglQH8vo8Jx6Z2GBT7PWX7AMssveLFcjEx pAFlzW7KKae8wBrH0ZgD7CpTNWDucpq3C9egCpRRqU02hynSjczsas99/ENHwY/K6HyGuyDScyEd ZwxwnBVb6q1gN/orDkN+adjG4kOyx0//uq/0WC/U7Oo9YyJYK6/pGCOvuLM1mWBumVlOsRKX0Oss /N5lPWfcovgLvgiCTARbeE55r+IyhAap93DUwKc/wTSnfFst2D2J9TznRsLnpdbG0neC4wy7YTxj NsNm02DLTyq/AkNuRqgR5hqzx3XraSKWlDTfa2Z3Fx9N4YB7NLjiXtyKk8Ptp7RbYMc23D8m+rVm tJ4PbvA91mkw3tiqKCVdhAGrN6YuxGdYfXBY0kIYlAluLZHfiJIqyXGziuD3HhvRol+A0U5pPHdo g2E8BUZctoeQ0/f2P0p7A6VZUtPZzd8XplJOC/yo8cjmmt/JtKvkaRFcUHx+tKZLoCHvFS0swh7o VgsA79Mnzwyj5dj1x/e05e9y5g4RoVQhz5JfQHBCFR244PoBHWRh3CzKW28soV9u7pSAIPl52for 8d1TwlO066gi3gU4Euyxvtt3zTrTMuR82lIXZPy5HN+oZwhsXhvPqbs0WmaNr3oC+H226dBYGZzw BD1/mEVqnXK9yW3jRaT2B3v/0qJKPICiooh1oF+9z7l6vV1cogWToEKQoFESQoBbDlMtnMyYmScP Ss51ces+gtia64LlaDAuCXXu8TyiI19TGCmPE2nbjKrUa2evN4be31djNVVvfIsE8fU6c2cD9iLL a0AgH+fWODll7Jy7hQP4jI+DUa1AGBsBb+qcfo6eY+c+0yHo38B7PokldhlXyLcAjAMYdjP5UaSV BGNeZIBZw1trbraYZl7uPtiFg7OasMmo+ew/ZekXA489bZ/LV2y7xlXU10k1vICpGv1R+go15fAf PK9VNepTTUK1jpjKWbaQWumTbwBJXoxYvEVwQofCDefNP26dpmeSMKAh2bLD+8MY9v7HarI+jRB7 go4r5LukBH1n69lHWvZ1uHT8MWi14j5d4zzZuBFlpz7pbwrBVK5Uz82fM7eDsJYFB3a7FRvTbymB fAoOuNHnoiAZ60MWfyMf/rpIu2wLNrq39fQj+X3568cr4SRCumXGA5dcUfceRI0jNEbsZM21TL5c q0cMk6kFLcEl9HDtUrHPn0Va58Y5rqBE6rJavQDjoNJqJ6aA9S2xmnW0uDlBiXbFPDdtLSSGTHME Di1/Qd36Ut9GVZDUDZUJzqtC/n/TOLkLC5ghYQDQRFS3Ai3qcudB7ci/RkIRNj+jXFg+vfzKy8pp 6FWDw/FkFWYHwZ+5xHYge5S+jkritCKYs4s6bgvKq022veLKEHRCBK9zOFzjY/At9LFkvg2b37hb DWSOKzTqRAlPQR1KUCuYxBeNPqxKMTSgNtPhaANjZjPuvH8Gv+9g5HFamyAnseUt+JmS/+sBdPCj fB4YJKbi6enqlNnnuTEDrniSyA7UoEidoYEbSSSViKZdAB4JJrVT9GGxVPzfEBFUH+J4hCiTy2eg MaDQqqQURZAIQs56DvkrkAibs/goU5UCC7s6mBPs6mGSRbQAEfaLebG2G4DQgZepGSUa205scHJH SkZQvHYsrw4es8WOB2auUnseLCo25ASVuEqTlp6a4hX2DYSUru6kKz+BahT91RpbSiOQQ1J13LYc dwDzQ+pPfNi8oqubX9gU7O3QULf9O2rMnaAjfX/gtsS3O9KUy4zr0op06NIGgZ3ZSYdRZZMIvwku x1g05uGs2tRuH1T1mDOIJ/2NWZi69UixTyEkYz7nhrOPb19n2hocT3M5JNzqmcE16n38EiD8IFkg xaVCrDahxvm9nDsJ+qKrOwgbhjESQWWm+BxgRciycUHtXDGvteqJ8RxP/pb05vSwkigzqfzqGcef 0TuQ18Ztj6OGcXQeIBP+g9f6RlN6+5FxD8ZaNNjH77eSXIgZxQzDMyN2g4Fi4ccwlZXNdAKDgFKE ilJuyJj1/8NE9zNHS8DUFhvdK62oxfl3iGo1wVTgDJW9/Sd21hhth/1m1KwGoEuH6SdWsOwDtYhi lOXlYi24qd+QY7bl90+fd9KTqEHFySnk7nWg/KykD1ei5f9RcYLIMEEGmg8AMMoebuDOQKuEGhs1 74DkGOJx2DGlLe/wLXcqc2ysjeN6QhHUaygE7oySvvH71mp8FpCOqtTv5cfdgOr5GGwZO8iQCOKQ nQv/XHDbcC5VQOscdF8cne0B/JliJ0xptwe8c/EibCInLYZQ+zlz/neMrOD5gim40kgE8J59lNrF oAbQoCALoUJjyGgm5xKNAhy/xgGEQcF1wavaBF2e76bfIkrtX1r8YFw6MvLgeOp1CTpu6OmnBtQh yTKxCX45R+Ps8hqkBUil0KA5NnPLuUYE2Y56Ny+aQExRpQa1VSwe0WzDBJvYsSp2/Yzp3mE2BUjB bNcWsCLn+Pk2hCZbyOis02BNXfuzHbS0AcJstpv97bAGNszkNNqiWDuGK8ZA3pYBqTTBdcbVE9EY 5ZM4F7NxuU8vhlu/GGUyWnfOKqjMSTj04Llm9axWecVUMe9/5Hv2eZXMSAsyIADbzPkBpxiqgQIj DkEmCly0xZNjtccLseOJw3qxeM/JsH/kIOIBAksJkGZfsFTvc6ynpMLkBlb8lmok3llEisJ2rr3a xywNaQuTxuJNNp7KpkbUpFRNMdJMVqDNHgaGZ/z9EXBzWER4/U2/YitNapunsFqmD2vMVpPksMZp +wadcjHvV5U4JHPboV9K477ZGYMJWE2BHcLyaW3msIUgmg8QVbA3N/G+FW+viGWSOM6FPB4owyBO gyF+MINIj75c3ixqc2LXPp7rwE2M2thYbEcpV6+QkSmulQrijva8vj4xSMVA/5CeyKTwHCdV73g3 jWUwYfYfZEwIMutRk7PjOtZi0XAAMgVnmlds00YAjGUbfltnTSVCXqjh04eXfpsQrwRFV68r/f7F lVRkbvvpj4DI2KNnYGZ1wRWCJQUmktlSiYaK9Ar//Q6a+H68zgGvwIC6JENEBrbvZrcgMJXQVWul tELvynyFUw+3jn6EaYpVINogf40rUhoWd+DhEv0eJQC7N+TBjT1ZWwtj7N7nubV28YBqqjjutaEH 6vmei8c9Zx89SwFabN4iOKtiaou2bQkdOrjYE9v4gCEC6Us8/vcsxPXqNZodCxpuIkj3dyReFsEB 6Wm3bIzRkrQRs6OXRc7Nk3yTe3Fn4DKSugLNdzID2pHp0IOqNJcTXjYOkvusVyYkRUnH1jUQBIfQ E4TG0WYlZJs96jDgtZ8uaMdTm3q6nCW/ynkele3ustrBYeh3Ovu/rQY+6pzX55xEg1uaxBUzJRFA Xypr2nWLnPtnKzkdgGyVG4zfpokFXgbg9PPQHH7F9TXKOs8vMUxi5eK3vG+jWfy5WEn6AeiGAEWP XIdtHHXRAxXCR1Z2uX6BVaYkLcCczOpkYDquvYNGGRk/8X61F0bh9RxVeRkCxBqx4cVNSKjvP0tA FI7lo3Cv1LBsc36aH/K2VDpEIGApZGOK57qRv+K7LOqjCPYLdeJolH9d6SmMsJaWOaeMEH08pFKx vpryvjN/aguorS9pqmXhmvRdYUwyHVoV98GQnnawWft2ZVPdlUJOR14BeF9WCHPRXNjDxFFRoqWr pvjDVcgSprLwBVRhG+FdtazWKBISZgwvxbnQhnHuAXR5BI8feuhwyITU4H9LhZm5V549CHn1RDwj BYZY08CMlQamQfxP1wFxspdwatmqtjVWdS2YJiobmjg1sBvjmMWUhi64LHCkiWEC4+jAi+0bPks8 282U+D1jL35nggZ4/nYeDfae1T9wSIBROUD4YHyzdFhcdQzTkbzRNXdafDcumcGOzaHLewN9OTv2 545KMgNgS0A5FCeNelMdgNNdxPA/p2RE0H8iZg/xf+rGnOkUufChJKtcIf1hnbiaQJ/pLRd989fK YNkf98R1rj9QxFVbMEFsObZVd/J94mOUM50zszNlzxKaHR5sdmhdzTkdxe9x7OThSErTurMjDlNi FHHSamr7pCrs6L60Wp2Esf0BAC9Xv6Mg2GduwcE8DMFps9V2m9HwDxqdPfkkx5XkJx2b5m1p8zdK FW/HBzMjbnp1MLJ7n5EDvnsTMLcV1v8IE6l9ootESZR/AM5LQRl722Gk6ZlCKlaLb/Le91Bk2jy5 HqC2tqbp91+HoNGcTj8qGxcVJfPGpYYp7Lx6G/JGWCbzSb92e9gZN7XKpVqw7S1HH524I65x/pID ArNMNBRPOW0FCCgxVE38MslvjxKrdrODHw6ItxyYvND4KGphAKaa3Qazj8BKfQrkb1mO9s3pkeJR 3D2wjIPJgI4g+X6vMtr2I0Qx0rpqGmPDse0IlREAk5Q2NnkmhQaxTvoJk0XZI4SPu8xn/DHpveLa UKXj55PioZ9F4BgKdqcC6zgg+qoTBrAgNrBP8k7AT0qowwCtJJBoNDV9PTi0voplbdQNwIL3WHiZ vPA/i4SKG03vNThEA4T20w2qBDWxSxo86zLLhagzsdsHMjoqBMtP3SIZxA/y0BdPDfRP4egP5aGa jl2lF/P6UNZZscxSe3zG7mf5wNRpvtF46fdMoOcXvrgISFc9dn44Y1r3IqyMknrttOsKvDZUg+4m KOwkLV4i0jFMP/AfQ2KwQyzcrGM5h38yV1wuQvZJVaPfjycqVNusswCR6ddDu2aK/ig12iu9ixHc u1rBX8W+u4RKVn+33tfhg/fl2817ihuPNLW4lG3DnYm6/xmegVAF5/j7OGhzsQKI9iKvtTn3M9ys k4fFmXLSKg1t7c/U0XritJu7wOcnGt8OtzaeyQFmrLEJ7g5EdLNQvic0rjZ1Qb3GoIy3mbjmXOoJ /9uYq1qW323Dv+p/11OrjosXMPcIL1FjXfMai+ljCY+plPgYo69LKpscFIEtA8U0QtEV8/AmlJtR R2Z4DKuSCj/t+nC0sLlhWsJzQShzbjBes3nfXXTv8xYHkIKMndhs30dwP1oRrFVHUV5gp+9fqjXl VUmsZvGbmrJeAma34tOgCvyCwbcwFV/raHwbEyQ0JXv0lbDaaI2CmjttiLSTk6y7wcDBXHK1QY/n eC5nfFqoDLsW21HdDBqskVyFgMcRl/M16wI4vacljMw2o9jzw6msq1WCjuO2cBlJK0vpIXIZPIU6 +kzYm/kc+RNqSLT6vvSs8Z2J9RjLM116BWcTEoxr0FzAPed0frAXBsJuuAZZChspREuZKmoNSLCj 07Ks16H7+9frPspeB3dBMgctfdv6fN0XycM3sV2AOxV9B4otUh9/3n1jfuyy00caML+fMPbYZoZz 6VxhVttrbJSARaGbMIiRqOgATG7TueHY4NAjwZ0YqnTuhdv9F4xTvnrqBBOexvG3YuNv8q1VaF+9 8qb4SXjBvo3SCz7ZBXhKxOWFbUyyIwgshcUsC1KPjPYK20aTGZ3BEggzir+58cHUa9rYrMXaB2ml u4QZ4TI0vNp6sPgJ3Cx36DgddSPAemNk461WLtjm3jJMI3P+G/Px6nRY6nW5CKVTXTDSVL3ckJhG 4dcI1iydQPXL0vUiOMY7TLWKvKuY2EaQ3hKQsAQKD8XamNJw/LgftolFWw/peiePQLHR20QstImr hqqw5COaO67u2TWaEzdoJ3Hlpi0p3JThjM/5+9NFJfpNxFkThoqRUq1NMqq+sNwElwfjyA9sRapc fVFzPBphpQ4rJTBRw198Bl9Ff8wOG7mP2vSTwpxYrtYMsjotxWMjwMtWxkdfqoBNiSOFcEg2FOC+ eYrpmdXqRzhNqUVz/cDKVPsNFd1B1I8B6yqI09wnxVg3b5OEHMPCEH2TGFj1URMc9zE7eaXqHUZX EPmbQyIsQaSjxN+dEdRDUlWco6abA9k9wRm8mDsFpGVdWowmQ9ldSMQwSVSmMjzI80S0BUa58Vce isg2DJOSJtviNFwLk9uiCmaRtprddMmxIGFOlYGRe+G00fkOFvyjX+rpBwszAgTKhHgF1zYYtSYp otsQF3E5OvxGFG5ffSdw+rH2EZbDqT9uS3QJFCSHqbf13LlMvePiUel5eHPA92uKsuUjRiLHDG3A skiZOO0kbLFad2uvHdAnvrikIeyuMybULbu0FyX+r9GbHE2oIA7lI+tsTdpSsCbi5/eJPEe+7rZo rp99mjnxJJpOdy5NTooz4V5y/tZfSSxjt3h1NiACtrMkFUvLfDJHWK5GEHXmHNl0Cymx7CtYWA0Z nzYsdDZl/LW7ETkbFf8PH3kOB8UfqPoWWUKb57Ik42pTLbq1bZj6h9UyUzGLFe8Ks1bEPs+y1yFW 5Lsvfs7pJERKDdYusAh/fHIFJVtIE7Z5oL6NV2dJxkJU4gOZVs8qyYrUWVCy9yRcxwg71Ct0Hapc wO33cEsBozsmtugzvKbWQsMkfqYHlbEGsSnz5fnjk2jNaJDv0t/295LR0QS04bGl71EQ6cGB2K4R 2fLBRBLpPCvFaslb2hPvPg74UvC/0psQGl9ctBuvTP/I3xYBMQu+22OV1jWAjpTPsNyemYkHjCcD q7TMOmASMZ51S/TVZJBB7BaLYfH/UCQhHEWaUQo8bBFTBrao16+RhWxpcVyIU5kIwuKYUUHku7WS 3bTB2QtG46n1c/AXjEADgLOVbYgeVtVy9nRsAEgVC6e5Rhdla1xelJpYZEJiwgM5OOkrDO1U5abd sJuWjMCXfGvS+lLOcLeMpwKB+vH5uJI78JyqsS2EwnODkd8D5L9JVYEz2kVpoqzIIL4H9hJ6nuuo 2BBluBKe37/FvQJ5vFvGOo5JINv7h9YF3eyxYgppJ2yPW748ahGsXzIOx4GQDMPwTvSqv/NTO693 Gl4BvmOV/5NUWgUqSevSCFEAXBFgIRSUwtiGhKKCyJXVlxDjCj6Fn50PlW6uUyMIjpeRqY8zx6o7 3upEE/Fvw/Bbb8NBCUM9UrTygZuYJGVk5h3suMVSrmDJQFbkgjwq100g51VwNIF1PwdYgUS2Zzh2 k3xCW02ik5u+BBSCOn5IYqwebtDna7lDRYzEXNddT4f7HlnkQWgeDzOT2ZjwBt47p4KLVoeYtkT7 FJmmaVMMlmSp7vQc/tAN3PmTPov5eg7IQTZkf2hH+ZpIChG6C7XneSi6H4FUAWLbqZKs+hwkyVHP 1oLwk7cD0wk+Z7KvtmPFXILnJZyK2oAfbl86Z7PPnOfHkygutZoreOQl1S6psJNm7289dwh+xjgJ yT8jDs2J0YZmWuWuyriq2W/D+Wu8rGdkJOHaU9vUpoJDfpD1SuKEDHhwNZwn9IJ7aYhhkwGAygeL KQ3QvYXvvjns6kxbaTK/AxectGBcleTVJZ9+C6V0Q6RUgq3cWLEMMTFCR/RP7JXjvULF5FsVR1E3 PvBLufvwL5KBmA7FSerAPnWMyeaG06tgJ+xGxLB85pTuGy4rZI2vwrpcmCOvkJeqlyIPE0cHBdRC PM6sxrEoqjEgaVH8Kiyl7etL70c2rm+V9k5AlXLwZXQhu9sSpPQnLV8+j0wXAVqxOPV0qpjr5FBk LxEZHyWoY5Agq0u3yorBsT9mD8O7SxfMOStFWYhNXLAGehP85sW95POyI+Ett6a0Upj5Tlf82BI+ 6D/xQc1SySNK4ofho2VSMJj5LZ3vPZCHptQbW+8YHGifIV9BoFgAMNsv36UoXY9apQZmgengKSBV Qp05assM64xOGwuQ2bZm5llbhWzl+OYHj0T+5Dehm5S3RpJzkM+vXYFKuqU8w44KurXqC6gy7WU9 T6fXRZ98ogzfPTx2LNSOSw82coQ1Aw8aH/bAQOFeU6qJybZkJhja3v5UEPUX2lraTEZ98SqooC8Q Lt7mqWLu/jluUTIcX+kbK1puj+yZgYUp/K8OCJfzbE06aHxhuawtf2lyAWnk0Rh+qr0PanluwxRL XlWDj6Y7DLa7B2XV0uOYQiEjBoTcbCm+NK3A17IVX8kSH4wnXj09LlhB31iiVUM/N52CpcdV2GEZ fnXyKGlGqlew7qJQ94IZcMhLK8llToI8nuyPNf/Bpg5pfdOni11u3eWv0ndbI2anpqb6hrgjINYc m/POMghZSjBYm8swNKKS3a6f93b08cFGR0jEO3dPWjKbw9IfTUbiDV27BcYjbxKuMw0UJMo2G2bE XQsQhIn8hZtNQb3DVv6YHjFmgI561tWErsytfVOZCHDtpAQ6nsRPClZ4bogm+SLhEtZ9usU7+JhD 0qro7G8P7P10SCrnl+VJOCRS86Xmtc+W90w65W3OdRqwfCLTCr2WOORki1c0PaGrvQxu/G6C1k+b fpt8RUPHZfOpfE5a+ICdAIvgbE7CXZUdlpxcdWI0YzbDgTW9CPqjn80vTI1nOt4Sf/Cde/PnDTiX x0q/+wnajvcuuHiV7lEJVnOnpAL9p/CBdNxejgZgPO/yIgLNtRHAGFo78fy182un6gEkK/PybqMF ddyuD58qQa4DexxhhgZD8hg2zG2FxoZH9drW/kfqmLdzJXGctgBjlx5qTkaL1rlFh+HCRorjQft5 4hwj+bHtlKy8HQDmV8BeUHAhOW/gytfGR5PLiBzKPyJRcL8Ybf9iLC+T1v7IedF/4ktRXnpTQK9R oDST9UnPFnZLbJkZR18ImmwP7iOtKFoPel0lgvbJJCFt6asdeGhQucOcOum1E01Ve0TvuGoyn53A 2qi0aqfBv2BOhFNtU3ZEt00jNjX6PmG3KYeePUvB+WfTViUG6ZxcowENNy4yRYHJplRrIosBTGOy nevV8ESDpAomMlfElZMST02jt4yqWavOtsvAXOl+Xj5Fvsp1LW6Wp0OSjafVuU48ZMWJB0I5zqSR /LMzMTfbaC5gTKzqPQXpfJu3CYH2YBfTujHWnmRk4LA40F8lSVVG7DdXGJTX5f/i0aFdDdA3U2i/ sY7466CI13OSycLYD7HbxW7pXMBe3aHMaRA1yHFMnF1cZ1dm8jA0mEI2xBrjkjFzrYvkYGE3OHRb jnvbJH3n01KBJUWPdGtAXrn8VKmHJ+P1JtrTibGGqLGi09YiFrdjVoTbiwKqgZ8IOoc4kmaiUftu Zvj1sVHq1HO5QJ/Uzsbr9IEsAv02yAQRuygQkiSdu9izI33ByxL+WL/kZBc/3PrjRYU2Icg6n5N5 MY918QorBRUWYQGrxJFXQ76NKOG4jt5r+pbM461Tfznp0y7yaVxf689fDDKMMj/PwloHb+jxyKW8 qtCPpVOCvqHV7atMlSgUFdc7f9K5GwfHsQVe1bpytVIwTCERlFziy+58yK1hMrzJZ0JKkv03zosJ 9ENK6xhyh37t7n0mvlQ50hR0cgQaO9vfbcSNAW5IXJWWbj461R6sRaV1/wOS+0PjJlNn+8RKoCF0 NzOJtX38s1naSJMKvFZvJRpcItHd/HU5JQPhyDS0WV0R7f+TU//wRuJF6fFl5ZHq1AoZgv72p865 rfgFpKkVeVSx8rRHPCIUZxqqaS8AQduKb4iGACIG9rhckbA8AnuvtJSc/y9HKRMYSURjT2esbjE5 ZYMVTlz40HMFLAu3yO+XVpiE5itEtGuyFaFoZ0/hQBk13Vt7XECWUu1kFfQkKqqnn+z/grbDuJmd hH677ros7zSmUSfmLVPACPyGWg6WeQbk0QnSmByvQuyVjFIC5rChkEx1Fg03ZqXEGt7x1xRvhkmY uTzUVuwGeoWbTUbTDr+P2UUB9GCktOaAmjgkW9wA7tfRcyPCZLfELHtgfusUn9+b7WWreTQXXyyi JyCQ0uX1q1wzgY/lJyQ67lelUdyn+CSw0dRf0mNOCUvjFN/hK4cJX0bIUqXQeUjF1xv2thrvRock bBRxw63QUF6u5R1MFNGI9qbE6BFuHkGcxQ1Sbwce7SbwMY3JdjpDEXrLc48ZTNwuqkOq9cCql9nu YRz2ebvKKSTY16X+50coCYs1OqZOlbmXxy+pTtbCp9qJk5CseP0DYawsinQDsEh4OYcdPGlP7C4q QA5EYmUlpyVKr3ZYSOzX6qo0fIMbeLxhohdj64PMptAsyyW8B7go5WoDN5iwFGTVI53ABqgsyUA9 B2lAt00CnppXa7bwjGPbH+HrNQ/faUlzp+IBJxrYekfTQ0iSGrDOMgxORc6aYFMHPsXFR4gCNiTY 8wOQPGCJqnuMASJEyyjUGBF3LwsvLBFtkH7FM9U9BupgibccTV/Jikm3UCIqfw2C5ga6SLYak8Hy 6Gsx22djpf0hfMIpDXWjBE04ENmwvo9DZtxPL5XBORi3HwJ7SyElalE4SMZRpP8WSiKVd7xSVY4R iVTLY7Fw4CF62IYqf4mjcLY2ux7XPzrC2YjnkOi2W8ZTbq9KQbzOBBrNo7KqXY4QC0ttWO5YcykD f4sS63t+VojKcL6zSqnAt2rerA39CGC4SojfCUv4V7+yqHcfkcwnxAo6t4UiDex8TyW+FfDbs7+X BNQXEffvB8Rve5oX09AcBqs0gikM1gDwwtGLIWklCGTm1DEv+bmc6G/mgbm4xgiaeQjcSGmc/Zub tfzB23hcK4P2d1Qqn6t5FjidZa+uzPSVysZdTgyf4WG7PfkgeHLrGJeIpvncyQQdIi2RIyC93n5a NP1tIxNXeB5cetM6oWlAIwBdtsav3NDozeRL15EGak+InyNCSA5jwC2u+HoYyto01D0IhKBa4Xo1 0IG6mldV1Me7x+jLiUmDzoboyVyiWfeo6RpuDLez8+5sjlhejKUT/74hJyASyh9iqt25R0fCfb5c /oebjTzXTiQq2JXqwgh4+fWL+CwtKCSVZxiWkizOvysBkAFODFiRZVkT/BIZQtlLBK2m0ofgCV67 x6lkDhiOuTY49HpHrvFYz15XgDVOrlE8ZoXdeR1QcK1p+5XAWi4RVrY98S8z3m6LbAHXJ1G0wb+y hX/OBSWNwhrLkP7mCD27rxnnwUkBCBvd8KM/TWZ1MoM4EoL6ZrWvwtMcN7a7+PAZIWb4mau645Ex Tr9rsdlVspEp1cw17bovR9m6ZUKju+4ey3LlCFaeubKVwGkxM/Gtaik0Kqi2uePp8Aece4KBKFtc 46PTfeMZJpG5RoiJT25SY1jw6vYI9XPjmVvuqxGKkcA428kmvifMbvG5xNDJU2174TT3wsDw6xsE B1J3SCxyzQVT0vmyQk5UV26DjEJVYO8vH7RXUL8pAHSOY2JYlzdB258gcVHGTQniuv3xoVONRYvz s6L3miwF/x+7xIavkfyG29H/8QM/Nsin4f8PIJMP4qgmAVtYNiSJ3hq5ADxEWmG86fJIXL1b1gqp fPO3yl46v/OVFo42+BzQhilAEmaecsAXiWVFvviuFhyc+CgiUlcE/cK8eXZyyc/GKYmLYCrZGOia 9JNm6Kg4xNdB5s/cJmUku22Gwamnq5eIyIH/xpX2HsGRO3tOkwfAW6pUafOS/EUKwoc4dtvqzdvd 2vMDdO9T9X4iTClp4n99Yx3xb9TCgH1Keb8i+HqX17+JtJoIuq65zCGwB3Us6OAPdhMLjHsfJMZm UNY0LoPQ38jq/A8SrgoCfsMt0cuoqt2uVIdYvdbGDNj7Q9SBkTezuWnFSdZe3B07tZ+T+nKepAsq UCmSc1o1pMKxZxrrX7JY3imjQuYzuRBQdbJcQ+sLyd5Aqe5MeCsLu9BAWwZealLPGrfjeBCV85si BkgT1fuSvwYHHL95RFypzNJ30diiN2Np6a8PKaGsbYombZjvkhS/Vzrg6I4zPnUxAearU9fdbbJC TRv7uwLaEJW1hPB0VVtD/O2UFi3gp8KXzfDdCQSw2B6x2KRm2pyrky6l9JNt2JH0oPKwn3KvQ1cp tnddBgDsLnDHlagTAauaGD9qrLBH/eo0kOHkWPN2nyLaFFCqXIt8jwdrHibndcu6YU2A8cXxK22a vUOQmHa80e+R+PL8hEQzsUXygWGy3dcMBpvYyn9T241oZ3AK7Onk4RpDnbxoTOrOJ145xVN7O3pt UNVOfjr7uqtYPq9CFcdqrltsW5/9jpMkgJNIm/iKEiCP0Kja+iFYcXSnEjFGd2eYrSyX0UpylFBu x5wf0xu7ynSfBwddTicMqnk4TzNsfExClRTfjW/1Mosk4/ZaDxMBplcCZQB6xcTN0jzSBywjFKU/ KlOmQTWWMzuJ32bh8yloqA3xU0TSwwiX3FqLuub9jQD84BqNMpExIdnFQXzCmL+65xv3AMTGoQJb irReLDuPwh2GyWWximzzoBDxsl7vmWwVu7vMxdvuW5Q83axOYlV0Ls1mS0lig0uEjrf0rYplb6Qh d26BjlLkWt31wI3iPdUZKX5u6Mc9WFv3AcS0h3pjEBIgY2QuCt+iAi10bPZa4PddMGummHEYeCaP kVin7UqUBotowq13Cr36oQn32H2TbvzgWIyVxtTNc/Qh6Rl8EhQvtr5Cdj3r01WCInCFQS+LwIHK NaptlXQ1by6SJoOTC7us6wzEIZzih61SSLLzGfdELovCqz8Snah6gtR0jgjs4E9KqSOhzj1S4tPC /rZH85wsLERehBV6gAwcZFocVhicV3C3w3nDnhN0ZMt55lRxZfY5xjoLvawZzythKEu34BpEmFKe 0uPX4UYIC8FoyI/UKMK3wHINh6++Nc/k8ivvzlXxB5RBCSD5fzSJgvbynNmUW7wtUMPYtLpmtHP8 LO7owkPMBATRYqmyna7ScgONZEeG5APwWWr37gAbQ9t3j+Ta7MsiN+F9ugfpCOkUNsljSlfh/Wps oAZkAJaPSvZwvVNobnEB8fn3FXLY1hdxxZie/L3+AWK4IyxbmEhIwBpjaCmnqBXe63SHtvbxldIq 4gxMdiFQtbfrgv2/dLclzbWRUrG9WIcwwvzSiH/3BpO76c+zIv3iAW9l9UbMiHCUJIVIQvG7bitS NFn7ql7ZSPoqlGiDjn0LS+rfk4v/eFdk64z3NvWzlpuBMinuTUNCb8FQm4yJOM5nvwcU/nt3biHi Foq1OwGqk56ODqLTxESeWYaSqrHblnHTPeRdMfWR0NTobzBVnqmHc8q9GM2V+Bgi1YfTadHawQ1a nFNCmHB+O5+lDsJK1SJE9XWdxRE/75qqVmAf9YtJk8gm3vnWYaF6q9qoIJlDlWSTFUn6dbT2PjTv kpvYz5RevxM+Za4YXFp8KMZqew2rXzGdOcD72bKRu9P9HR2YRuFyrSb9XFOLEAQY84ib0FG7xh1M oyumDEPAZX0WlvRLmdTAagmiGARF3l/+O7xTFowK9VDr+dkcXpv+7MZMbgGUiOj589CXBB0WUuuU cFnyltxRg4srr7pbFJOqKrTPxlKijbu51zvrxsqfC7zreAGTgQtYB4jGhynLzGcx/mTDpNC8D6Ql a/m+XsC4uPntc65Du5ZYuAbbWkYuS2wK+R3SnKDqSZiDEJ1HTw1e2+anCztQA9lIa3bHSD+zWOdd KudPPievV3c+sCqju9D5n+KDBpeqI5juExshjPis6g+gLn8o/rod5MBcFxph4/r4KsoI2RxyDPkp b2O8SQo6g8SvDJMv5jwB427vnJNHljCF4pV7TwN2NECP5BPMp7zL4xf+QlIQerxNIvLavyAvU7eM g+mIpqUJCShXDCgc5OEdosXdd3xr5Jvu+MGLxiKkAjlXL0bUgorSNvnRfFb6n284/aPjWouHdlVv wQv+haICgnLXYUd8y+sd89p73WSU8QcGzyLs8eGHcGbLqP7A/QJI1NlzvfgWTSm/WWSBhzx3K/3K /n0EVT29TVZ0Ynpr+FT/dSmCRYJ9xK2TOiMC4IQNXrRfNOQ3aav+DO3rjPj+nBxGM/z+88ikMfZP TRkJMMGi09ky+NqquxW3RKoUE0XbQFhITmLmH5yaMZd0v0bDm19/9sQkg0Qav16Vf/sJiTpMzUgE UTNJck05SHIyAyVwM+fbzmxsMReLltHkrWRW8yMemu68aFuJhgsOJrv7xYNtDAcPw8u1JZZqFtQo 37J/omgzjV+KxBpAGMUDK2gTNc9S6ju9YoRWd7yp9SOyTkBsVfhH9/NNLnS7H+9p/f01/yUBh9fv l/5ADuRDfiRJCmM++KtT+qNRzsMLBjkyh+qBETXElgsM5GwbiT0q+GX7XxvviCI9WcPsi2tFswG0 g0iUbEB/FNvY52x/X29RJIRkEh2MhTqJnYDF5SYnbX+9n3QzQhBTKqyNGnDYseh1QTbQru+21p4I P74DbaU5jCM8pObykLWqQFk1+TNyV2o2Zqb23wJ9QY6CeHSnuUZ9po6f+PDdl0EeV7LyXJJFUxv/ 8tNrN8aPebZfJKVwBFWAX0pUjl2Xvv4zo1gEaFv5o3tq1bpKWfPXElEqMM5dvHWOVtbjVYPB5bqY YMDuOb0PSpoTd492SkCwzT3XPBBr34ZaR/4vO9QleStyf/4yAVhEREQf9UzILj0jXesZyU3wYHX+ BWMACMPea2z2S+Wc+feQ5kv9ualyr9YuYlPkSMcYvGKpojuL5D4vn4SqFYAmoMAbOKoR5PePHGPx XY3GRNf4rTdxy941qVXaaDDfSL4hj7YZiyaPaxKQr8vpeRc8qw4W0JY3WGt5bnIcFgsICm93RDin NH9lqSe8ZWHoeA/V9mvFTtM3VENYkwpn3vGg2lAwUJCwDmmrTu5PzN4qAXbQujh3P02nL5WB3jON 8ynQ9C1vNb0iG01tnKbqKyyoaSFSIj/71sJTqrejy73k+iugzjWYBs+S+sXJATd2EUiuCir0FhDX K7TnVYE0N2P0EeUCSmG9H7zWe90E6pu8O+Efp2myv5xLE2KN8n2OM5PqEKuXn37BcFbapnfcfT76 gijpGByJc+gZlgDxz6BO4N4IeOXaH7SLPw9a+kDvJlKsEeCuci/z00Vu7aDYAbCab9biEquERNdV /fLqz05PLs76jyBrunYWGEvjYmdaQxrFuB23VFSP/ic/FOWptvmTaruvOiDqzPC3vcIh/dZy1ln+ h8YFEC2dCVdnEYIjcmZDvMgtwYW7cJJpqlHVLgA28R0Wtu+NyIXHV1xXfGK2TMy+7gIEmGQbzH4e UvoRkWgfzzECwRsVCDEPRcm/Tz5HBglw2IZcyq8NOI3YHh84Rg1hLI8xp0Kx7zHAOm65KxTbToUL F8RMTyGWx8kxaYpnC3KAzMEzGebRKBNmSBpXwTvVktX9fDs69J+YMuOEc4Y4IiQe6KiI5CB4GWDy YmMRDMUc5eTBbvZ6x0oToVH4IMjcMduNs7UsSwItGawY9FmNo+W0f/Kup0ijMe8OwwLdIx3DN/dr Y0CCaoenks0c+OuzwLnGt6ZCMr9978HtONSHjmWUjye5LRfsOZ/oU/MduH5K0263s978jD3KvZbr vV7pzeN7jkrKY8isT+2rt0bOASguPyymL30Rwqh1UWIgyf4hbwAYqxc8tF9Xzyw8nIhnNnulwS89 8HqVi65AFpEB6nx/HeWSEFpXQIkEqxtNbamBP+XnUwjUHR9fdVX6PigNe4Slq/kA7xTR+L0kaz6o 15xdyk7lt1fWQar7hbgU1QaoGe7EVgUrTzZciPcfXOjPtCp7N2xqJsnOMu57ea/7OLIzMn3bCeqM 5EReJxfRY2I7BwWKbLEWT2knl+LLlopzhGO2HYhrMA8eJ+qwitlLTbllVzAcQxqkHPusgQbZIra1 Bu2Xv5KHOwnO8Rt2U22yGNCSz3gtLHXqnEKEGq1RD8KQ5EaIhCt9XMwLU3WNI+AWcJ77zTdGfaI7 j0NMFDOJni6zDVd2QyXJGOtiPY+r2EOyB/vcE0MWAMNkTvZQSqD7XPaazB7Zm0DUcN/7/GjZB0hT IQyBlx/Zjt21ys0sPbsIyQ586ghyci+FdX5W49cvWM4mbyJoubnnkhFEj+rUEoS37GbtiXOjJDcX rQP5H8zw/R7rog4butuCimr8zgdITs+AgC6eD/KjK8pAwk6K25VsLtf2L3J2+CpHRh3MEeo43jso OVdWBc1lKcmo2v6U6xJX+seWAxEFjs91oW1soY7OEn9G7FbxAP/3v7XxupfrpbW6UfD+J1sioiLa 9/mWXnY78LNLgmBDt7Mss3rWHmL73jiejw6Rop7il5T2D/+/6wtldFFAxq5WAAt86N9ZY5daCiTH FmP414dWD56s0nWSuPgxuCrVL9uVtHuV7+guTvLUQ4x2rXtG2AbmRjd2JZMcTeqIVqDDrsSHLBfO q8jt5YVG66BrWkrxYY4UJQoOQPHFU2MAVxLSRzirdMGQDONHeJ2TcDVCzH3i76RwhGMBURDT4SAt moOWGzzH4AFKy85Wv/NIX4iiIaxdxFp6B/q5SppNBUUSXPZT6UOjqgkQ5f+SBZ4EdFlSsLPEiu9t YtMV0PWnJoDMdQKBtBtOHX+lBwt39leKjhl6ZFSDsMP8YmK5eJQixpTpIQu66lEVwfuskAeyrefp uvlCFkJFYMmIYyHVUzrcSyAQ/Be6ianHR+mva+lSp/SxQidF8O5F2cfybRi2oCjPXeNgndoEQ7Jh 8AfrwlaadCu3SkArZ/wnnLBCeondicOJXqPN0j7g9836GotF8H4OkyiCi6VxCeUJXk3+uCU3Yepg dgNOlgf6x02Xs4j65BuWSBJ5cxS0HmGa3iw5I0zSlS4DGvs0tqB3wmXhi76CAxbd7ZlwdlckkbYW aNIJWeMSGEuf/7xkR2TAohIIr3kwcHEKxi5fCDuz3uZK3b+rRsd0ubIdOdIg1Z3TqdS5q7J1oAsj FHXWnl95ObSEeuhu/DF8qxHySoDso1G8POoXAq5cV1u88owzSr4lRpzbOI436wcH9NvBLiYn1/c9 j8P9gOLo8HaiBjsljyG6cD5emSot/WYlQWs2LKWAOPDP6dz/KISb5UukME0vNJ9lX0q+dRJYzOYj S844RxTWGO+TpBhFP8wk4OxW0jQUFNKkhSg5JKXxTa9Fm9bEUoC+odlGIgkvgxzRdaiYxNJALsW6 weACW4SF5OYvFEI4Q9i9gpBTQZLfrQX2SgW0LUJ2818kjzmPHSyEjqYCz9FE+51QE2xnI/xlt50c OjT7TUlb8YcT8xh4eHC5aGOISD2ghTwgN+2gEDqKJBmnpZW1AzDtxu05yf2vctReICTmLfXVcX+F G4xL/piGGTyXcxWoUvY5Ph7juUKSE8sBlJ3c7jYQeXd7WOcTphesYt4TUNVGKBoNb8BVbIqvAe58 MKqN36FwQRjHHNu2+v2vrg28peoO1OCLLsChFra1BhtkTuZZxcuyVlzJQlndNqkgBb2IyEt6xEXx AHSXZncD1xkSe5zZ+HnF1ZfzFsECLZomoSAkvCS26mgc8abZ3PsgVIofJdd9HTO4RhM2O0vehBfK lHw1tbxf7VqAQ2IIlUr0Cpj5g/mOJC+6IZUDzmozBuO0Udp1HLqKU3KbAKs83kxGme6a2+/2M0NK ovYqw3Og9r4xiA3xsDDgZYtcjBRtYURS0Uix0k+WC3nVs1yJzWhyt0CMxqx+TrPfpcl3bt14XQUA Hxe1idxxourtcsCHo9XFFxF+85kx4noscRSMtchBz5+f7jyFTtsfeKDRWaQ85orVsZQNIN43yX4C +zk2/KWkwOtHRLPkjV0RqlhMc/T+nEsz3CfY2RK85iMQS59Ownz6JOaZebgBPUPEHtUAz+pXAPil eiBPb1bmdjLBuuiqnVumoQ0Sr2PZCgS9MzCn6IWDTHOkIus0NQLOeaXgnhaCO1TB5D4doYN8bKVK vLefALmE2UE4m4DGWrcUicIJBnRkV7VQIzoMRFGeynLtYsZIaw1Ddyt4MFqAfO6wj+7RasY2oz1E Vo5CGYdy559rD0wUglTS5Kr14yG2CNHDMDymwu8EpIWVqoTqjj6wYTHNIWjos6t10RY6uRCFuOpH m7JwT+6lQr+CubUEaD+eGFpaR2DdN5HhUwoox/f1igrmZbLL8U0OdUAAMUjkbTtExEOcHRskSvtM FcNZq/aPTIZ1l6CkasrZz+/hxSV1fNvGXz1ysyy3GolV4a9LzmyLQ9bd51zsyNmTw/u0bWofZU6D ZTteOWGXW3W5rmIytkPqON2QWEnzIZohJjBBjE08hHZdXb4klkbJ32siCobox2m5tTS5ERqlz/NK J2Dhs58TRiiJMpwfS9r0mwhkaiQTDhV6D8U7UBexwn5GOqWZUiVCPZWuApacttavHBu14+iwlaAg Pd6QYCD4xQECkIcVWiXZr163pwxLNQBCZCR8Ikztk2FI0BQD1GXqh4lO+yZqxeFSbZ6B9XmBQlwT uShUFoPJxwFF/J5VHydsMA+x7K1bbfpCkoh0XMyM9BYwJOM2ch7r5xZ2Qse9Lzuaob1h9fc8vjun kMV6GYLRDOw93gQTNgqbBxWSkYd4FKQNdXFtnVF3SOYeoxkFDGf53K/71xcyB2dMEGgY5l7g5oYl C2ZwlsG3IDixGH5t5eN7dmoRWGfSJgEwmK70Mm1DPgyW1/V63axmoAC6gwk1Z5GZh6GChTb8QIjl /oEhfeqYwDBA9kjSecLlGmWDS/+Y/KoyNvIyiUQAxIayEBWwvl5HwxD9zHMQVq1VHz2aDQR6yCBq 4lo0g+6S/J9/NlMjxmrgNnrgKmG2x4mx/2raMtUjKAZgf3zJXLJZVbSa9MTfZoxT1EJkPUraeIY8 tKvNr4ZBgHleKifLCETWadNdafUgekC8ajS2iRSnnfWf4KornK6s5oeIM0MkWUiIS1nw426kqEeP jiM1kwGvUpPfx7pUlb7AIN+bzdFw8yqv92rfW6UIOL7LMB2SkrLZTFIbb6n+20cLzPZ+L92QHK0f uynFboTdNpBys1ka8LZj+8YqVJlTOl4y58XJeYLWOdl018mENMSea+QyRyHn3fhAsj+d/jsgtHJ+ nSN23I9/Pkbxu0B4GlCyptzeOWPttA0j6TlugmGbpPhTOB5mkOhP8QG3gUBqLG2sQkeOnE8tQyxF PjDnDlLgm3gg8GLD0dInGlqszB21pNAE+QG2gHxdb3qOIBaXfGgfz86fSUzACcmWClC9jRDx/xLy yNmcFl6T5IjsAFItZhOpmTJJa9Zkr7h+Pp6z4MYUT5qBpSm3HtXJsCkRcz5OsiYXBs1GN+Z5XB5K wvB8nvAwKPbzJEXPpaFNfPNMsYGWJHu4iKyYsm/JXq4fkOqsvldNHcFI+wP0pTyqdmIdbvuz1X/G 0ZA44mCNYaP+E8gF2WLTNZ567yoWABXdba5yBwK3oT8PqHXQ63aV5gZhVZl/RpXMh/MNlPiEwD+P eNcZD/B2GmBIw58foUR+/jiucBqwoeFjF6J5r2AU5Iqdz4aQzlkpQZGDsh8mjFf9FumOQIuZkMES PJ+0+KO9Saav44FP6Zf4KpJ8cYiFq4ZhMZFn9K9Mx/yIgaCeFJ0Ynh8GeUamxEMvgGg5bcB2KT3U BIEk7EHY2o8RqtH5mUbBQBYfFBw+G8uHyWYsrsfwbJQyuc3d1/hF1fq25Ljq8a5MAz6NeKolpXQ9 lZkgJSxhVIK5H7jsIkYDWuxbtBFudob+tkuHew42TpktfKFMCweNNsqnTyIICaPMKT848sjN+Hxj WT23wJnqxloLaFnDyuamffP9N0eS8uVvpQdqVv47jGt9wsmUOk/xTXTARDaDQlkVznUlMJgVtP5m /lKdH5NGOjo6MiXQj8nYVpOrli98azhUrydsgsP2nmnY27rDvUS35alNCCqcDl4F/8Sv8tizax+u a/GMuJwN8afCkZz9oPIXxF7ywOPvXbZCXGs/9zqKLlh8bQUslbb6uWgTgRTand+LLFlGmuiUVVzb Ft+jzSDaV+ChMBe6wVA8HyeEmV0eDzOluQACIe3mVXVZG0sAPd9x4qEhgvsOEGNYjsg/HaB/qib/ QNzLj8lg0n06KsYke3tzWB8suwdzQwrCsCbaPdvPvb+KuHrq0a74xqojueGVXFPOaVMDW8a0+Aq4 eFob9R+7QtAW8cQQ8GjCEPRIxkunq3DbEOOIgv6VND1gRiF7bJ4g3rRxBeVl9cRLv6JQXpyHJqkC 4vJhQYOTpvaCpSMsrY5s1GabDd16mvReSOSi4l7MtrApN1Tmj0dULAJ4FrqZaC+tCgIBPAFbFmYS /3ZXNr4CUPX5Scu4K0IxuSM3Jm2exHU/zpl0Ce2bPF1VTuj+dXdwiYy1n42Xto4LfP6oSe3OrqAw AzGauwE5K6Z6jbcTtiF79Rf24pXfvfkEBgRPTtKqOxMQ4KZHsLw0KY3Ca0ufqiEFOJqdxRCBrA1Q E6Spy9gcCNZKnd8edIwcoz+qwERm1IX7BuA+ZkXtZBN9PQuodOydkFepPmSU8M1Kw3ReS1uxEDvL /177o+tBbXs106aghHt8bDvTOaHd4UzTQm/WX1AcMh8HmU+m65kiVc6qC4wCTWNP9xKWAgmnjVKd tvTV2ZZaBVQCDBSJuwZKEZjcrQOQYD7tltKeXQbkraJaYFPk6859Abrs+GNz+6m6SWknjtJ4j2I9 qQPyiihdnSl7LCocZ0UMlysvFFrea58z7fn0JWaIoiGVt4ZQCGLIn6lqfn86NpVCZIh3ho1trEIl Fcl7DLvOma3tQ5SWJFYGvMeeYYiu10Y8VNJfe3uBPn8AWjxx2iG192mgxjgHLtQ4hm2JmlBTNWdU Yekb0I7TSd2YpvgxvVfNEx1CZ052LOWgs2NwnUmYTBp5zcPDluD/7o1annVWARTyMFHPlaBcPNj9 oivShFZ3KNjm4KYUZoUBZcqWT5sdM2Oumw23FRWCrMwN2kVAi8rdw3Vf2jrPAMdKEytlfcBaF1fM AqvKjdbHGt2pHvfozzc7NOKSyCuVJ565gYTbsWP4rwnj8EXFbhJ3fQTVMBZUzc18eJ0YKjauELE+ oD1ldExEH5eDyYEeY+rFTzVxj3ugIJeWWEmqdhtSeM7EqHrcHHrMpGN8hlAvkTFrhzzh9zKrNqaF RerKKgqV4DhGaqBphV4/eG+3WMjXw9yN6dHKKVjRmQ9eeTe0lZ5lmNSFEcUwACpPfyEtBdXYiPnU lWXAXzyrigCxYoyf6YJ+fyj/sPNcRO9Cxj1QiyFZfgHgkWqf3rEWbXzf/HYrU1SxtuNb20fack7A 5lktMsWX1is7QEJfZasr9GJ0hwBi0fHbM+XxzB8kBR9oaSPPmmDr6O6SVt2NwPboXlKK8ZXd4pUV c9bK/DSHwzVFPUPjv7TDdhoyro6ljdI57xpX6RcB2G6BV7Xn21pHzk7TEYsLzN536LgpyaXeD/4+ CG8/u/0NiXO/y7hTs7iGCaavLsq0d8oKquxPHgacOoHt0a5rLHQdbpKQzi+6t6cE9tf0VyXymUlO EMEo0MIf4m8wI1/RRufhSgev5I4kJmRzkGoNneAaAk2dfyw8S/so22QXinonXt4eqzrND6lt5aha 33SEO80w/y5P3+2cMrmPakK712baYBWlraPbIzaLNjiana/6Lm4PjvjmoWiZ/cF70oQ573weUMqB 7WmcN0Mc9X4OTa8GE2vQtK9kSEl2m66nbvQu5SW0izGQj/eLngFrnhGxWD82v4nlPFCfdUE4FuUD mXF/ss48G72xjrobqJwh+CkS4ZcL9Y9JnLv1B794x0R4MkYrz2MWeMSqok5Kje3AV+6XHZa6D6Uf 66wiVL4pOAiLE7xhIIBj3I05SBD8SAu3+16bjlxIn6+8xXi1hmHRugMuQJi38Zg0Qn+sbeNSMEOB nEQClmFDs93dIWdLZxbviG8nxS4zuq3+BcyOgyYtsfNoFz4u5lDz3ZeovI5+qbIBK3mBFdovqwPN mU7nAVUeykrwFRZAt4qwawLFK7SZKeyNlTDC8lyO6cCk3ZQiBvdkdRISW9i1xRFUg+q6yFjmIeHD 1jGTm+SSmkgfn8d69Zqm1XTa4DThN3AIR5EYSfYBXvnA/5nm/W0iwnFhVKMG4sLjKHkktKEB3rXD 5ort/wsvO4Jc0X99zmclB2pP0Gzjf+PP0qBhn9VDpSYuwlqWi22svChoIOnRTp3AZ4Oeouwd9IXt xc54gYKmgvBH1WXcY1Zz1gS00v0W1KrB58/c10k7NxWfuELiMN2XB3OdJH//hsFyEG8XF8sr34mG aLKDLXmx3TGzwgczuAsVX52Xax21qZYe6tAF4mV169ZXOQkLQqrxwiGo4KtBf8kYvQ3xGWNIGqC/ ROFqdmGImeUaOhXQTErnAi5GiX5Gf3Uah5PKBu/1LM8mTeczQw99HqP+IqQuSHR7w51KjIHAiwsp 29TEjAISMqq5Q4crD/Sd0bXWYC4UxudZblvmNUkiZX1XkuTp3Z8FjiVvijIp+wzlXqO3wk7HGNHj 1L7LNudiiMWjQuBLe37T6+eoUZ9DsdGEyCNSYIewQk3NFV8GEf6MvcmekL/YBf5oABbMvjK5mLI3 u6kQ5M9R/T3vHIA/8g57cTxrPjxrUxsRs579/WLC+oHh3aBlGmENmB7gvo/WBnM+ILO1qauS4bsL jE9uw73vVsI8SwubqK/OjvGTgAwdTr1jLl6pIySkA+hEd+HwN92aWmi57FyF3TU8m3/wXd8RjkyG //HO/aBtEh65UkO1v0CyWuJbHUXBwbtStiyjSgH8McDP4STGkNBBbvEKOc5q0p7t+XH7hfW07gvL p8S/kxoffosbcksquR5+HRfDF5YFgiIbXTt4Y1vBK+fyC2VHyCBPmZusVNrXvH6V8vHl+XjDCcmU mSMHHP6yhhGOqWI7k12LLtueIt/NrMeIz8KnAlwh4QGUz+UJhRWoIza+kjugJaGS1pyJlm1E1IpS E17o1K7+7FBTEq3m+yDsfNLHoK/1dtoixjJex/ep2mte42zcxnsqQ3xBfjzcEwNKSlbUFryrlYs+ gnpZHJa3hCzcCdrq/0yeZALI60yckTIgeoXGcyntKz5B7bqac5duNP5Y8UgBEeoYKTNCmEKCiLbs hBnegpK36IfBiUVNnAMDRLcE6N/9Egk66bzq0cWo6EHbT40fihH1w3IVHDnfMCEYzoSEZ/6xEAjx Zu+ZKqor18xjNXtFIlGYmK8vscSXDxr/b2ceGTO0/yRJhdIqPtFe0P9mch4XqfJPbRIhQZ+Gquud WUsLs66b3/yg3CvG7Ld2gf6cNBpDo6A/PEanPF53om9nY5vWSUnH94n/8ovhPefGvPJ/JCLzXaKj GDOQb5lz/O8jMg4vyMR5n03MMRgouZUFKjzhUuVTuAdQsPo/ax9uNj1671x3EFAFbArpZxjkH9/y dixPcapLRjs74hXjcd8LLZDBVx1KVVeWbQ+8Qe34cH/jyZAlXcwJvdMKVLAY+aBXC/N8AyJxlPEU BcJPx7QS5hQyX5LJcM8BjZmNO/bpHIkjpyO99FLwd8I2pc4ACV85l1ep7Yc1Vho+6H2skYpOUpR0 O+94ohJg3Y13/1kCDmO870X7YLSKhbtb4dtC/hqHW82aZ7T7ONj6IdC9L+2wg8C/iJtFbKOyOJDM fyre7YHKVbIrI3S/2VEkcLIu7DMfjnlv1sa/ojAcBdvYI7KxF6LzqmjlO/x8W0k3hGAHGtMEJCJC dx1CGIAR+1OimZnp6TkAiN3aQORwiOy9fO5W3wLAWp8r/FkfECVvbS/m6mvxsC02XcfUPpceHvXm +zBGS8PNx5oCMGpnTCq1eZPmwTLMzowWiN9sZdPEfT6zLfkHfbkYO0W7SmH3Lwshz4RefD+FC74T BwqirIJszewcG9QgXiCbqTdgi/qw6QeGjqDEjhJtBlNUyzSrcVVdkWBUhEBSFG/vQoO+pz4QZ7Or yV+umElnFr1LJ4FTXdwi+GnU+8lHvs/0bqNlx5EQWj3n/mftGI38L3Ya2wJy/2/iF/h4+oSsVdA3 qKVhPwinRDCaMBBTFPrsfUNM3oI9XbBydjugwmMiCRS30BqAAsEwkPKuYxyWIwOqxIo5Ta6RkluQ XofkMRN/sq4b3cylFsTKytoBRKj/2vqjXvYifKKY0kvBmFY9Us7Ema9n8xTRGzvPq9gXl/cklh/j AODMMDvJS/GlIRQX/0dyTFzOsVa99SJ1oN3FZgIWm8WaJuD0780cODYk+vxWCC75VDs2TI31AW1N DyVi4JgVmYVuFY8j79XCkYZmxg8WTOcpjfjCnDHnB5Y9LfUVMyedr3kNcTcZuXMgyXvvm/bL63yQ v4ZAFc2klfU9phwnBvvn2fsXy4+Jk/RnNus9zCjVuKh44JIk/ADswK48dAqcUPlXJDXcavKwqxB1 zR2+lTCVhKoos+TQICONq2qcJj70SbjJGCZx/ekj/yMJ8VnoBfOeUFdEG1ofQsrfNDgKjMyH/zqj /I5h1mruwVcwOmGQG9/NI2S5t+8OiCO3/bC3N9Xiz7l8LCaspjonQA7yNlAiHAaygGAkXCDrH441 QV2Krt4pwDmvDqhbuHUuTD3h0s3KOuf+zH3us2L1lzXNzdtyqsE1a1v7aVLKLTrgB5y7NqVzWKCY A4Q1wTtooefOqdWoJKcgnj9caHDTiEbKs4SPuJVWDVTouoeZzvU4wquGznpXVHySBD39GyfkQEbz 5hB4DGWdveUuoIfmtZC7UPzKoSTw3mPwBRAvTaCV5S6xIB/P89a6AKLgd4TRnBHgzeTveoSD31wQ tXpdlN5pCi/uoX/tztfs2LcvfDI8qTSP1YQ/ChXvWn9h4jpnIoFgE5SdS4Q9TMyIkYA4hAI4Edd3 DYHugnUYeVFQUmCGZ7HslSI5AllY9Gy6OerRwi0m8bznlFSh2hxbOZf5Dh/nS5mzcf9eQ3aVhX92 Dbqm01U93lCMmBqgqjbEVLz5FWDqMXY1hO66bLEKG1/ynJU+SBkDPbtlzDAQ29gh8eEVHQ55P1Xe TH2vfKn2p1U8Jc8STI8qp3q+p6sG/wc9hAKuqs7Hx678HrxpFynWoLE/OiRkfY3HxzaBpkAESsy/ OhLIuhFsAhWiKkGvyhfaBhSQHqQUT4SRS2+30cNg+IejPBovccZc8tMe1CxWrC9OfzSMNLD5NFNK BBL2XofY11HxI+AtjegdlfbXbhcpziyKN6kc+2eKhwm58T6r0BkClL2gruTlOo1OYhi8JIjEHSe8 8ON82Qdeeews94xAndGmMgCjAA3yFBb8owkiXr8zU15BuiCWsmrEaMjKQsi2rmW9IZu+Vq0+Jw+/ EoItv+85Pwrb+Se+xt8w7BBdlSIQeStYvkIiwj0RI9zV+8p6VFkASqN671bJyKHMQL6t9sR/bsnE y1a/fmVK05Pc1xdZ5qmQKAZhgos8d9avYygcDD5J0TWs0W+GS9HJfZYqf5uYyujZgom7xQJEp1cA 2JQKpQeUP8Aub04BRbKZ+0iHsAlT1E48q9o1DiWZ8gZbw++zwtxD0Xd8VmIw0+Z0u0TbJJnZVu17 WqDs7cTvL/g2Sw1n/LVCw0GCKV8s4n3isG3gsY4mP1hyhRGCcLqAwOUpmTVMi7/vbiZC8m/kJKnO l808zPtym8dUQfsPDpavaYssmqJ/qP6MbcS/getWjGenxrlp4Cj8qPavpBAx++fa8PfHQQf1Uay3 DNBuM1GAT+tEfW0QAFCv1/D4MOWnf9kNtCv2IS4EtHfnGK2YxVpcSVCPJAE2F9VPfqC5kFSO0eja k3051sLc15nnCJG3w9OvJhVZn3dNTk2kiS6iR0v/L7q+3khDyd2IngDxPTgCkrKRH8z4g6aVWKQJ NiggcOQ3IeTDz1kw99I1rJ22apA4gLcqr1itFLp+prhXANNR2Y84GdVjSia1unwPDBfZUWLv7XuU 9CzRjoHueiyC7fjtM9d5MEKygcwH7MoEgvZ5F2g72H2uRkSgcIJEyhtaTB3chI6OWTZ3uPQ9RUen uLVR/IhX+jt34t6AkbNtVfBovgcDeQSXMDmJ3AeTa3F+FTEqosjMpX41TvlpHlANhAxfoAvVGiFS 4svmTJIWmSTvCDMdZmWeRsDMqfgYSB7jjGuIbEizoFWdbBCYOpeN0zjJf12bx6MunZzvpUV3dtdt Z839gHRZ4uVjwsOJDVR2Nnse3msjSSeJGsTEdVCwWTgDsVXJrBrW4vq16cmmH3XbOcIaY2TsntQ6 ncMSaf5IT2RsKOP3mY2aKTvHCPxlfszKgs2O8v3MmnBiM/cMXWz/vkovfsJHsZ0g87FhpmSeJELK Cew4jqKb5I4TnkmcL6fhgr7Fu23kV5SKJpxMJXz9Sf8xhfkKuAhoPZMHcbeUb99kMuwr2X6QjmBJ J0rkbz2a60Xooe6UR2uzMjr/uDn7LAiubm1bDqdnll9KxMVtYY9MIePP2gwfh9v6YMDtzFaDxQY7 F2re9oRZ1IlSudTBoPUqq/OUF9AbHBgrTDykF64pz56oJRZolgKRB4/KUCf7FfGk86HmC8V5d3RY QHA4LxARi1LT6TFp5yLOe2svmQeXtKwvcCsVxySEws/QBfE66A0Ygd04UesQQzP2g7dO8qU7AA4V 3vKtrXmEaDUwKdxTzwwfelnSFsd56ySbRV5eGekZgRTGj0MyamM5d4WXwjvHs7LDq4inPDLOqXRT Oq6jpBMpcGt8tsNMj/9gTRZK0DDx3YHQaZ0PzVTYQS3UMeknjSG/omglDuoZS7Bdf7tNh+EauDll xQM2EImehUIl9quQwpbPeRY0rI9QGxz+JwktSiPnF0NTjl+3ZJCeHXsEhBfQp9pxsPeTV2looLS3 xyO/UtNFxaposjjwHb3A5K57zEGWKqNUqDfIEFvWQxQvtMNy2Aq1JKllFqNYM3MEB+ZTC6dBR6Gm uI/upuwUErHzpI4GNtAMuAuUfOsBW6ARs+gKyXOw/KeNNPvEqUBnMz1kJIWH7FCOr0Qyr/9P+PN1 qRTAN2pPH7zaosd+31VQ+3l9UPdsCnhzVjr+xetU3U++qpfmhywht1kfHKPMLNSWIUL8NMli3aPl QVK1e0x5paSzsSlPXbsbh8l0uEyz0tuLr1nzC4u+0Yi2ih0mbkh8i5l7bBwfAR8IWbtJ1i82igM/ QSbKRcHq+Q1rTfbp7L0iOEqeBeehtyNAbM6GGi55+mmezemdGRY/4dsFdutYNMKwMk6qOpgLrI4i dqrxGoulIcwPRVzalMaOvDkuOUS+qObs44LUwtDpYgxP2p8roY0Unb3xRL4gyLqfBlcJtEV8OzNT sGbR+A+Jep+Mf4V9MEqtoOk3XrZf5zURgRHZadaGu5JSyea5Ur7ENkyHPnMggxZp4xO71YlV8MQM Jt01dINUWyBOQXyOY5NPTjDU6yUS7JuU6iUfLremRYAKZW9TcLe/5+jQgsQHVkS82HG9ZpF4Tw9t fVB0nvrWvPPCqLwZJXrXX9N06udrJFFERnpc4FC3DOocctijkBMKaC5nT4Yd+wH+ao4eRCcmUCPZ SGCKLfdqFrgaDecD+Dd0V2KJCLJlQUl+bTdRWoaXtciQ0fialx6yF8Eni57tAvoq+6Gr+h7pJTFe axYnE8GNHs7Xlx2cNrmibkVMPS6LxTqZYwL20nYg0Mbj2An3j95w8pOvNqhfoVLeNMKfWFa+0DBt 6t29kVWma0JRqznazp0aYgJkBZGjzIhj+UM9U1vGF7r8vcjOW6x7VMoF+0+hfGqLGSpAKxE3mwRr quP92cu2gUIsrJQt1HTsIf3n+aMr1cp1YEFS+5VjiqDxpw8okUt6mI9fiBm50LDialw6EdnIdYdJ z9YHUxyh+XTac3tKRdoBzWkLJ1qIBFQYZVUPiPlige6OCohhOUY114CtqSTXA7YQgkY43qt6GjR6 gQmo9FNxdYdz/D04irbwK1lPIL2DaLesJnlMYymjZ8oELyJ5iZTeYUa5hjIKtHxJcTZu2Ty+jxs6 mG94bMHvTcmE6WbRmq5pweOwndKRM7kssEc/Dj4F7XhdT2BYc/sZYhLoexv+sFO4C1USPnMDx6Zb rF805h+u3Te7wvQGDN6YPGm2f1UrQDQ0bUDhLz43VJTKb4NP46RHfKPiMDGKJF8vCY8+C94WjAeX yKYQDj6EMYihZwGyRY+RTzuWYUBaez9dwOO5UisZ5soGQYppWsWBkjrrrGdJpc4WZ3uE+GP0IfYE X93kAIiNtgV+WK0v06IBQMua9gK1vgCXOiU1iLhH/TjKAJchf2fnj93K27I+1AN8GYHxu4j2FlNy F94Tcx0UwwHcztdbmQv0MXxG34L/gBSKBuBKT1inVSNd4f37xpHy72xq/wd0kLOM5uDBZCiGxcYH UksOgKXmMPGxo57ClGpabEeLsabDD+5gN0M/eDwHlPVFY0bGvozSqsexNp89zDSAxJ2nXlN3c6vL OmURzeD2b0WbhCUzASwEySRk0sImvGHUAhJvmofpU4YrPRrtrHcisIWu27+SzvAQeMu7fJNiJhzF BaUa2/eTHSMMe9+1W/1NRGM1DUJy8wDnv0J4pLOh/Oe/g3WRaGW5MxlqOH8Mh4Dh6e966Qoj5Sa2 Ojvi5VYfTGSxv829WkfLY+mahvD5sZ1jyJApBFRc404PmYEfRVYCadkDPHKeB/YvQn7uIS1+n3TB rBcUG6cLHYx2KH5RlncMjFenvauWmcfBt7aeceoRAsUJniFUvwmSQI12fegdyeu2t0sUR4CeYe13 NRU5BwoDWZ4tgYt9jc3LAXP/iAlzA/jQYH8nsbNUpnbicmaqmYyUsQlWoFFJbVo0U30jyw98Bt4N km5Gif6oLfXbJ6zhn2KK1W1mYaf7Tr0go9xIvtL5oTDpWr6+wsFZFX6DLpKLb9ABHZZCb+qLIs2P VS9s/bCKpJlmK0C5yzX9/EYQnFbL7Zi2iPZdwUYZy01NndH4/vXaki2Fq+WShMGF3IeCF2+2vRcQ S7W6YPjQ5Lmu5MnA8jXqEdNDLaSnKG5djEdfZLhfo3m0dhWiwkjPfaQuPxmZsp14O9bQ0Lj6aykq eGf+rublxHkEonzfND3oO4VRm4+H23pMEX0QQntRAbkJpnk+Q5dGufVjkrB9LbKmrLkDOYq7UZzK I1uGEtiPIv1+ZlBLJWHgX5cI5LQV6460OF5IJdMYgalEj7W2JQBgjjwOdq2DMi02pWFFjljYO0m+ r7RnLA53rOH/TFYOm7NS5E7tq/DX4uxp36K7BTGdrMQSgCOUVi1QQRpBRdGEhSRCQk8brZsgYsvm fOKvvcnPImSkDCKbqVv4EiTz0GFyS3e9XEFBMcK1KrsGBESbAaUBrA//qNVJgyhD//LFJ5Rae3tU 5QAtVOJ3ysJdjow8gyZOLKpfshsb5LmEuij3lFcoszk8J0ASgrnoCoKR13E61N+AmPJgNRgGuuAL K2XReDIoStSu9uy4lvpfhfZfJiQupSuzylR25l/A5rnqkZ0BA5sMYKGyz+RVA2u9AXSagdXzeuK+ lArkr5HX8MHC34WUDTfUV3wfRxfUVSSbD9Vk1Wn/sliy/a1f+u4pEzXhDeo9Rzzy8UxXMguuF1Ae sX2XgFZKxJm+mvPnv6NYy2GOBe6TYLHgeDeJnuB72FPUnTDUpj6YVstdjAnyQAYqqBL/B59Tzi9Z V4LGBrK+uAVwnk64pgDpEQz97PefkdE0O375aFJQZIn3m0qh5fbi0w14+HazcBQkW2ww5HlzPvhH IK1EbA412NOLHMw70xSKbXvv+w6+MxL84Mn2rLMoAYfB00PceELLXDIQduTHIlEC8JIEyVjN50EP EBqDROTzBAvgQNH0MMqswxx30dCer/s1tANJd05Ry7YXdZFJ27V9WFJksy3yjJGWiUemKfboQixC xJm063QOiXKBNwahYK/8r+SOCDOu4XQ05CJMmBk2gMCqiPQD4oLpriEf3e2e4JOt9qHuzkkW3wE4 OFRasrKw8AWT0R6gfSi7oNC4wtJNiY+a8IA4nb1XQ3bmlI6DeXY86nzBY5WjdvSAAFA2aPR8qVh/ 8fj/bC+4/mNiaWRyBG4BlMSMWLc2SmVe9m/E7Af24QNhduZ9gFavqQSn47Ngm3DPW57HWwq6RcK2 z7kt19HK+9/umGD7E6oAiSNBgL26UYlTC+1Df8FjWt78SjEs44qggeZLt//WyurOcJdFMt5ZHLEN sQos1TTVc/hPvFqvSvd5sE37qvcVgN4YqjwA9nrLIdqnXYrDPPI/msMrZHf+7FWK0QV6pHo0ppY6 0UASa7NIX4e8coCNqoKFXL4PSaHZVd3AsAvPUDcfPiSnJxyq7MhGlDrAgD2KDwVxVrg4HsggAB+V NSIosZwHZDk4eqOpitAdfeq2mQuCYQ4eCT/nizTpe6SxIiHzZGq9tyb2PATIO17+geu1iitXiJWK fpTMn2Zuo1SBAT4dqeN4SPENahhfauFa1Ylx6izuVGea3jxl67U4RQA0vbdl+380g7/VZI9llo19 Vy5iNvuoUHwocTkvd2DevB+O7nQM0mgPfYe9a9wQhFN3LbQsT4WgvKt3Of1ALWVp6C0m1sKY+LU1 RqhsLBj+aHlkOcUzsu5buWarOrxwNPizdMC/4PjerQcRQtb0MdQ0xX+Cwk7Xq+PT0zra5Rfnrklo 52B0/GtG2DMgezrIk12uTPyb284ha+A+lVxc+p31uB7Wq+VCWVUPLQANxsrv/6xN0oDUlXBAWRV3 E+25ENTzosF+bfyVaWTFF0SJZrILJSqBex3YFGJolXI0g/xZ2Oi5VJFF83eItNf2I9rJrcWTcpVX tRkDMbk5TVswDgDrme+LS84rnfwIzK01i13/SZk9EL93TRmfleIvJYrh1KNokFyB5954fTWP6xY/ aJ4T1yOZnFldDqe7z0q5bmkSaATjZYktQ+4iekuLzS2BLjLBzQTtP181ajVI2n8euIidxZkttWBL n+FafgFfB9rA6slDHZiJtRVW69mmFjGHFEvkPFmk5NJ539ldKpd2JTNafaM1ON6dBzBtCTy66qpr E2VPFfEKwiSd41iitgyiuXk4+ls+BuEnjWFdvWwEnZHQG9FuzB/c4yGDFE9Dmznc0MSq9ObKx7LP e9guKM2yYaccXl4GL3p8JB6BE7ieDxqeIL4cmA753w4o/zRqigA1KYA5MMnkUpPx/o/77lijZS1g bGCwbuwqgncS2UksjfNFEkh8jyYroZns80en0+apogbyTQVgl1/ZkKERn7qtK88lohXjIm90tpJY Vrl4nNjmh22WWqtTHPJq/LFAb6N7pNFgq46l9NMpvGQi40tTUVUmFBFhVARZk4mZlZNH/TxU4wsH uMBrXyqOmjHpOyTSu+d1GFFUpNMjqG5LjW4i/K73nBJg4jw7iZEm+rLJRGtM/1oaU4Ao4AjN4KtH TX4mQT+zVJlyOtO8IPSgA21+qj5SKWwYwlWR6w4i3U+ml/aAee9BvyoAU8dYqAQCCxmva5lDfHNG DT6etMIyJycDOGtusmA4JE6Y+ld3sRg4huBvlmO6bQgdEkMhHrTEHbNw7Z1wbewvTnoQ6zX527M1 kYHybFH9AG4zYXrKxjvIuszLgGx+sAHkp7C+dp+TRVoEgyUo+NYn0MgYLVi8um3LHhOrp1QSmpSw PaKlnm+UwoOGGJ2QXmwdFhd96h9LYGb+kUfj0QJKB94ojy3cij0siGph9gAv9KxGKJlOAwQCldyG u5TDE44Ts67COL8hykWOoTdgOcZOnWLyY8n2WmPABUmJeZGBi7C1D+z4rXFIbtfudxj9tMiKg/lf e7cNYRK4OYdf4GHuRLGN4FFO2T21UGQSZHcCMrwL+C6DNFD+l4N4vpz8eZKyFjIBCQD4e8L8zxC2 yUYzfxuczyj/2C2tOe5iqwkDhm5jNIToO8GJvKg2KAvwbdt8v2RniFJ731zN3tWz6pC7bBxz1eyZ mKl+LasV71q5YdQylvVZ2Ci2h7taa6AsC3PBOT4aEWRrmxkZcjr0fKNIuAU/VJuRO16I2cAU9dIO lwe1+C7d1j+LTHIPO2n6t0vLc63C+PSFj/raN2oSbZeAiMp+87cHEGx5Alxna0OuykZKDsd6VEPz btqEbn7hypk5CVnbIAJYUMhKqENOYxiAFFlP4Z5kOKZEHdkBX/8TmU/NjDzBXg02yuSlilT/ZxNS jegwfcSTQlCz+pbuqMNBz81uIh1JVhokeHjy34g7SaBedfOqH5ikWGZyWc72t5epDlurdSS7Ktd7 KvLo8gVQ4xtyFp5d4zh0XfugRmQCRcqlhJCA+WzVFTIgiurZzKUl/RSen/VllA11SX5o6pL5wpxI gnbQeZk+uKSHOMnm+shr7ZqmWbpzcNE5Z88k6aXwFHJhxqZNIYelSYF81VY3edz7Z9YXiElDTomA SLQljEPv8WXojFYFw8mRWs7827HTeC5MTwOHO729GJLucC8dqlH4bLBxlIekAyTeHUIhEhKp0kEO dWicOfEWJblWu3a1Le2+MX1FJ+vOaUXB6+lIbJUmn0nesix21AN0XuzgHi70a1Kw1bhreLAYLx13 S1CgPAxn8iEiNJlDvCVC069PGzbobu6GFbSQNvMalCeG+mLX2WoKcJgG7VQn4wErxg0fhg7Fzaeg 3XewsIWfVLrEQohp4+feMrdEbIWpGOhfWiYiA7OsCJAxoBxml+7qeNYPLztVbKieX2IWNpDc5J/4 uaRjwg8ONcAb7ADato7rlcwDWKpr4nQ3cjAwNXe0jA/YM4XdYcUC6QlC25Ju5iM5dyoNpInNPfIB UdeAFYil94+21nTRqfHPma8LYHOjMAwRRNAgsSrgVwXHcTR0HU43VQqditcek3y3IW3/xy4gpkmF M+htwF7CaJ4kJlCHJXGfvWpxqYxVc9b6PpA= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jil4IvgVMvTDCe1v/NxeuERnTlA5p6U4lkoNWlRM4I12XciN7RcaSd9VzFqHU5CnflWAVtHLTlV6 twEOya3ffQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Woa5fw7+m9/rT3WFrKFNyQp3CEh9JxVTrjZbvODWT2xbBagiFOs9QW/CgGMOaKbySUTQ17kpANvr TwZPJ4/11EttPhMOZ3gsQhcC91dD/qP57l8rQFZ726PNhFn/65bRSHD1rxIxnt9WXAVqtbPsAGVb ydrXHHIEzRWEiGD+yOM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block wg8Jjuv0R2oQs1z+NYspS7XiYNezKmfCLxe4vYoGi/vHIDF4uXL//COI4Z5XoNBZMqz0N4FICVhT pcMxyJD7i2kavDcKF1INsx1AHTGEn2+ABcXkQJGyzxd0E2xHQdBer0qYKNYUSxhRgg6XWpPaQQxx NSU4HgKDSoX71xxYQ3tdeJVqYm+63x9hCO1RqDdHeqHqltgLHv8am/Io7q+Y5hVDwSAP52iAFMe9 Ol9/0mT2+YdFiDEPmFjtlhwnOcYus0EPX7rcdlU2jVeFW9sF0HNdD4mfTSXiIpsW6h3CAJyO3in1 xLn7WMs7XqPDP6bhwoD45r8/rkmt9rh1fzZriw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 27GtccqyyI5l4ofoWmNKOGbLIi8U1C8bBaJ36rGKl43ATXYvQcIu/TZlQsc5ZibMv4yrFONC0i18 7qj5cMNMBYNF84+7f37tNbrwpPSKGY9KEG7PNbd0sINuOIVRWm779eracT1bZUzkRBYZqMnoJhXf ku/X8ElSB0Q1rsO1HLE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kTNJfMX8qQuvaXgF4YOtU6jO3ft+J8zyTI5slGt5t08gFPZ23+eoKzJcau/6P4U3rqjtLsjLp/dQ DikuuJrEp5K1Oy02tC5/cIybS8fDgu+4vGFKWXzLxixBmI/DPTYB+6NxuUyl2F70KAzsfuLQqSZF /1vRQlZuyCjqZDsJYQIoZHUpkGSV0wOl6kBgDfblZNyvO1o3B5hjReG4qJK1zffXqRw5l4kO30l6 evjHTB97+I8jRrDCwROg3Oo286/bSJpnXs6ICVmn8EEcFUmZ4BzPK1I9XFJJW57KwHpnDLKlHvGG Rj8NGO9D57xOL65c600oQ8AUHItrH6V4MC5oww== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50528) `protect data_block eHiboXlGUu/atNexXjeLBxPPSld8oUWJPo+lchA03vv4gMbp4tO/GdtD8Wn7OLNGNzPcrFuKF24B R8Kt4shpew47FNWUOjI0cnWG2wGGeG0MIz1QiK7YRaiAPEdReK82zDy7ZroJgIRaiFkv3ftLOhfd J+oyevIcqMIHQt4rKvE2oF5+XyO1sP0Q2MT49vFgfK7AdxtLYk7OlM1V8pVAYiI8dEW2umLScB0E fY7Dp6KhPnF/lnnujp/2c8uWZ2LzU68RB27yX3v4cNjYrajyARlQjj249krWnu3G/FiPkTf3qvzv Tm8k7lf+boiwwLIMhKwx0x4kkzvk//JXP7df/jbo3lUDTQhlkTzd408cGYJ1iZmEf5GbQc0AanF7 EXgtiEGZPVatDn1I/K+gTtqBgY1BcLmQBZywBtreHhW6QDkys92wpAprutXok4SqbZoRQJV81ct9 kplchL5waPTKqix+WdeWXC8Rt9Lkir5f/u/keUuh2nEszP986/2LCi1NGyFiSZlF+QK7oD+NdwvB Ydyw8y7WxPQEOwamKCdXg3YLPY3fffryuObwU3HLPPkTrznSY1+sSDZCgrkjYtlYL7hQqoA0Xayq zR95aaILN0+jH2Tq1/Iol48q7Eb7w6Gou7sMXl5RCyqEBDUHFtJN6PMnYrarxUzzIBFnGp7vwxP5 cR3uxRTQEPvFNk+YA0MgM4l2oL9l2h/DoxF4MaxYeVJ4ScDoGsETz8FciQYX1CLhiW39ORIRt/5R zLK4vtnHAeQ2eKGSpcVK2riIpj7TFtvHImh7tB65j+62OtQ/oiJReQDoLzRRZDThuGOWHCX0+okS 7CKpoZQcHdeKuWQS/R2R+7+yjZ0FBb8Pfs+ggkYP/VMpTc6r7cLoII5x5H+il2lRqmLcgn2J1jJO 1rPqJypRYggZ+jIvCHWmyUsr4z2ZhpRnghh+PN1fd+mbzld/86wqCwY7rKF1zpqpN+C1xIMSuQHE 2qhBtHHSCpOL10SE/gVVCROnZxu1iQtGBs5w80nXFi1vC2lX+ZFIs1zKAsQmJW2AXQMp3ATDfl8D ZVLwaiO90D5jvvC7yqbja8Um81hd01DyKaWtj5MGKFCsw8Zy74WSLi97lvZnk2oCFxV3fX6Netis 64FyD8mtmGXml2vUkaPnEgBrIQ26JXM6gf51C9nnfd7YW6XFSclqU5QInPdQawW/nu9PS90+RA9X FgAZYJbcXd0jzic4jP7GDZURM17eYqkc6H2ObBYhjFur2sZpzPZ8B3bDWoBxZB5g+y5Fci0ag8bO TLLoiW1INdRbwbffGPLjLtVUuRsC4VHrKSEKuntY9viWNtATvUOLIVsMFrAsYsiOc7TLlcFg+jfu Hw4QuOphSedSQdb8etU+hQFll5/K7DzlJxkqOSM2MUraxYa/dw9t8N8t5X++zsteAy3db2jTYFq7 FljLskSq2vq/mfkW16AatSzfuuKUnbRY2aJwfsauYoZ6qcdLp2aiS7s/eQ8QRIk1DoyiNR4xGnNB 6UBgErJr6Wnc/pQtRqgy+i+Jg0wI6ia6UddcSROgq4Db+fkXZ54jsxnzV8OM9yt9XZgSvoQZyRYX e/rb4MEMn6jgokLvg72JVUBnxC0SCL6TytpUEPn/zcVZBS5IPltSrOCtYLfpeAKdZxhyTX4SQ1pq 8ykxw2D6rp+02/aykpu9Kmc2+aqUAXtqmYA7+YGf2BulTxXulh487pgZlTskzvQj07msjCzckjmL 76UpZo86nV8Q9SjoA0n7cTBm5NM5iGK45mp3ul25DzOraISZe2tzyVvsm/P0E/P3uxeWocSLGX56 xdry7DzQrGwl6IU0LoalPJv6ehk8CKuSlQ63cLQmjilnNaapXfHE99rS0qbMppoxa7Co90Ciq7gP cf5Ozx5XQ79O3R4i375407PP8OczoT68iMv9Hr57Om9RzljDtsXJ/FNZ8DP7WUw1V0LzGYbJHUN+ /pjrrjHRk8DKpvOO+uhJW1p9WLhJTfX518zbsP5Nb+qiztLKhp5wSIhvTL9zoSrD83FxnCpQ1dj6 AwFmnMKntcxBjtCRMmMrca3cdFfWnaprpWm7gtjEBzgkhfVehLIIV1fm6fstgRZ6tqJO3iSBPZff M9C8gO2bjybSX5vshxX0J01/U5NJEtzV8BsoCrNfQ9buWQYnwYzZZvxd07KLj3SzgiaenOcKyKvv IGUALrXFMqOez5BvDFj+1v1o7WPzcUAr87pb+xxOGfJ29chPuXKa0l7pwYWaHOrPZRhW1APZ+yf+ o1DIUPAjr3nyg/0QJ8yCeZqwTQCDzzsh5fGB7cLz+MH+LnOpakG3aZUI9Ej2LGma9SWZhlEMqZ6z Fc29mxDaO8HEXFg9L8etU5fpP4fweR5gkVV2UNThiy1grKH02aHt1lEycRoSjO8kdnKjm96WT8EB slbZAtYy3opIk81jEydiAuDAmmWLIU5Vv7zJSds+MhbkknSTcI5LAEigSnLvkv/4qDgsWdq/dLQM OOZUPDGJSPH96UP7TLiT5Fqq1p4z14O1OnXugXUkgrDQF7s/L4ZIX9X5PkNnXz9uRAizmv5fbsnH fD+sZplmnMxJOQBc5/osCPjEU+cxGiMBk9SlCnqvBXIKewSdlL/qs99gihhlw9g+VBcfApbhHyvj ge3lsiRf+ddnDjxKdmWFPduPAx/kEYJfT5zFHrcf6Fb3id2zG9YIyG5scBLkeKw72tzuI0Gc62WT V+VqoWIgtud4ri9SUfrcD2+yrte0hXAAGF/lFlHj1we5yVgGjT7lJVGBV/PqQDB+mZG1NoEx5IRc z2rC6wB7267QHCngKK0KxXQ7fepRmnVXQxBw0uqYWj8DOBGJbJ6cN1cPsOe2lKI9P7BI26feW9PF TfnSpZ4vJxwkMFR4UZmjk6/fsm/h7l055IhMPbdaUg1UDvEwsBliS+f0SlHwsXDTj3a7/q+4hfmH +0JGWlj7HWxlfiPwSjA6myNUb90gGRbyNYMLtWyElEcmQ2Yvf2+mGx8SjZxOKRDh8gAPoqaeMPe9 Pwc8w8buGijN3nfF/jzc0L5b0T/HDBn0RgMGMC96RujJiG1WmFJCoBfXzgJMZRu7LvsnZQUhut4t ROZiLPCd18JS43rJfci3Mw/xrMSsBtlOpbwjc0PfkZba/cvWO+LrV1zQqhBJ1xyszvNgVsIfC+lM Dpf3VQ55c63gWYVAShttU4yVqoHhRd7e95iNxyQNNTWea2M2zyKwRyTBX+2XCT6dZNrbC1tXpefo tlbeili+0VqPPKztIz1RmfJAX0HwMfU0BEjh0PPjwp82Yan+dL5fey31bwLQ51GXggcujJYLPTDV BX2ZoqxrtGZWg2XgMVeLEU371II48rNuGaaXKeSjPmNVjJmevX2IT5CkzwcxoJiKU15q8T+WfYNj XLSuA2cN/C/tfqWiDMrdRuwpzZjHcAimt6F529U3BpGwBufvw+RaANeLRifkEWd3nF6PHh4V6Joo 99yTdOc4k2VBC1qsnAcMhqRrhPI/ubLOsYgqYdw11Uqj1Y+Da1Fz38c4MMjsE/AVAuiepTJ8BVIq 1P0oR6NpLWYktmBFlVWCv4h98mgfFqcmRo8raDn///bcguTcA3TL9cUm6eswxwD4z6FK5LE1TX59 uNn/2bNCAT81d+3MjK5VstUb1kyPMsxuscTRfp2zB0HuV44qIQeHviSfOF5zMDPg05Tj4A7yRCyf Qd78V3CK2cI3Rfb4KhFRcKLoMyKcQ5sbBYqR5Xi4iRqdUNcqCkuZ3DUS1wSutKTKovlmUpKAM15x e8/9dSoK+rvjDTK1rqJsan51kvb7JuYYXs5DF+HbIRCoVwq8MPdwpyIq3V5Cz0YSnwEenWPp4Lrd EZWvyqzMjdRff4SxkU6anAsXckOh7kA+4naSg0fsBy1/z4Vn0dUxcskzguNtu0HdlFC5vHkX+dNa VbHce8Iy06CvO0CVykrsbMM0rFCHJ4M2921PgyZVcdYGoPy+1Jt6BLzJ+Y548y6VcIK/NFIytIYm QX+H0cNfXpDqQdWVBL70oA4ycYooAnfyTxFluWMZ+ieLUsm7j4JMgC0RhDiHkZA2sF+Pl5qoVIF0 3JTM7L9wVB29ejdskIGTUZwn5ko5dXfYntHCcLF1ddApdtnV3Hp/YaYEDvrzsBDF63cdDR/anvJ6 AwPp0qH5HKvmMhx/nZzvxFGoliPgQnpkF3mPF4MJOpkZvHljdJPyB2fbh2hWhMAaUREo3udYbWO0 8jFRWB4jr5yQZE5p018jvYanrdw1UHaNW6yJyCo3gzzWNCZnKKJubEXqAM4WG4HdaZbRJXKl27nF qUNDdBFCAHX+K9yCGpQTritfpqoKb/iGRUVvuWKWXgtake+LOuTSJTuf7B3tCjf7V2Mzd2WfJJ3H 9c1wjmdk1QUyGEyWJcLe87p7wfaSzQvO4wHqZDMQ1LyNM5hfb3VpNltdc30s8OrU8LnHMPN5xRXK JAVeGiVpEfBfk8eXjTeK5JowM2a2QPXqyHPRCzozL4GnFHZBYCL0N5IeaHMKRkCfrkH3m2GkGwjM vdpQewxWx8Byu9P+U31SpyBctb8sVVE1SNr0Nh5aprrdqTOCPn3u9zyYYMfrWocUFdTDff35dZvz XIsDrmzi5SD2evXLA6ekxOkpLNVMN/+rtJfpNZT7/CtGVymhhM1+Xu6Jn18Xz8l/kKuOBUeB4JQ9 xioUgxANMbeOPzUNqVk4OGnPbDmhCmApdkC7ygQ5Qff70UUFNi+BM/vfcdscxKCSl4m4u1fH8wwJ GkG27U/vHApDqKWSuTprAEt9dpmPpPlQCWS1ij89TlYH0P7eWJ0fKFkyzkR1w6d6Ocm6W0pWmDeZ EEDwkjqKtPoKxPZVoWwRDvIYBhY/0ffOvSg/tknJjfzzQSGGLA+K31/hOty78FUuZ8EZeuHtNcD0 lGZjyip5ucCiyYRsALg1jaAoh+dYsA18cnZe/aCGtjX7Q++Vkd5OKXgJb9cqJt0YzTqGVn3sD+5u ckTuY52zNSLlIDWBVVh51lIbPQh9LtWWAbdvdEjrPaU4GuKd4L09yMOD7sJSVvH9B3weuJpQethI bJtZkmNilwjM7rsGi3GoC6uCyFbp81EMoo+RejWNhRhah1juIXPn6jyy4nKKQIipQweSHdeB6NoB KqfCgP5/O0blQENCyaKBdQpKlZze2Jt2rh+QrCUbJbCii/rmgVUHuWZaIQWBjWQBxAy3gRMhEQQx 5hGC7MzIMy6Y8XN+OktVetmAA1zFwQyxvJeTgHRiyZJk0pjroKHz59bcFkTqWk/itMFyg1xbnuMm x4UmXnDOFNfdwOIal3T6HfJRaR2IccIU9kfGzUUBYFzNCIadq2YLDqWGY6mbIJtdSTBKcZR8pPw4 LdY6mOCaYvIBvfvuwCgz+oDsoMr82AD8O5ZKLK7vNtViDFHwgJWhXFt2wF3daHF3uWUQ7U5LVd9Z ZraAIHBWSNvIaeeyLwKKUNcuj4SMRxBzQjy+izgOedXhQEWRzrmlfvjsWegC1ZEgLmbZHakrTYpN oaAdhHZ12na9zpoLXXX/XT/qCKwyMXC1l+wtP50qw0ZsN4eaAcGxDt5zOeO+VSSDw3SAWRdP2sQ6 94gA8wNkLHV4l3XcTXE2rrkIf+AvecgQ/UQSUk+xub4C7yCDMXfRR79g/CSzp1O8x6RA48Y8RfDG kS8iHPhUiiPt4kZ4lzv1oHKhFHaOULp1in6HShw+hRd1+UBAIvRoVAyMCOWPFSBLu6PfLCUOVJsL 397O0K7tlxEjJEQJyTDtsvIdmJNxM1lgHcKLxXVi9jE3qRdc/AgsO9m8T+HNngtojiOyIG8CGdmO G1bYjJH/WrYiz1tbZThyOd4wQoakryqAjLbYRFIU2n3Ej8Gib8B5mAOLCMTpIPnBscJmZm9Dm/CB e9WcbdeVQSTv8/OFhcLXAHrbLfc96FwzTp28HUnusOtWesCmT7nSB2XdDNnXIEpWMKFXhod2Nta1 DIOkM4eU0Vtl7czp1JSOFiNdjT1q4F8XMUKI9EEBlX32Y8lHKdLmkXCckmZK+/hsGyrZMe9Jumor ETTDdCtocD//LpJvicdVRQ/QCcmAI9ODV4NubNbDU+Iv8wz9ImZCB8lOPWKSWCHhc0su6U9329EO M7PCbIG1lKkQ11h8ayC9RO+ms4tGWoCLL9QFfsykLuOKeYsEuB+b0DaYqxaKxs+md9kVgmHhAe6h GFwHZFkPTMKFJKwlY70X1WOKWlna6QoKOg91TeZpglfRoxP2eMQ5d6RGDepAMBi3PQKo79x3r1bW cnNxE+Y7iJzWgTorNkrsUTsdyGBiKg0FfYZrf2EOZtqvkJ8okHhsWMDweD7QNoo/WQFiPUba+0OF qxzv+DPj247bnm9myMxuLqjrFrrUUy4MUz4Ie/0lwJ25vlzIb0N2W0+kmqopHG1EK3kpRnuHw/La VqnMwaojjLM6FftTvE6AxU/7pnasYOt5vooty0jKE1D5xf5E53J+TTJUGS//OBhgzc7X/fntaCRt CFlrM0I9bJ9JArNMuOAGWIZdN0oIaQotBILKuTysiu2LUQyw0SdwI2sfZ4Y+TqU7N3ePenpfb8x9 v1phELhRSUrGEZft+PhgBYwoILEGA++Fz5eruq/6HFiY80XvPSa7lHDsBm4obLjLKYfnshDeAxOl uHxdM6PPNXxBflDShUVPoF04JBDeAsSQogU6Mjciiud/Mt+YYnZYnbC8aHcIbp3IJXml8nFON7eg PC058FVaNFnOIMQr8iausfHRjWYvdElXXEUDxQmjESnO0N/j4Ud+9fTNGpVZDONNr8APgpw97Aay WIgcYqtk1uAncHwzjrMzA6rlUAfoNETOmIxLSsaMqqpDMQTUiZhr2ijUkCOudb8Mr1W7eqx4ZnNf B++s3pkVMPa8hlSJkfzdMH7r1SQr27u96KLkH4YOJ3WNpGDdU+XmFq+oSLZAnGW+Q5tPohpW9jbN qYzU6Yk6vhYMDhCKCX+YfBnvQg8SE8pA0nE5JTWzQPMlAWcaMVdh7Lh2tdBBLlkgDl1Fky/KhDSv 4LyktbeeRCK3qk0ZsWuQu+Vk9vaIpL8yMfFYatjaHJg6T26fZzyoBuCfEO3Dopt1mrY9AVWiW5hj nDpy0gy9gUK9Po085+yLSFuBPCAC9cfJyEsHBGzlGBgwrUMwXxXJNvwsB+qI+Lw0qOcCwS6RDSsN oV7A1q6XcLR/XerP3PPb2/ky0EqX1Jpa5hR1FXcnkO5Uev/PDVPIaZ32tEfRV9TGqwpfLyxgEXiP DqBecAiPvNiGjFwKF1bTAamxFwqXRcBrwfVMkBgTNTnxpxGdhoozrcf/VZZDA7a+moTZbC3c/hv+ M5aIb+fJBPo7c16BCc4dgNVOS55QPXatNyP3nqtpZyZWGj1qfonzmd2znTFUn/IsjR7nHQtnXXnx +JYWgOPJoau9yrCqGbzNNItEUVPe7fpRrrnZNNbnV4kyszAQ30BLuRUOQ1g4Srbv1ZlistuNKTPY pTlFC4jgr4QKB+FyECiwMD5WdA6aQ0COOiYNo2J+v+3in2Mc3tNS+Hrc5ZndiNIp+SnxO4r2Nvvg 5Ok3EDz2hj5j084BTLnNUKTpxIvVLp7Ndbahi5z2FZLS52GOpYUefUgI31bik6pMySLgJP2pP1Iw eHzM1as2QRK3RCTXSIPiv/ENbfK5d4lxhl8awKHX+nLZID/GL0DJRdxWmrcjyx+z2IEhHmZ75l8c Yytu42fJvGJXhZiv0Znk8rnBoWaSMUETibIla35U21YJxM5jifm8MN5N+htwYSeX7RnU3g+w3k+v JnZ0VAyX8wffEocTo9sONdz5fQLWxH9ZIOp6tPxdsqpa+Tiq0Gvr6BWolidKZy5LQnD+VSmCPSas L2FTLYKI4eBm+JnccZ2blYns/k9a8DTPEb0lXzGeUQ5+SmzNW2RFjBEXJyfEputz6tnw2k0fH2gG FARw6sRrFohViMX/ao0NYaA8kBDa7YJYC9Sq8H4J7rXTceq8YZpB9DJkB4rNy2TMYUC12fdgKH8s 0qwdPRSwdPXxo+SWEZ4RIoPnk3q3t+5p5EVL1I1etPtlngKUHBDfKXb95bcp4kXiVfexLfRQ8X+N yxTeNWYI5k3TW36S4VrqVZl77t88M4j5wPJpdO+d98Xf+LNzcrUDZr3MzopdSPVhApvJ9GJ4qpIj aH/DPGMCHzf1l4i7NJ0FXfzsL9CURU4qrHqVKbVr8GzC0fqKByc9aa35vGiIamm7eC9REDaML+DR 2dRD3FvYrujeGhPUdvEwpgpETU1lOFRNYD8oEfcNnCKy2jHt/qkxDM9EF9V/Rq/aPrphdwlyYt6G yztL9UY0TjzvP+s7s304NHlPd36dVlnw2mXBfQZrqeMszP1Xjq/+yuftCPwi/PGEPvVi5uuSmeaB +0R+KmEDKaILxriYM77ezF66OVMIc2UzcS/k94RMTOLGctRJpcLRAejbEQDvycCwNh5wPctu37yG GbZstVf3R26RiwFv7M61B9mjButLBPE5hgZ9JliQ7iZvwme/48DV/WfgPIKrHiAiSaKpgH9FyrV8 GENKePs+V8u1u83aGjemBHJ/47zrm+mst0CEGZB9XzzoxYTFH0a3n4q++tlzDYrNKX8fsmWea+n2 NyemlouyYzKy2IWCwe1Rdk8DUoXWlI5qMQ7kKrnoY8g/iSSnvzAPk4FXFMo0TAdPPBGMVN2xQCmq eqFTLRcjD102pML/8TQknC3gnmt9gqvFQGIzSml23PpW27ZujzwE5s4eQz9lzmDDHIEGO7LsM0XB TeW1GJSv3ZFavodW3YEJjpt/ibZvuO09JK2DCw/6V6OTRF7z6EQ3NWawdfBTB0n4ji3+0z6Cx4yC 5vdCtJz2MZDCbLcJIxtovaiqecKchYroRlsB3630Bm/ogxdxkjc52uqfY5McueRGv7ctyejWE7Kd uSam2u03UOrHog4BfaN2FsfWSUHwdTbHRbZANFUYmCZOLMLn3A9PFHdC9V5RZnoi7node4FxhgAR 6isbHzcdj2E0zAmrzt0qhA9sDdZ79jp4PgY4CDo6yhHC4y2xVbHOLKuOwLvQ+8qxFTYy64I6lXbt 0bkfUv5c7g3DyBOBz2WoFA3j6NnwCnyx/20H9qNdQz4w64ux0g8JjELM0YO7DmP+0AR30WhTTMT8 1FtDD93nMigKveT3X7B6m9tEmNlPtyy5WDrU+vxZSca8NkhTe4izpW9mLRnP0+auQ4zaMpgkK+BU s3gi3UULZmCvJsFSx+NWnLgguRJM+XX8RHeRE/dxbRYOXHMP9WCEixyvkuFUfVed7YCrN5JRDQ8U LCq10QPOUsxign7NgFeKFzjVffKVERa/DuPEIjmoW2DR895vKrmpbqgPfYOEnkNPRhGfpzGJNThu OUUcpRXYj4z7GAS3HBUKwQICXdMucXAVB0VyMHe9Llwlna7iJWyrOVH4qlzVQrFQ+8Rw/AisZ8AC f+ZeMNfoeTDzqHcWjOFkJPPZ3iaVMj7Vb92EELkftCGH671UATNanqHyRZJJCU0a3hFZovyAlzJj 5i90VK50mnqLwc1wfqfpc+pOPt6CbcFZFIyzjaG8qASS9itHkcg0/3CAwspXdxDRtsHl4BucEwMu 7tX15yWV1LXoYeIW1CrpAUeVuhbkki8diJ4j3ae26JUgNKDTV7B0VtkTJRwzplNtDIcQt7NsjgGT yjU8unz9ltpVTmDm1hLwFNknk+iiyboQotGQICXPzT5GbvbBdKYctVKuQP/ybipBFwU2msUqk4BL 6nOtzdIKTgNN8TEtuqOSpnG6EmSBfPMvm+KTavSckIWVXTCJbWyRQGQBJYKnNyAbUVBz9yFMtLGd aXWfQ5v5YBLLDJCEI5jiQrcFlM5yTuplptLSLrvbIinthTaB1a6wNXwKQiOeK31GLpccfhDlqXJL USJwkNaj58fFn0D/Rf8j3f89+Ni7ZwmxbLgrUaHXrZ98hocJyytGV2WN1rWIXpsaapCsGYe28Yay sUJW6q45iy+kWsfF+FXTftYbJt2MNZqhWsGpP+WdiYY0QJWeHMpnS3p0c4ylg5uA+IAW7TDkb/yT iduBir/BSzdDQgecpRidCXUKIoauhtsvPLHZiKu+w3qtvJGam3WQxPhDKxF/fX868tKrl6PWhkSZ 3RQgtZqnQ9e+WF5niMcM55dqfh4co3HnC+nY09cdEBQhqBtbSfL6kOa5mDIEFa62eZRboNE4Cdxu J+vv6Y5xYj6LOxeY6+Bz361061QIIRV1EiDAV7tFyW9SZUgIcXNuVxUVW5GzvvAbMzdJ5BefaLk0 M5VtZfYo1RKbsESmjWWWJjpQTczHWh9JpJYSl2i0G7ZIyt/v4mpT5paeli0vI3zt9vltNBuEyZy2 qBdDC9Ky3ZeWGGamEm/VLYCoM2agKgc1VNc6l3BiWCnjCNhCmucWMnbZh+M38vz5c4IeJIOzeKuZ QUFLRDnRg3J62y3sxgrJI9Agysx1b6bx85ggsA+Ul0LD/skRq1m2nxYmNnfIdomJD6Va/v+F469D NqMscu7YBSjLp9ZdqeYXdtf6Y9qoGM2mSoYpYmDZfIkECXjmSDRfXbW3Zr6Yx8t9ifteM2/fF/Jx yXN182VeGMzJGhBCexAuUEjX6SY1Mf0HHoqLhQlnerlIMjTRwW7qssbVfsEtyunf/YFMrdCzCCis 93fXAJolkjQooMgjaTRS6Y3D+s3gK0xvZOwZBRdcuPfqymt3O+DdzFGutauDeviF77JzUYzyPrg3 a4hlRwBjI6KCcvN6x6x+Ic5JIrP65wOjCwDRqfbGfSsSvQ0g1EdSfMbKkpQ3qrXnRorUKLChWaYk cZ8LjldGaGskBU76Ihg+fYcqGj+GGBOshf9IOI1AHQghpGvWQrRtbUrsBYOmbjnYUq7xctLv2sWx iopNZXuTnYREiR11+UJoti5CRt9ZUzE0NW4M2mUa/bDoJQseJaCgvQ2F3pV5jcYHgJA3r/Osd+6D H3nozF855hW4qL6sT/eQur8xjg7czTy9Ulj4VUdB/7DnL5BQTkZLnYPR3iq3l3kI8Nric5xKESC8 eALIv8CSL8s6jX/P6nO7qfkMJiVL6HwCc36fpwVbcJolmzLmUQKawNqKKsr53DOcXPr7dIbTZu16 7mFiwQX470ppyJMlfoQRsJ4HQQiNwp/Dqi8zsT/lckTrZNRdsDF9Y8dReTLRU4dRumdXQrJaqog9 w+atZ09e2p1xmXrf/zCcBhUqNSDXvsDPyKQOZ8lpDFEejBublwQ1q64BC/ekgQWuBNWLVVmHBhM7 SKDQX4PweDEpjWzRK8IPzEznfRKwJBfvPvqJ3JQf4blrVq+30it2EKcvaRpIGDPjBCKNvO+vC4l8 v9qUfc1+AK31/8X0UsG9Q0JhfK2McDmvDgeTsnxAMSVZr7HaU/yV7AxCDReWA3mwzB8HG5VZllVb RSJGrNKOMn7qg9usanacG80FFzE30PySWZJgZABkvsrMUe+PzvhFXhH8J7qn7B15y8IrZJ/VATAD 1i/G7oSQ9X4vIsMijcuZNtElWrouxmw0qAU5qJyov859Tr5wE2sp1ZaDoE4tZAW/25vC6ybRuR8+ jPoIstYNzITQhpAhFnf2CwHWuNNpkCCpYndMTxTl4R8OrFAW5w8Zwkdrf89jHq9bKJnRPeVmWp0S Q16wrtWEJjxOYDYd9ZY1bJV9j6rbG3ofMBOIJ1JzPYgKFATaVnMd6z6aX1T8jcuffhvBnWNvBYkr zIaw3hxhJ3kSkyGOsCvdBrphSfzpKWdcLNNVN6CR/7wqQMAzVDraLOJo0C/h/iMxK41mMInh+ohH LmlfJXHo0MN66I4mxuglPBUS823BhprY8t6i4cIj94hSncc8pvUGmq8UBwkPmZWX0I+zwOxTmlM9 54hK0emu0LxvTunZpq13luqUs8rrlV5Lx2+XI+4iIGbQK126I8UHSPK2DySy4ya72dvSiJMYX8Fx qvwRl60KUcbva1DRHw+myctSJtdBBgJjJ0HN6XobGRXIXXXQTPCrRD340973TUnRwLvRdNx9EX7Q lC8DxEno4/6i/KfLMTcP7tNg9m1fYeWXxrW9xpkMCrAiMT/YXWstAOlIOVvyzqgS5M6vHAZOtCYy iQCBLzKhwGc9tdN6iR+BCctAqUxJPETvuyDC/E4WLs3Y6PcQSmMrv5iLrhLPHEBFIlTXxKfy1PJH mtzYbBAYEIUls4gLCB4JnoX2N09LcXS35x/wv1ZocYtT50dUZ0enLAG+ca2hLbLzUW9sV+Ih3xz9 eA85DpIO8MKVr2EOO49FM+jg/OV7JeAYOxj93jD6Fp2x/qZ+korsS2g6AeMVzn80ZocyTMJvbxv7 NGwtEgDNr8JTods46jHjl/2/lRXuBoYzEFKQwPfUnAhO/1vuzVFUK7I/elisrXliLpt345nJ0B+m qV84Gjr7UPHCDgRwGKVH/by2ztpRIbaUSpMmZcSdRTkH/i5clOt4FoWrXCe6DUw56aXzwef38IgL E5RYkiiPaHAfOzDfbzwo7BkPTynqoYj8ofVpgiB1sy0BoSnz2XrzxHxNb8eJNiM+zGBy29jFfF14 jBy1S8ptthVEVIX5Wqe/0X+035CkcRP+asB4xQxa6l4L9iZLNgMQEIzJujazd/F0Qi+iUQaKN+GT OaOvjDtsuFdV0CaGl6x2HtpoXByNWyVCXHxHXQZj+hSK32KBrMD+JH7u0GQ0aS3lv8Q0EOwDsSPM BOrxDC3fZS16lvnyCcaeexGeOKPhAYhUltr/5NxNdA77EohD/Oqjf49xEVO4BtBUUSAGvfkhDcBU wBTkhHQGjTRYG1OKs1Mx8zyLDRXatpc68kA5OeoI5eZ3u/ptA+jWoEEk7vs8entsUeEovz6fkw6T nsGKluxA2iR9Fhg6+uxNThlO/RwBidaxl+2LLV3m8icYwESSaJ/rU1w0Wj2hAi65c+e34cEUoTTG 96t0CaIeNEPTTuLOObM4chgeGfxfRStx4heyn9OjsUQOcrDw8FjNlJ/DWcymouQsTrq+PiUDCruB qYxR99kCFDxGIqWqMNbhMcKj7EldMo32ybtXHP+qoNJ3Cqe94rmx2yls0zcFXAFVrVjgaGoStxnw 5ylRg3qfAyGahG76ZTOQOvL64dZMIJ8I0qga2LkBrsvNAF0104Wh5MOD4mFnQIl7G9GZvKL+LXx5 M7Xrj6oWGc0VoXtopdrKRXYhAziUHM5ivbrekYubdryqjnUjNbVyse7xcXEpfEqFiOuiaRr9TlSi RiUFgrK36wyJA/NaAU1RPA40bgB0oS5p9KUn5LVT09c4Rt5JJzPJHTElXMA+N8mj4//L3BNa0COl wgZp2ZYE+KONbsnBAhqHtyimSsIO4ZAi9T+HuFADRTwAYSctCwnQO/8Z/qtvPht2rC7q0L8DhCxs +211fbWLsAHkblq0AyusP7Vetz0/yrniaRQj3hQoSY2AW57iapUBPwyBcK+BIrkFiHxj1tHMOptv 3T1tt4GqgBAYg+OFYQ+mepDe5lTbRRiyU/wPIgFambC8U29EJ7DDjCzmY6kJmKK9sQtONKsBhm8E bjwh9EahZXFyO++IJvDhY6IE+0969B4XA3LA8xmrbZhK5/oWnMf9Oi11LXNerjfLDcBLFEBX+UQq V62QM4rohUXgnlYl5iIyrYTq1Wpjon6YHIKIZj2ItjS7BqGkA5wlF0wLvax+44M4lkwOxhOOR5Hl jpTNEDuV/zy2lUFlILwO8ioYuouvV5hdxr9xkFm9xyxTucM7X+6usnEVUi4c2Q6YyZgGo+Jhjwyd HxwN1hwdfKkSAsHDXX+X4LvhNaouLbsfaEhEpBs2pQYeXF6tIcRMGzuBeAgucLWKqnFdALteOJ+f IG+JEJv1kEpzjkW6dSaGRizPZq6pd0WycvmiLkpjleX8wrGDnuB1l/LkMXG+yvMwtRZ+rnZ+uSBo H5Y8CktzY1ZwHbvoPlX5O9SpI4e6Pu7n4OnBtN9WOTLHtc+yJJijcnaCPief5Y1o8Vwk4qXyaY8G uJ1r95RpApcGLQmY03hn+ZumEIji2ZlGgBQ3nNg223Kv7iz2/fMz4dY77pwkWAI9PBp6uA1dIcR9 Ovr9rolOjUaJH58fjXBLlEuafb2w3N1RJJwsCIMIWtZVC5R5NQZvluP3joD3JNYg4/KAaYPhGuve 7MQAJGi0Ld+lvV53z19yM+xlYzPNJX7O1kh3qV9ue3lMBU2BWmmwJp5gptuPtq6y0bTGeaWv7cha yzh0a6Uu6qWMow7jY3st2ONpnU1SkdBFeU43lhR7m05IBgNA0I/i8YQKIkPnCqzidrKuZhDiEuwv TRWLnwn/Y1EUMTkZmRp8Eq02BYmk7vsDRrCUdZDofssi7pTGEI+/LHvPzdR40kNik2jiPR9RILyn v+EL2w149JVQba4IpJz2/pWxW3Gs+7UzvC42qxdaFOLRPzVNh8vf6N564gR92ODHW9Vnef3Ms00W B0bm2d15YtqXPs4AhTZ0Z4AshI46KfhMoypgsQePwkWhxT8AAQiJR3561hMgNQzmX9nTh/lsa+aI eB3vd2K7TokcISLwYZhOya8cSTCaM1/hcypz5e5RHKKGCOZ5/72AeU6GyJIOBE1gmrFvqZJ+hppV T/0oJdNarLbN8RukfPt9mFEUk+5eTCYLsBGfej17ePqhJfZClqCVnHRt5/HTJv8Ji3KHh3P3/VCG TYVjYwTCtkFTovbjT2xlxWteeafJfrfeGc7/hIzgFUwhtHh77FfqN/5GIYiE3RrD+n+ZdL8lsBY/ MQBEwdpUsBiHOhe/f3nFDvD/FN+SHmEzfOnUbGQ5ejSsuolAMzAjHCrb0YkkzR7a9gsf9U0bL8Vs 2A3Y3joDw3Zl6OOmauKKktebL/aJpSeWqintaG4CI0alJZ1Yb7CC8I43oBny8lqfDHIcXtfYR+RB 6oGxV92+PQZy8mbbh0jTcKq0jtsVxvm3PXcJ4MUiokf6cSVx+/yMSua7PsuFnxORUuxIl/gpJ2Du sckLvPHTleIMl8snnx2ZDCMJ6HHQ78/zj5mTZpEdIrDrYDRHgdCrA+Rc6L+1i98XlsgD2W09UMII 79XXkECwPxU8EKMLOXvbB/HQ0bSufM4cU3OCvbKX+Zn8lzR5OiYpeJtuDoOIvDgO7cFbASczgUCb gcLczNQhei7dfFQKeXM3ClzYHfoDzbfYvJIBD6x9NsgqaJib8IBi1XrG12fDSQRgyN0xPJAL+RE9 0xjVDXn+CNMgYmnAZ3T6wyugynidmpN8BNByklXIqQ2w83bsB75VrK4C4CgWu8lUl3gIkMW2swvH Orouc+E9vWFJtH/isH/lI/cyOBch8K+EhrHO6aQLj1PcUACfQ6j9dOInQm85I198Jwf/moSwTuR3 pv4wrxe+wAhcfZOOivY8hVT2pp+8Tb87DdNM9isgAOWL/4Abo72CwwQZKL1pbHE9RpI3+lTRAu+K THsAZ+fA85qtd6ETw4lsIXa8kj2N1NqyyyfLMAnT3aodVBSWzb9v7KR0dlQkicev7cMjL47ev556 LFymSDCNiA6gbkGnrJhC6DisYVnQ/cz6uph1L5Ft7X7+xjVK+3hphP5cZGJV/keG33DwhCo3cc8H 4xcxABuXTocRYh/r2ujGaO9MxNKF+1HI6MBKekm4iipDzhuUXxTWdQJmoS2bB2E2UjrfY2B0loME jPvyRS86zLYYIOzAqshPWvyaugdsEYNEfwRX6g8DSf18qFFG05vWtcrenCrbNlYKaDllJYdUw8fA M8cBNuFCVSLGYb2sMKejjlu7Zxl76CvU/WM3fgFlPZEHp1Gqwxh0fxPaCxwFGwsGjcPIIGvGMsWa 1LX3oQuLxMeB10y3QQI6m7EizwlbgLxzxKgKtUsd4intmrj6SI4LK3CLmTY62LwOi2rQKUGGJDnT lvKfF/lmvPDGhlCcdAYKICn7wOwY2yQ8xY4zMiafhQ+QwZg876ySDRymw2KTNQO13/pIWtqo8ezv X2Iv8DZZR7S/PvZoZ09zlTKUVqW7/6u8GaR/Lu8+D2GS8L8oT2fJu20qRTiAMuISGHkp3tqbGm+0 ZsnDyKuWgGslea8MrFUg2n7Nrq27tL73lrRBbZKBm8YtpNLBbRD14RTad8dwrCHYlpcAkIYw2GXs aZYhw+RQGTA/xSVlr0BvlGb4Bw4o4MWMEL6OP+KBIavFcu1FtxV+XlLiw8yuOpd/Ak1y+Hl6ZOZj a84TD7Yjk9SDP22NqhKIIb1lJkv0hL33qqqWWFi0cb+r/q1zjIBJ8HA85zsN4Mf3DxkeKMSJFYWm bON97mSFiR41wud8Ri/CYOJNVm8RpnUbRonDUkH/hriWHGBEZRjhmxacYv9DE3+28orK4cFCaS7n BuJOoyqp1sY/y7ClJDp4fT3Mqu0nw1BI31m1RlUMgKzncmYvGeVH3n2YsaQfBpQghjxQCuaNNmew dCgCUZdYyo5GW0HALScPl2RLQqcnG7A/laryZt4sfi3YMaK2BR89CKJ1Ky/u0Okrr7lD98Wfxiw2 raWslZ+z5XdBV0egJFYx+Iiupp/V0QgDEeSJMBwnkba/Q/gQO7JnnD5+Qgicr3pPRVwDHlU+AD6f WFSx7WC3ImCpO373E6h98Z+aeyxtm132bG29fg7pQO6XGgFLe8sUlx8Ylmes+pRLbp/As5g3hnsX a78CdEBy2AUT/SS3Sf2aWIKo8ivcEqShpEHVaOmRfGeDosV4VrRqDA7dCQW/lcdD1dk6juf6pOp0 ninwCsHZ0apKOUrXE4rgF2Odg/3rc46YQUOeq3h+OHmQZL9yWHO0pwSeYAXfslG2TnLU9JV3z7VQ Y6vbcjsj7QVmhrHx/GFq4A5Xp8Uth3lvv26Vs84Jj0aK+MXnXXY9NrZHcwjB48baK7NSscbW8qgQ ne8ev99DhhLR5xS+kxJ/q9BgO9hoBGvgAPJjPVz/fBL6PEXuhaPzfM8ejYxdeqpG98D9aV3ASgn4 DKy9ZA3Ezs+4VhhBVmmnMjIKYJDnW9Pp7HRrUK8H+HdEXEgELtrcji2J/GHYq6zZWH7/Jj6d0WRt Tsc7AipLRsv5+r0ux/jpQ94D8b0eK1rinruqEKIbIcfiiTPP1mhaylszE77eV7u13rgVFU13azQM Z0T+zHfy3J/ZjE38Q+IdRgFMJI3dmfPj+N7vKU3C4U8WyhPxgz8ILtGJRNqiZAW0V9ajtDcBijoX qPmR0vPiMhRv0hkPDihadRuYioS2oRrbSuJnFBUjrwFU9v784aWtNTd3VKYKTKrtztbdPQ0YUEiU 4p7+B9VnmxpDxr1EHLJLHkARidaA3gadR7a9hADH++v68z/YQEl1sO2yRgLokPUZBybPn9qxIC0A 6rCnjVjjkDEAC1ZoraqKY7QbKNcdLAhY7ecEcWDtZrWORa8aCC4a5GBMHhgQzYWsuJRyg5J43VD1 cozuSaI+czngFugVMGSbXTagKG0mlZ6bu/SQO8/Wxg7TkmBjcv4bSpipCyhzqK6YyuOdD0HOR9kV f8Yh7tL1L0fol1tMIhxlnvoyCWge7VbixsjpE4ycdzK9GeYaYClf1X02LWjJydO9KEk7/hfx09Dv G1JH43mVzF4TXQr5Xq43VVkTW0j4UIrCExqsLwoGIK0W0xqpP32nBMXFM58/kqzfSt0KuQDfTPQz t5BywKZZH8NeC6+YQrqaGDvFhZs+skO+AVx7tPd0vnWvW0do5gSOiaNcPmROTxzTQiw8JJ7ahROk f78pWWoPS3+Kt1zuaHWhcTcSE9+/rQbLGiq/VOCKkVVlLcqLQxfMdDHCnlom9CJqfAU9C+IJHBdQ JOZKyNvNm8k8T+7DiVCNLx5c1WMRcQEvQN5P8cJSVX+3Zj5e7KVOHgkUJXr8RnpdT4Dp6w2z7yDe HqhXE1jvXIyc5QxQhKFLl/z/Kzw0iXnQr6H8Auh2I6P04ZRUryOoNV++eDVAO2927WWmgZsR9OHR cglZdJEYU/qrMe9NduGUBfvBqXdrI5zl9CoqLW7prtpcWNAF3ZNQ51NR7cub+WTvlB24A0rxUUxh O/w3RxxgpxOQAfrl7QRiaWnSOwcgHhcuX5E1ifeaFh8B3HmEwYN/hpOKgMmNazE+gZD3Wa6IyR/8 CR2VdLxxPHMCQlZjU7+psOa8d5NV4CrEJV0FdqRHOSpQj+u3JvkgGVGWI1gflzyb2WBtOTVwN4E6 ocGSx0SGeMFlPoFdr+uCRVw6keJkkWGLf7y8lf3DNzstwLsrJ1yxWV2MrjCGdCTDBEcyOE6WuP0t KGYjXQRtSTYJ+0wSPLLTQIPW6owrBYp1n9wgl5D1wE+2sU5eSH1BmO/+0Mn09X3d+4nhVJrQzq4O Bh21hESFS19LG5QNK1wvKY4EHV1CCe2X8RrHz4ZdqTmpIKjli1rEarOWpZTovsVFKPcmd/IgKSGG Xvhd9XXD83qpVr1pRot+kjuzt9BBO8aiHMqoAVZ+ug495mKQk5ZGJD8gBt4VzQqNfBWuzsouXR9+ ECEpFQ9JMHF/l2Nsvdl7IMze6hQKXnZ3mfTU7KiTAePDm2JSNeyHR2bR2FJeQg99b09odWzIVuT8 Xbez+gE303uxg98SCmupiqJqCxK9Ve7qRHe2WxYGaCDtNPsVw9BBkCTz5bj13LfoxUMKyv4bG+Dj gQc7u6M7yzRxdYOJNDNnTjO36HjqjmuUe8uHunx5COrRJRT0fwru3N2aqZ2r4fhYu4++QLRtp9oH akoUSmtbutPBfKcL3YHKft2i4WJvNjva7ik0ZlVnJuCwcuEoSxqDIfvZ4JN50XHdVYdlYpIbs2sq 9GPf2xZxSOx/pLXuFnlypordTp3trBddhzzv9+Ommex3CdMJ4Z5ZoBCHdXS7Ur6bJcxISGjcMFgP ibxkt+wZk4d+2SkZE7+vosjYLQmamOteau7Detxh/ifc1aYQu9nXcSdZDmiwKt+T/DHtSNCV2Fte 1yd5UQ+3faHzO3TbOZew8cZA+Xobetcu5fsY53SPlrsA2B7et2Sxbzmi7BDpiUqEGRJmemj1Lnx+ yMxf6ACOl5l0W8YGjShrmyFW4DC51CTfCcFqE5LQz3Io2v8zXZSdwY2I1MFbyss3695zBBrDnQUV DEHCp6mmrF10iB+74NYVGLWrWLTmUcGK5rolWL3zcKs6g3g7ToCOzkPGIzirvAGvYfISynxbL7Kw 80O8lPRYPXgrXapuTVavJ3Dy2j3PdKsijDbZRWiLMOc4vFJV8027VYBNUCIRpZygLZjOnuu6yRjj ccqb5whAx2TZcMeGmYcaLoczjd0sWlDs5mTL99zaImqOZMx5YSS5aNMR8ekhqU+ryeWYtYXcKAsn EqSAjRK365FlKxgHaehyH6eGFTtCTjdQboNQgsTBOE0PWDSLsKQaXqzCuS7tWfqLSfUCSkAdwTj4 EhAvguHltDFpI7CEa5wV+mk3gf0HoC6RNtJs3P8FUhf3cwI92iPwHJ5KHMNM2aiHZXjl4ZG++2NU 0qHbeU3lVSb6iSwjatO0pZcAaKVmoD0uVmsvORyVdmQJbJ6sViXAPTnPYmCUBFPDECTJOlNaic0u vJ9M+3aTZY43tcmzfa89ROge1GIfPgQ04kP5L8lmvZlTUpouiv0hOdKgzYRDCFOiQEeYlBJmCCyZ u+/CnQvuP2qhFCd1SZlq2+KnUUlMS/2GShbh79C2D2X6MXKt05tHsJsdaRImK1WgXcaniSLAxrfN WXmdL91B+vXaQoDD469oElqLrrXFaqvC6qzzQNbNI1Jg8W5Oe/kAyF2KtbpEbPG5k78G+x/rHfNB CSXaSSB4BMoHlxRsJqQHREPd5mAoz956BsVEJI12AbVrRyjVlVYlzwnVgIbRpvL6Z4jRKri3xTrp RyTc3fg7E9+wcgP++SQPR0t4bkqi6pQgEtWAoBhzupeX9Cvk67P37XmTJBADvQSGopCb08Wnr2LS FUUw1rtgFtO6E1kJsAmZFn3XsuMl5lIqw4KbrUnI1/spv3K1I3pAZKtJKSw1/V85NQe05LIqO+xe 3isxIUPS9a50jkV2wer0+dVIa1y9G5l3/qgaM5myRzHq23o7lRK/uCFGi/v2zXwfUQDCCZ31W9yC 6tY/aLPtXSzmVQFNPO6aeAFE7sBAeXLroee0uRcCcpn2LiDCS7Wg8AYc9vp9l8t1hpH59x4ODBvG yZjKcFqilPYYJDZiIlf58ox6/TiRKh0ZPw++SrcLqbWxtPhdnksuhBbDcYeNrThpTvhLbzJCsSBF AFOOxOLm/rF+dOXEayMMzuDl3wiT+WHRIZPMYzEMMNEzKjMFvBSZeiFj7J11VlgI0X3x8WP3hPEk l9sjYGNEY+9bI/Ldr+u9//e5fYBenv/MC9s0rCqmQvVv2nxSt97UXcxDNZprMQOwIrmGkbHFf8ue vKn9lFN97MqI/9stTUsp1wHz0J6zRnDCWDJPAZCa2LexmZJKSVGKsZL6P/VrI9uE8tPjF/yAd2W+ 3loZ2zvYJeNrFofVDUX2DlfXeZM45+K4TzypC261Fyzsvd9wkM6avCc6c/742mK7WOGbx4k6478z Nph7WPaL52ooCWK+DCeVO6xlxuSbY0h6knVwZfsHKyvuau69Voc8Kt1ptgkQRaCDUIwStLb3TGzT EomF6Rxj576SP+joP1aB3RKqLyc+d5f2cXLM2fl0+b+syeLAuY4RXm41D+41rrpuTZSA5X18bzMa k3c3zP1UbGzYgYerPNo8HeD8C5q/528YrNeJAsRj2DcQAmnug1L+1dqCkyGXoSQyrWBdwEL05FBP vyABYTKaLjFPMcskmTLJ1PjCzU6HmEULunnBHGjZrDYfDmby5nF+pdHZozZhQXI1sMOulbUrGqHm 8jtiFE9bg0wnR+yWkJZNzZO9MUV7xWcKwTvnUOdZO+99G5qJmWJokCDKjSLQPUNkLgolOkw93VsU gijp+JVSOyOlvkf7VUx47+9wSBNVEj01P9sjoZZvesNTYTc9ZaQ160xf2TJkWKyyojWVDJqgY05r 0lwhwTO/7HF3DsHZ0FUTF5RJyzNgUn64hKVYaUjwU9BCEx3Ly9O0ov+nQdQMUrxwGghI+QzsKLm0 EMWX9gAHzABVV7vzqAYEt40x4NOoFeA33yS5Y1d2KfngZJ9opiT95eFLv0sxfpUx7KnBASOIWtlx yUnB0EP1Pj5XCg5Zpnn+k+JVEbzqrIhbjd4WXvKsxXMlmyLwV0qVlSFeSzOIl3wTkspfF5TfdcMF g75KYTIN5orDon95XUmq2Jv7ENbdLwFIy+2/vNlLdvGBy/wwRCEzrqkUDfqaljTIahox7hsS4OjT ++4T56BRX58BxI9l7rjmrC3dVr62FJ5GebTJDHsPDFL/CryseGp7+zF2JIqcL0kkT9d9bCpLa/cf r0LbFV4WS7QYU2H/qFQNmazfitXq1tziTJNvWWOeiRrBC1DeJZYCaT8OSXPtEsyPiJqbcqdFxL0X 5EJtqLPTduqacap/eU1J9B6FJnvNu4KY43Cd05sr7rDCtchooVOjB6eXd4tadVzRxrFanZ2Ow0WE xRSGeAXOvBwWXsb1Ep4WDki5QzV3qnqNaldkqzEc6I5zAqo0Cw9d9DjL8btiDSmWq0dmed1iJI+M sw7kOfyZWbwP5K8tMCYIQ86qATsTT7+V/zIdHHuk9Jm3pdI3p8r3iJgzCwgIc6HyrtYe0gWgTqv2 zMTYt9I84g6Qd4tyI8KK6s7M9cFHRxupSo3NEKppctDNoIFvotF2FlRPXivXWO6sUrPLNrwZMXDi M5ElFZgx9/BE5yD2m8sII1pn6tAIxr+c3UirN3v9QvgFKzt1EziYn6GCSJjgWeazv3ih5msu1+4D h5VAlQLSUqpjouqTTzpqHrnU8CfrUAqD2m96QCFyUFTTq7MPIRLawLib4S6xZcMwsQb+5ReQTryl wfk72HHgjwmR/dYJWvHPsRkRlk4jcbHnERuQoZD6ODHlThmbjenDiOqItqWvKJig/SI2XDyqgMP8 LaqIWHb71brYMm4N2UsJRPRCQbqD5KxhVxiWKjTncIfWCI26YqflwMUmlgU0k4eK9IhcBCHPItvP ZNJEGt/1IJw9iIpbIZLmjAQn0ynomfHD3rH8D3uVsbhc6XQj/h0p/UqbbbzgeeF8Hl/wuno+btOI qoOOtfwtVjErrhxhNYibssM3YROL2WSHftW4tfrQ8pwZUEbVtIF1+JyKVmFm7d/d9zaa8uWj9Th9 LZCXlz6D8co/hvB/MA5VN8JMtgjUpY/KmQ5DQHLk3CVG3JQhTnNMbLCCcJyB4SCEHDSmgDhGt95u +V+8cWQVnHBfTG39V4qRsrq17fmR1xvWbFLUe9wcPbT80UdRQFwIwCq7CwUvqgev90vIh9aHkAGI Tk8PG0inQCSsXWG7ovveJuufxJG7KbrnI6B9CzcqVNXU3cQVXE2FQFMsNXrIGtDssfSV5pEAH4BO dwFRZYdCdRAruMuBolZZpitt9DbrNtJUh7M0EoKKfhtw1HcFETt9OFUOi+rkRUCeENmncTuuRgIb FCdn+CTxDI36dVwEC70sEkTSZUCUbDY1zdYirlY2MdfjahMph+c46b5Ukuku8BTkiUrIDhd7UcI8 lJ+zcsWrDYSB1HClfvNXECQjyfKW0Qb8xR4ZOacMuRfRAcQ/oxaH8NRHXdMlPSIIfduqs7HJg4tS TSAdKGKp1JIg6uDAUzuwWXsKZmXybA7MuSoEc+InmCoPdxlxXjtgHsyU19iwVQq3uDPMewKx+bX4 eulRBgLxYq0Emyc3CKuL83R1GKmQ0f/JaPCDLTxYT2axqUh8Hi79gsS3+x6f91o5ZPldYdTC3Asc x2rBAu0hIhCdVtTlghrWD2Kzx5k5P+D5nlLRYFDLSmRIG397u7jjaSpjerqmO4zdInFBFA+ps38/ Xfdb6c0Qnk65iTpEkA9A3suKet0T6CAigcW2aIbPEwjYpWG2Yu9M/KPhWdBGUd3sFeT6znDWV8My 9p8kYciqPA5s40cobfvuVZg4kgXXQlfCJmnm0CmBECg8pME8aRxfQSDfM4YTxAOSfHzGcL4o+3Ux 5kuUHOafMpPFEAfMa+aM4eHbFdPcfr5Sye+W37qM2KU+CC/Xjvlvuo5gT96bipxxlkPQ8cnyE1X5 WDfjNJzrACBU+9L2T5dsGnlF6VCrUF2tiJmXlPg9bmgZcnax/CTRONwN724DSquTxrT30SsKHNc4 X7GVBq0U1kO+yryyj90f5di79PGh5fOnRg4QaJnQ7NBBDm53cESMq9iaSLLyilCULnRrURaSsN2q CdwV5gAkDb7UeGuth1yFNsDUvNzbztksyE/8OsTh+yxEZ2YSJ/+6bzmZiH1ux86RRIOfKAaPrJNu M2az2Co6RrpQsZ0Jwmck1GMguVhnaUDtf+/jX40Z3huPwaRDG2ahagIhonOTwBgeK9nZPTrCwBDi yMgn7EU9w3sPsLtoeBqVuK2QOBio2MH03iwIka2nlfHS15nbCOQ8tYv8INr+4G6tSU1yO4jv/U80 Rbt5dWPwspCr8EQ1xQVV3T24I+SKGAjIqKZs/6I01rvD4rsy2Hr9cLdcQeBcmvoSPkZ9rgWC6E0a nNBwte2AWwB8oRDavgiZ26FWG49nhC8CM6UO8UjV34HVqRetoAR0UARqF0sE4CGDumtMmtUomQIR w5Oa6yp9q97xoXIa874gIrwIwdVgIlu5zmBE9hJLlmeMlzJ+u0PFmlt4LDgJDH3FGVbhYozxEyrY SQsutqeBc6dzmIfBymh+/gOCwL/N8KafBXarLhfjhSxyYuPJIFfi7Cf1u6IEVf1qbch7aujRPANK oSOCFNQi/k0r7iJ5maZfCBpOkGGhD+p7Na/mDTCO+u7kTsEyj/Gj0tBJ2xxtHciiyxhqqTbivNzs GFBlvHHx7QfiGyhE5I6OxSo7fgjwO0K+SMMy4bJzcjdxTvW8z+oiKEt9b7t4XMnmFDQDC2P6tQmx 1ALuZhQ1eMG9FNdjokxI28/V2xYt6y4bLt4ShqhMH2bVxJ0g7atc63jf+0RtNDvFxHWW2WFlofxJ 7S+OJfvz0QH9b7ttH0L0+sZxEOwUbqdmFGuRZE/askGkMUKr/MKtCoD7LKeuEke8tsea9MixEZh2 il1Np88vlwKDSuPVSk4/VRVLUaYHV1SGdf0HMnm/bEa0gPgUpevwbIjj9RNtmaFQr6Xs/xVfwT9S Nt6K+syavDe3f3WisSi8OoKcIc8vGmLVme1vDN89zoUJo5fNzVUP1T7WRVVM15X1VwuJYXygR8gh W5gg/HKI5PBXPhyLuQ9lj4NCMgUmBfdzSdJvjT8TWPdeEwMOeN0CJbLg+Qwt7blwXGW6ebPam/U3 M1L/XmfcKVCywR4T3y9UPN2sgsa9PDqUyac6lRLZKQAJHnvt1/igsa/L+ZCugoytqT8YWfjbCebz Ke5u0ka4FDfIBTczzXPPct7e87Wq/FcOqyMHjJVwz+z6cU3WQxm1B2pGuSvtnTYda49x9yF27m+U p/hoLVt9aUDaBpRZY6TVHpU8rUsardbUvJaPxSHG4ZHwZPL3NoAjAz/b79ZzdttRT0lMlouPfWzi coWiz5Hdv32+P1d4T4DHj5fEnPZjAxkNMGsYv4INbX7uJ8E0XV6MUTrkkOEux89lf83D5flTOyd8 AyVaGhxeellScz6JwvBkhvwVQbUWaLBRowlK1I7NN9wx/NFUr6N+6q5ryhv3n/U8D06NgurTxZff 0tbCi02mGwlToyi+wrbvpeocMN1WLmqelTflzS30asuVmj2fCnrGNqxyQgnUzHrcMSvTQUuvPEFP UhirlOoQ0NH6GIZI4CUbLj782b1x+/5lW6BiewkvXO/38YPPq9lRF+dWYYKr2YWZV934tHqCsM2A Rt3m29VnAtyEQjitDTTbrHFPgjcemr1MUHXTspJFKt20nozVX/9wq3NZEQ2WI8nOqKBQz2Vke/gA uSPzbpsxobEFSBWE2NCMcM7dFVon9+1lJ6OmblEaFO4CR7ENtPZ00MRuUKJrAHLwYU/IP9vFsuH9 L4Iw7U3bKYz0w66izpIPwgEY9zuoc1sauNJ09IHnO/x7yb4hgVP5s0DP2RygHUmQH0IT12qF6akC 7M0rnWlgRmDOLfPq9KwbqfY5/ORtk8PzUp6XLkkbThIGAF4EmRFvKNRKYynaxPlfRAxn26jHyc6Z 6FKO08avM/xsbvtFMCYo9nwP5/RK7e1+Z+wC+NWmpZWWNdM/dswKQDSstokYeSVg3PkXslN6uq8w JZsJnD1cfNwt/0IVrqqloSuwOpcxfl0Tf2Ajr8azsO6waAGf5Unl/BD5Oi/7CeiY98ozg1DCnd2n DQ6Exqy+LPAHi3b9xP1KdJoDO80QKH+JgqEM3sQVNoEPOeLMdA4EE7IOwTfDAInmtOJUlL/xhisp ikQwO53O64YlNsZB3KSTl5oCBRad9c3Hvy+2beazjNI12igaE6LrX3tpN8iaDNfjOldEYdI3u5Bp kvLUTumLwif2tUrnpU2Q8vmJdHPJ2eE6d3CnDA7Jju1evZU8ZArziFxVmxSm5/OmwdIxfydd+/Y3 y4yJBXoYkcYoriOUJ4+onJ5A4N5ddLM3lPefJ24iYBPf2BuIUHofotMBjsK9TkdftsYpIeacksdN +h6KCdegR2r1VZREOZrghFRU8Ren+tHnkiUW9qoCyimLI0rLTffUIm5qmp+yy1Qd3UFaXqXLu7XQ eOG2aajPYwQyA7+EE7FZPTR6aVxQVtUxyTj2idYTumo+TmEwlaOk3jiGMqx1iJVOg6Os7iM9sQhl xoP0GZQJaXbmF2wg6P4tbt7wlavVYrQWL8Ykxczd/xfyRFH+oXJJ41/WII9d+x4px48JEGMRfkTX b1n7wBBHQCoJoL3prX3MyiV4KkanR+9f9dY5/gPtYbpvWPO04EG/prL6UHBE0cxpbjkXsfSTkNGG dN4eQMSFa0k5eLFggmjN3HEgPKfl2d9cofx8f3CqXfzZmpo8DslazESBKw7jgTK+4AIamTd/Ccgw t/yW1tLn4nVw2WvXRX5cEZ9nj85SRyQBVfpoCmMwu5gkc5PbcOEPOgxbTvulGE1qtuhbEDcI97JY QdngFeCfVXH6WCcenPLlj+0315FWHY3Rpc86NhX6rmUMDTsz/ijTu+W1QusM9MrPzMjVbAaQrVcJ WaV5WIacFQkiCDtcAfODcLkLziCPPbIXqxDaSTZKB05lK07x0Rifks/dkLiBmtdMVQxTwG4vCyCL csd77/vOY0pyf/33qUIy9rt1wSAuAWvUXxbsFh5cxLFvYQa1q1Ey5863XlI7XJdwCtG7/CQE7cbU 7grpEHeeOB2Wxg7+LkoUQvRda9l2BXn57Imp6uAShpS2H+ZjQRGeMxA0fsDEMknO1f7GQofFckeW uWPkFlrMSnSedKBMEHhOSik1RCDPE/g6pMnksS37yet3wdLn6M1t+YxuSOKASqpYIt48d+Qbwj/0 VZrGd+ahe1Ud8H5DDynN+ctCBQlRuj5RmyD9m35JAuhhNO/fw2W9o8vrvyDjpjZqfPdRgH9mg7qU GQGUwNLwa8tObb+1ZMScJDeDP47usg6rkUQHdOBrol7AAghvMLBd8wmquhEpr8bKyCWAMhkK1CM7 r8/cG/JXZVfNkbpICOMeEmBXepWuT+bV/SSc7qughlpiVGaKd/Ok6HEHI6Rj7sd3uvuxonioLUzI FaCr0+cqNvilO92GqePw+4IsXgT63TXWdSFN1w4SBEd30apnnouavVl51sLhRamBAyvIoyi+HyYc BmDdSKyKM956hav3YYuCuO6ckFpUhhDYd252hp94SK0kQfjjXFYmJdJCV8NqS7ibnvLvVAs/vbJQ pqHEXLUPSOqVOWEmeL+2QSvrZsDHnJjYRXsAGfPpMgiJr2KS66F5i2c+ZoaYXq3KIUukHdSXyFUi BUxyrM9x65/viQkO7lkHAdn7+8ftPWOIrbRD0WeyAU2U8ZCv1c2XgdXHy+Kdy+FhxEqxApbq/96E QAn7D41nEvFjreM5CY4HJYtX3uBRAIqt1G/S5Jgl+8FxCrU+TqjOIvRB2pPGgpoy8b8tnBNmP5ga dOywyw1Z10gQ4AiS2xcKhbYJVre7agE5v7wzLkwkQ0WqkVBNoT3puBrtAvTn3Lh62Zv6iTcvRRKr 8n5zhoflIT0ayE3oCKkYtH8fuJT4OtbRauTh6k8vwwmTXE7hCItd0c1JAERJMbWgxhl2cK0LCy14 KAe9bmQ8S5f/J6RfXd0lwBVVedDzx7D/lZUg2nDAFnIiXIJF9VFDvjip8e4kcpAY1GkLzhyqjFxD YW0VdhKk4KMug+VEnhvFbFZbeXfSSImdKs+k+qamjZD2D7ziJAPzsVCP3xjPDwY7QALrVe3MZoFz qlIRPByDSKUTAmP2INih3ySumUcgAVkjvRaRfasxm1ZBbahcRuioyfsWWj9vLHqlA/w2W9ib7/VC suHTB5pJPtFwuknT1IaATAs3idoe6/cQEDCqAQPX9WF84m72x0t6oZ00D5O4xqxbt0MetcDlTfAv scBxBzGD3hsYdofKpUXgCNvKtpYZHuCQUVRTULjzJ8759/T0rCKvY+rInvrdqhqn3/2T3y2Jtak4 dAgfMzjkPo3WRvKvhI8HNZpjjREDnAkXh+m3RaFzSjacTTp9chfjCuSyAK/D+djWFRzesQyUUtSc AE8PNMsgD0hwWdfgepi/7K13ZHnuh98+d15fBV7yyOhgeSwkFkanCKlmDivuUk2bSaeG3yHlLLcC eWJlOoYIpDrmTk+sxfhL6oOCQDb7VFtgLhTxAMMvFyr/XoteiF9xHcv6PcHNRJrj/Dc5oYaH1m/L MxQ3KG7aDAcPfgDfT8rpUkU0Znj5sFYF8kmnGZ2V/0WviEI6cdV8nenpEDsQk7jJo7cIp3tfYdyi kfdNyEfNRSDNEjapI5UREJwytJxHZe2j8UrjqeDyFME/MqBzxUQNWD0K/uVADSGHDx1kzCY2ohR6 wNaBRVMShtet63cwxxMPXU7ngRBrACqOTR9/A+4Db2HvkdMGSOrgCVYZYJOL234CCju+l/UWMbgW 8WwwFMLloYsbP34hK4QMjeEeNgukHMFcjueHJgJJuKNgNY80sccsC7MjWMk9NltHMcZV5UJudN7U TWVuHMb0Yw/NYLoFh0WlV56gHcM2Dq7myqM8oSRje/PbtRjmuPnt4O3xIzVPGzuJ2Fq65K+RJead e2+7Q6pAXHVLZr6PsFqPrVERqgJLpknqYsIc1AGPXnO8vtd78BnL0Yi5T7o8F9pa76hDTwGHf/Za L0NeCSkCJ1StOKMD5IouUKIdRFpoJq3N5LwKfYkflz4q6ZGmKBlOmonBxGaGBWFUMcetTkjYMjj+ uRgZK45hU+UcoWRmd4q7UsicFt4AXzVjKIUu7YBTYJZbeHugbi0qAa/YyJkpG6poVFSfi9wzemGG A26qVPUQWNMvE6JtGjxP86qKrMVAWM2BExLseGzLazJkEqP8DTextcA8pYI1o53zL5QKQLkZBO5T e//eVmnfTbFBs1tj2i5ebP6ItW14KwKU7DMykCl4DwtdJRpEVcvEMby6UeF5ulSuv2yrBs2Z4oez FLqgG3vrtf9h6Qq7TJ4FNHwGL7Quf9QTgofnKulPVHSL7+OWcE/JkAb8mymIjo1zYrCGX+J8UdI2 iNctHwSuK9VLcrcV3/XPfNY1uh4uG1DgdKHr4+swuY7Nhy8/GP8qX53p2tSD1yyCn2/+vPxh9j/a 2wLQl/7MBSRi91Ieu3aolqiuQr9ht+lEBTQvJymc9XC40BTelo26x1NXxm2hepQyJfxNrkkM/ddP McoXHL0sEt0jF851+MEewuLs6IKPocmFu4dmsmVkitItFVe1vShD14jTYc0vuee5Z2EW0KIj4brh /d5YoS5rbbapGfIumn0rpMZeVD+K2BkG5G4HsuplG26pqjwl6Hu2C3U1x3gJBQ8q4xStW9M68bpW LkxkT6oSdU9EaECoVPRTmEo7sI9ANSV3JsKkia89QiyZCYnah0VgME/Hk2liYCvF5p0XTPhQeUcX la6OxS2sosiwkoCafiJ7l+rauEveLuOuk+tZNI2BGGY/IfSwD2MwfV4yYH0O8pAZtHvmRe16lu8r g1l6TBhEnPTVS8bHl1ikCkm/VWnk+Ib8ZrBKXHrMdwyl48aRs0lL5IKK3FIrFJyqBGppumc+cXlY vwt8LvtcFnEUwW2kGVDtYe+mo14ZdyNqb9fzna68kivf17oc6hnSH+NQqI2XnpojwSzDmtJZFJSQ 7FAzTl5Nj0Gxakxdt9CYsCHTCWJ83Rxe1mXs1RnKuEs989LAlYvrPubSNhyNgEdrA/geyKGKvOMe P8km1Tjoey984ZNwT2yb641vWP9ELTiSG4htD67GEztK4M2NanHv3W9ccRxNK4ZY+VmCMNNShJTP 88dCaP30rE34FLWfkGkTxNB7nZUuysMkaiQLEzL2sFc9LvsY6kzlHqfB7yApUJD0sBwFOlKu/YYX AfQhlySfHPQFL1HZprLrHAzVAauu+Y1QbvECdRkm+RVHeAI/ScVKHwWAZGzlrhb8axv+lhyOzPKb +QL4TIZZBoFbeQ5vjE0SWSk0My7w9FCLVT+xAix6VnQhAV/QTPumDP+KAJuxU8zXaWAAQ7zM7Uat uQEdOdJgsql4ELUXLcD2G7FIj4hV1K1tBuQ1lRuHGjMLDYhKTMgvMA6kGnDPsFFPoTk1UyEyZ54P iWfkoZHnnJPAykiYwfFxzBYpBow/eYNThho5eWM8QL7KtL1qWT/xtDVLpiB8s+hPAIUjHe4yaasv SAbksI0HwT6IrxV5MEabLDy8XM1sjLwBis1mV6fwpNemyYzpeE0skX+Tr0hv4UHXS7wbLgXeePh/ s75LjMHrunRZd54fIWZeIUc59lX6H6/B3CjtnC3raZramFB50LCuDmN3N6TdGrxZbrT4uocO5ozo 3J2/zt4Qz83oJzZv8CDkxtL7Klnd5Ed2JaZJGnwLP6WawRnxf9eW5hIlPMFxgAFpSBiiTYBvv9im 5K3oqFBRdyC5iZfUtMNv0RTpHXeWzigwSc8tvQiS29uMjrrzFGpT7K1NcAma0E0feA43GZtlky5a hWRzg8NqchC5RTyEdy+7g6hu9pHSEtqTos6gvVOAW+Fz5K01/yYdle6Q1oKQvJ/+sdnxZyHXdzdB IQSlm5QhOQoJfArQKMdEJqQd02ZOZK+/LgcFi0jZOUewjl5uJObsPY37094EsETQPEHTXlSqU52O yO2Wu9tnzEIhg2G0VWHDtN5/h6hmoX3gigQmfuYnXod7yJ0F+eUyHt8/gxwHKSV4Gq2KoCGS9w1Z dtlXb1kxAHL7UiehwA4m0SYYWFP6MWu4MeC3UnBvWDSS5nDReFYShQ38/WYI5+VvufSB9+dYPSEu RNxRxlhjt2nwvWAJG+UH8REsSHMJAjsguHyt/IwFrF+B4qvOhwrw0wrFkCkRZfiHyuYzOic5T/ur Vz+mzV5ZcMZYE9AP7HXPnPkWT/3m8UBommgdJYhNMnNzQdBFsOuiWYZG6cULi94+yF9Bkx9CvNGU P91cW8ZIkkpWm24+Wckk3VeWfgwSfMGZ1nltGg3bL99N5sCYivip4mQPEhZuAxaEvap3XiCjlEnn hyiIBhwMM/ZRrv41f/oHSsMkDKzCFSKt+D8xZPVfMcByn5keAURiQUG4PKExOZr8qbjWUnbDejIi ANTomN+3nFUx2wRDOeu23dVwEDt34EXuonC0jdP0FoamdzSAr+I0hW4j7ilWOnCNA+L15/t4/ziI lLNFtp6sdDdOo/FEAT0ziatB/Vnj3tDis2BaEYlsooD5M4gweYFPdRk7UTG7FFTWrCUGa6l+hagL sHMd6P5O4LsGUoeMJvgpqa8rOvZwrZ07DxZzhPrPbgxElAt8NNJcU7xUDLcTPjsJzFyiLocUGZRj /ZfiZkr+SkNufrj4vLrE6bKkLY7BRXVr0vIU6TjiaanMMhRJ5Fka5XlbVoJMJY8Sk/zVv+XUjUUp V0W7aH0KPOmr6B5XWrIIf1VqfIqU9qJB7oy2FAlfLCbYK85dgueCX59Shbe/vqfg8RjKM09WZzYD UGLOEqiW7DLVJBq04+4RVDa5KjVRs1OisyWCkkta0O2PNY+H4hdztMjDRFIAVvbOsSnFl/cEoetB nRRTHZdEhDfX9IpaKs8B16Kc80RKH6A5SkXoI3xBYj6b6HuN3j8Bqq9DHMZVA118iOvrBWIfOtRA 3d7LM3oY/TWN6AKByTfFZaO07GW+g5/wHlkb+T64Vcb+aOAqHX3YL0/kHhJVKN3/CYFjBw2+axjV gW2lMAcK+xan/wqBIl+9eCOZ6KySUKbGxP5iRCmKdwD/ooz9T34EjSh5QohDHaOgGfUf7pimENmT grN7QaRlusK4/wnZ8TXw34INJdUTNtvUBJOmk356PnKdwfA4f7rFaxvf8mewDfLz/ONSCb+ONf3i haUidttLMQSpmbaqgHgrmx/aiNkbdd8Z5nAWfQAfQWm/4mAAkQ75r3OdPyJjS8Nj75goRodV2rlR FLhImVnTdPgU6LZfZwAHz0wlxh3/xwiTvJU542Ae46NhMkGddjGGc6P/qg4CzDwVFFpdlHPnIlCB kaqbFsDO9ENVAN0fbz5IrNGu7FtyaCqE/FdZpXFYkV2je6nPaVs5X1+rrFwzDec8CnlXtUimYUta ULkdSe4KkldIC6BiqrNvpnbjO1XvyiRvOJmuDacRPhRdNHaD2IGpXjRb84Zc2K9/WFtrKa2Lg9jw SnBQXRakuPcGw77rP6/LD/5ivtpKBSq8MCSXzoH59JrtkWxPud3DAZMAORxt6JCTs8ar4ja5sE+m S1FxA6EhENTV0fOE6ZR7LipzyjvR4dTap6M//8a6TUsgw9SYGpLSQds2m0O+VzAlIDU3J4LGLVmx vDyCjrkfLvHsYFzZOOMsGfcT104Hftt2VVbry3LKdxOjfrNwMLCsoi8nJoDaGcCcstvCh0K2QmCg dbMSRwovpNfSpawYPax+riPdlxS75rqZyQljcQa5K8rREQaZAqOiqObpuyeFVqt3khZFdMwjSo5B WKYf5TXTQ7Y9uNk/Q79jQ+eH6Jm7HKT1Q9y3K/eHToHRWXKQLF0goddcst7IGnArOMzQavbU2E9F qNzQYn3pg02MvMwN4camAkqtNxGuqSx7XJ67V3F0ui081PbMjoDt2YCfsQFSC7o4/31MXpdzb2bU kwNo/nG+kL1/bGCFY4H1dkpEKiTNgGCXW0pY3dERcOu4X801VR5Nf4Py6eT4BGLrkn0xmBFadhuv ao/W1I9a6inCS6tLyICWZyAWk6J9CS2elMCa9RROLRsI7H1OqSdMJtQC256LSXjHZVZ4aOwHiBRC eQReKNVrAdcXNiAXhJ2Q+ar1wrrAt537/aGML451eqeJ1M8MkR+7axvOORuwWLkVzKt8eNv802TT HHyhsKS6cwZSCgI/BHt/0Sxastj5vsLpKfpPPxBSdRoQ8LpdM1Jv43drxTx9ICjMULYDsxni0iTk oupVHLeG4AEerzuY/femZAIfNwtE5GrgtXhhxDIWsQnQunIHDAYJzrNkhK+/s2mzav40vO0Nkzeu mUtss3emrbH+MF0rJixcKisGxR6U9JaC8L0RW8eSNd4jXgzpPGEOCjm5flCUxsKARP5GTxWl3RO4 zBmVpUJri0FLjbWKLjgzD001rSToHpdb+EFi3+mKbrZp6fM8jnwBbMcKNZQTyxX6z32OGjyS7yoy 5uR4KGPZ8EtjAhLlTd2O89JwSpgPg4bx21IG0qNcKKg3X1NDUJa23idp9u3ofBzmjo7SSDgGdMX4 qAV0EL+QubvZwH3zzilhLtl6IEhEynjPR3I2b+ZiM8dqx6NMwMbcm32TSyhs5CKkCzU3MaLXY1A1 AJqstryPxXmQGIxLsDSB0Hc3TfkONLOv/AKi4+r8/N29XiuzjOBeTNW7K4Tj2+bkA/91kPkXm5SI kf2HA1f+wCUjn9a9S+Crq6kM5rIvxk3ql/5e08iR8X3RlBicGDec+nu2cfPJHa+IHxs8gNEZnXkg WF0vc97jo6Dqmf9vpCyfwSEz7vTmW0EG91MZTK4T8IbZ558fpJumTptHkI/BswO0/u2j6OT+WMat fVXVoEBSTtGi8VRhZPIKrs7a1YxhD6w+iNvzLFw/77vkx/tAzO5aVHvwgbMkG6+h4jSODxnS3PG9 c0/F3oEkgV01brySJLNWIfuLtdyaMfNU6rZs+uYNiJo5EOJdi1Bknzd7KQv+Lm5YBT/TXbKc77wQ gqdiL6doMpBu2uGhy5Qs8huaQZGkuMW7cAMnu7QWEN3WYxy0364NRc+p44G4yKVgClHqD9Czcdko 56ofDSBqoBlPwYT9HpvcxqNzbxgPdRUiR4QNQw19T27FJqnYmbdbEav07TECCRaQfhdKviCc5VhI vMyk1k5Bka0yATgU/M0RiA9THHaGsx/O2BXgtbPqPm4nlV4hQoQPpM7WZyb6b35hUhCY5kYNc8DN t+c8Ysdfh6tkVrCqsPSsr/y51GuVSTfXAlPtivPf19SNbWxAh7wRzBhdcjGG+MoxZ/pZTINBroci R75cOW9q+ds0uVUdw+q2qn482gTn0D9YkmEAbAVUJFoRI/rTjiUw+7xU7uF1kzRRVpAYM18w/Uct y3hM+K+fMsuVMzEOhm45HtcKNaew2akcY5XCcVGfSdE/e2S4j21PP4M71uODgMpWgPeGpjLfvG1k KfbzjZDgZFDHFgLhoZx63zdeA5wdHP50EYCvTQgNnQgB9NnBNNmqv4ptI0EcUY7hYgYSAOWSjuWp zEiq2cDEi6v48HjAPZnN1wbZ4v2+2USQfBAjFec24KzdZQo6tLROxakV65BWoVVoA463H6JNcZWY 4KEF5tADng4BI23IusGVIfDKCH4xbL5cnEhk6ev2Ohyplfn9kWhIutUb4OU+nHGhfDGCF7pSQ4XV BB1UQooiYQ7zH3tiUyhWGqvc4YXPxG8EECShhL+PkcLhyoNAJwV4FdeawbO/581cqHa8Q/hR6dSG qRCxD3Lu/OB2Zzqxk88/n3n5rnGQcgKjbyo31IFJ8y+Fn9mt6mj1q+nNp6RSV3PJgx9k91UAqE5R 79NlfmXDuKEB0WA2WLl+KLS+SX65KRQbK/3xpUYv4u3JqTS4EJxTpLa/mqdfz6+kSx189sIBbSL8 R3tRAZSboqjCG7LBdDbMjIigkqPE+uK7Lld/NNnb0uEb0p7oe1qieaq3RsF1Nv7X2ii3gq6XscmD anaoaI+Lv7JZxTwrQBUBFzazvHSbgTNl+pNIon78PA3dXkAOjqvX/8f3+25BUmEQcs2ZcDeM0oY4 Nw+NzHxX4yoL9WxoEugPR7MawrTFuKQ/PRzTs8KF5hxxGt7rrnVBADDVJIwnQnvBp/AKQxVpCZQQ /4NTIr1pr9Mde3TMOQAX28EZyAsUDtkspwCrQAPmSlxw/VMkz42ynJetFy5jcEcv+Do18Iw2GUc5 /LMvm5SMhDm4OK6ckU/IQnisl9OqJxKQ9ES9KqLuPnE3CA6i1N/2EmJLbpY+UwiZXkUqY/OxHBFF GdvL8Hqpdb+JbfWlIZa6omFOPHbCKtxxmXm79VCs2qJRoKl4h2vMG/YJGC6psJMLRXmrpdqQEjZa WtWEcS6tDTSRGyG86CphFCLeX5SzqVHQ36GWCtOcCPZglQH8vo8Jx6Z2GBT7PWX7AMssveLFcjEx pAFlzW7KKae8wBrH0ZgD7CpTNWDucpq3C9egCpRRqU02hynSjczsas99/ENHwY/K6HyGuyDScyEd ZwxwnBVb6q1gN/orDkN+adjG4kOyx0//uq/0WC/U7Oo9YyJYK6/pGCOvuLM1mWBumVlOsRKX0Oss /N5lPWfcovgLvgiCTARbeE55r+IyhAap93DUwKc/wTSnfFst2D2J9TznRsLnpdbG0neC4wy7YTxj NsNm02DLTyq/AkNuRqgR5hqzx3XraSKWlDTfa2Z3Fx9N4YB7NLjiXtyKk8Ptp7RbYMc23D8m+rVm tJ4PbvA91mkw3tiqKCVdhAGrN6YuxGdYfXBY0kIYlAluLZHfiJIqyXGziuD3HhvRol+A0U5pPHdo g2E8BUZctoeQ0/f2P0p7A6VZUtPZzd8XplJOC/yo8cjmmt/JtKvkaRFcUHx+tKZLoCHvFS0swh7o VgsA79Mnzwyj5dj1x/e05e9y5g4RoVQhz5JfQHBCFR244PoBHWRh3CzKW28soV9u7pSAIPl52for 8d1TwlO066gi3gU4Euyxvtt3zTrTMuR82lIXZPy5HN+oZwhsXhvPqbs0WmaNr3oC+H226dBYGZzw BD1/mEVqnXK9yW3jRaT2B3v/0qJKPICiooh1oF+9z7l6vV1cogWToEKQoFESQoBbDlMtnMyYmScP Ss51ces+gtia64LlaDAuCXXu8TyiI19TGCmPE2nbjKrUa2evN4be31djNVVvfIsE8fU6c2cD9iLL a0AgH+fWODll7Jy7hQP4jI+DUa1AGBsBb+qcfo6eY+c+0yHo38B7PokldhlXyLcAjAMYdjP5UaSV BGNeZIBZw1trbraYZl7uPtiFg7OasMmo+ew/ZekXA489bZ/LV2y7xlXU10k1vICpGv1R+go15fAf PK9VNepTTUK1jpjKWbaQWumTbwBJXoxYvEVwQofCDefNP26dpmeSMKAh2bLD+8MY9v7HarI+jRB7 go4r5LukBH1n69lHWvZ1uHT8MWi14j5d4zzZuBFlpz7pbwrBVK5Uz82fM7eDsJYFB3a7FRvTbymB fAoOuNHnoiAZ60MWfyMf/rpIu2wLNrq39fQj+X3568cr4SRCumXGA5dcUfceRI0jNEbsZM21TL5c q0cMk6kFLcEl9HDtUrHPn0Va58Y5rqBE6rJavQDjoNJqJ6aA9S2xmnW0uDlBiXbFPDdtLSSGTHME Di1/Qd36Ut9GVZDUDZUJzqtC/n/TOLkLC5ghYQDQRFS3Ai3qcudB7ci/RkIRNj+jXFg+vfzKy8pp 6FWDw/FkFWYHwZ+5xHYge5S+jkritCKYs4s6bgvKq022veLKEHRCBK9zOFzjY/At9LFkvg2b37hb DWSOKzTqRAlPQR1KUCuYxBeNPqxKMTSgNtPhaANjZjPuvH8Gv+9g5HFamyAnseUt+JmS/+sBdPCj fB4YJKbi6enqlNnnuTEDrniSyA7UoEidoYEbSSSViKZdAB4JJrVT9GGxVPzfEBFUH+J4hCiTy2eg MaDQqqQURZAIQs56DvkrkAibs/goU5UCC7s6mBPs6mGSRbQAEfaLebG2G4DQgZepGSUa205scHJH SkZQvHYsrw4es8WOB2auUnseLCo25ASVuEqTlp6a4hX2DYSUru6kKz+BahT91RpbSiOQQ1J13LYc dwDzQ+pPfNi8oqubX9gU7O3QULf9O2rMnaAjfX/gtsS3O9KUy4zr0op06NIGgZ3ZSYdRZZMIvwku x1g05uGs2tRuH1T1mDOIJ/2NWZi69UixTyEkYz7nhrOPb19n2hocT3M5JNzqmcE16n38EiD8IFkg xaVCrDahxvm9nDsJ+qKrOwgbhjESQWWm+BxgRciycUHtXDGvteqJ8RxP/pb05vSwkigzqfzqGcef 0TuQ18Ztj6OGcXQeIBP+g9f6RlN6+5FxD8ZaNNjH77eSXIgZxQzDMyN2g4Fi4ccwlZXNdAKDgFKE ilJuyJj1/8NE9zNHS8DUFhvdK62oxfl3iGo1wVTgDJW9/Sd21hhth/1m1KwGoEuH6SdWsOwDtYhi lOXlYi24qd+QY7bl90+fd9KTqEHFySnk7nWg/KykD1ei5f9RcYLIMEEGmg8AMMoebuDOQKuEGhs1 74DkGOJx2DGlLe/wLXcqc2ysjeN6QhHUaygE7oySvvH71mp8FpCOqtTv5cfdgOr5GGwZO8iQCOKQ nQv/XHDbcC5VQOscdF8cne0B/JliJ0xptwe8c/EibCInLYZQ+zlz/neMrOD5gim40kgE8J59lNrF oAbQoCALoUJjyGgm5xKNAhy/xgGEQcF1wavaBF2e76bfIkrtX1r8YFw6MvLgeOp1CTpu6OmnBtQh yTKxCX45R+Ps8hqkBUil0KA5NnPLuUYE2Y56Ny+aQExRpQa1VSwe0WzDBJvYsSp2/Yzp3mE2BUjB bNcWsCLn+Pk2hCZbyOis02BNXfuzHbS0AcJstpv97bAGNszkNNqiWDuGK8ZA3pYBqTTBdcbVE9EY 5ZM4F7NxuU8vhlu/GGUyWnfOKqjMSTj04Llm9axWecVUMe9/5Hv2eZXMSAsyIADbzPkBpxiqgQIj DkEmCly0xZNjtccLseOJw3qxeM/JsH/kIOIBAksJkGZfsFTvc6ynpMLkBlb8lmok3llEisJ2rr3a xywNaQuTxuJNNp7KpkbUpFRNMdJMVqDNHgaGZ/z9EXBzWER4/U2/YitNapunsFqmD2vMVpPksMZp +wadcjHvV5U4JHPboV9K477ZGYMJWE2BHcLyaW3msIUgmg8QVbA3N/G+FW+viGWSOM6FPB4owyBO gyF+MINIj75c3ixqc2LXPp7rwE2M2thYbEcpV6+QkSmulQrijva8vj4xSMVA/5CeyKTwHCdV73g3 jWUwYfYfZEwIMutRk7PjOtZi0XAAMgVnmlds00YAjGUbfltnTSVCXqjh04eXfpsQrwRFV68r/f7F lVRkbvvpj4DI2KNnYGZ1wRWCJQUmktlSiYaK9Ar//Q6a+H68zgGvwIC6JENEBrbvZrcgMJXQVWul tELvynyFUw+3jn6EaYpVINogf40rUhoWd+DhEv0eJQC7N+TBjT1ZWwtj7N7nubV28YBqqjjutaEH 6vmei8c9Zx89SwFabN4iOKtiaou2bQkdOrjYE9v4gCEC6Us8/vcsxPXqNZodCxpuIkj3dyReFsEB 6Wm3bIzRkrQRs6OXRc7Nk3yTe3Fn4DKSugLNdzID2pHp0IOqNJcTXjYOkvusVyYkRUnH1jUQBIfQ E4TG0WYlZJs96jDgtZ8uaMdTm3q6nCW/ynkele3ustrBYeh3Ovu/rQY+6pzX55xEg1uaxBUzJRFA Xypr2nWLnPtnKzkdgGyVG4zfpokFXgbg9PPQHH7F9TXKOs8vMUxi5eK3vG+jWfy5WEn6AeiGAEWP XIdtHHXRAxXCR1Z2uX6BVaYkLcCczOpkYDquvYNGGRk/8X61F0bh9RxVeRkCxBqx4cVNSKjvP0tA FI7lo3Cv1LBsc36aH/K2VDpEIGApZGOK57qRv+K7LOqjCPYLdeJolH9d6SmMsJaWOaeMEH08pFKx vpryvjN/aguorS9pqmXhmvRdYUwyHVoV98GQnnawWft2ZVPdlUJOR14BeF9WCHPRXNjDxFFRoqWr pvjDVcgSprLwBVRhG+FdtazWKBISZgwvxbnQhnHuAXR5BI8feuhwyITU4H9LhZm5V549CHn1RDwj BYZY08CMlQamQfxP1wFxspdwatmqtjVWdS2YJiobmjg1sBvjmMWUhi64LHCkiWEC4+jAi+0bPks8 282U+D1jL35nggZ4/nYeDfae1T9wSIBROUD4YHyzdFhcdQzTkbzRNXdafDcumcGOzaHLewN9OTv2 545KMgNgS0A5FCeNelMdgNNdxPA/p2RE0H8iZg/xf+rGnOkUufChJKtcIf1hnbiaQJ/pLRd989fK YNkf98R1rj9QxFVbMEFsObZVd/J94mOUM50zszNlzxKaHR5sdmhdzTkdxe9x7OThSErTurMjDlNi FHHSamr7pCrs6L60Wp2Esf0BAC9Xv6Mg2GduwcE8DMFps9V2m9HwDxqdPfkkx5XkJx2b5m1p8zdK FW/HBzMjbnp1MLJ7n5EDvnsTMLcV1v8IE6l9ootESZR/AM5LQRl722Gk6ZlCKlaLb/Le91Bk2jy5 HqC2tqbp91+HoNGcTj8qGxcVJfPGpYYp7Lx6G/JGWCbzSb92e9gZN7XKpVqw7S1HH524I65x/pID ArNMNBRPOW0FCCgxVE38MslvjxKrdrODHw6ItxyYvND4KGphAKaa3Qazj8BKfQrkb1mO9s3pkeJR 3D2wjIPJgI4g+X6vMtr2I0Qx0rpqGmPDse0IlREAk5Q2NnkmhQaxTvoJk0XZI4SPu8xn/DHpveLa UKXj55PioZ9F4BgKdqcC6zgg+qoTBrAgNrBP8k7AT0qowwCtJJBoNDV9PTi0voplbdQNwIL3WHiZ vPA/i4SKG03vNThEA4T20w2qBDWxSxo86zLLhagzsdsHMjoqBMtP3SIZxA/y0BdPDfRP4egP5aGa jl2lF/P6UNZZscxSe3zG7mf5wNRpvtF46fdMoOcXvrgISFc9dn44Y1r3IqyMknrttOsKvDZUg+4m KOwkLV4i0jFMP/AfQ2KwQyzcrGM5h38yV1wuQvZJVaPfjycqVNusswCR6ddDu2aK/ig12iu9ixHc u1rBX8W+u4RKVn+33tfhg/fl2817ihuPNLW4lG3DnYm6/xmegVAF5/j7OGhzsQKI9iKvtTn3M9ys k4fFmXLSKg1t7c/U0XritJu7wOcnGt8OtzaeyQFmrLEJ7g5EdLNQvic0rjZ1Qb3GoIy3mbjmXOoJ /9uYq1qW323Dv+p/11OrjosXMPcIL1FjXfMai+ljCY+plPgYo69LKpscFIEtA8U0QtEV8/AmlJtR R2Z4DKuSCj/t+nC0sLlhWsJzQShzbjBes3nfXXTv8xYHkIKMndhs30dwP1oRrFVHUV5gp+9fqjXl VUmsZvGbmrJeAma34tOgCvyCwbcwFV/raHwbEyQ0JXv0lbDaaI2CmjttiLSTk6y7wcDBXHK1QY/n eC5nfFqoDLsW21HdDBqskVyFgMcRl/M16wI4vacljMw2o9jzw6msq1WCjuO2cBlJK0vpIXIZPIU6 +kzYm/kc+RNqSLT6vvSs8Z2J9RjLM116BWcTEoxr0FzAPed0frAXBsJuuAZZChspREuZKmoNSLCj 07Ks16H7+9frPspeB3dBMgctfdv6fN0XycM3sV2AOxV9B4otUh9/3n1jfuyy00caML+fMPbYZoZz 6VxhVttrbJSARaGbMIiRqOgATG7TueHY4NAjwZ0YqnTuhdv9F4xTvnrqBBOexvG3YuNv8q1VaF+9 8qb4SXjBvo3SCz7ZBXhKxOWFbUyyIwgshcUsC1KPjPYK20aTGZ3BEggzir+58cHUa9rYrMXaB2ml u4QZ4TI0vNp6sPgJ3Cx36DgddSPAemNk461WLtjm3jJMI3P+G/Px6nRY6nW5CKVTXTDSVL3ckJhG 4dcI1iydQPXL0vUiOMY7TLWKvKuY2EaQ3hKQsAQKD8XamNJw/LgftolFWw/peiePQLHR20QstImr hqqw5COaO67u2TWaEzdoJ3Hlpi0p3JThjM/5+9NFJfpNxFkThoqRUq1NMqq+sNwElwfjyA9sRapc fVFzPBphpQ4rJTBRw198Bl9Ff8wOG7mP2vSTwpxYrtYMsjotxWMjwMtWxkdfqoBNiSOFcEg2FOC+ eYrpmdXqRzhNqUVz/cDKVPsNFd1B1I8B6yqI09wnxVg3b5OEHMPCEH2TGFj1URMc9zE7eaXqHUZX EPmbQyIsQaSjxN+dEdRDUlWco6abA9k9wRm8mDsFpGVdWowmQ9ldSMQwSVSmMjzI80S0BUa58Vce isg2DJOSJtviNFwLk9uiCmaRtprddMmxIGFOlYGRe+G00fkOFvyjX+rpBwszAgTKhHgF1zYYtSYp otsQF3E5OvxGFG5ffSdw+rH2EZbDqT9uS3QJFCSHqbf13LlMvePiUel5eHPA92uKsuUjRiLHDG3A skiZOO0kbLFad2uvHdAnvrikIeyuMybULbu0FyX+r9GbHE2oIA7lI+tsTdpSsCbi5/eJPEe+7rZo rp99mjnxJJpOdy5NTooz4V5y/tZfSSxjt3h1NiACtrMkFUvLfDJHWK5GEHXmHNl0Cymx7CtYWA0Z nzYsdDZl/LW7ETkbFf8PH3kOB8UfqPoWWUKb57Ik42pTLbq1bZj6h9UyUzGLFe8Ks1bEPs+y1yFW 5Lsvfs7pJERKDdYusAh/fHIFJVtIE7Z5oL6NV2dJxkJU4gOZVs8qyYrUWVCy9yRcxwg71Ct0Hapc wO33cEsBozsmtugzvKbWQsMkfqYHlbEGsSnz5fnjk2jNaJDv0t/295LR0QS04bGl71EQ6cGB2K4R 2fLBRBLpPCvFaslb2hPvPg74UvC/0psQGl9ctBuvTP/I3xYBMQu+22OV1jWAjpTPsNyemYkHjCcD q7TMOmASMZ51S/TVZJBB7BaLYfH/UCQhHEWaUQo8bBFTBrao16+RhWxpcVyIU5kIwuKYUUHku7WS 3bTB2QtG46n1c/AXjEADgLOVbYgeVtVy9nRsAEgVC6e5Rhdla1xelJpYZEJiwgM5OOkrDO1U5abd sJuWjMCXfGvS+lLOcLeMpwKB+vH5uJI78JyqsS2EwnODkd8D5L9JVYEz2kVpoqzIIL4H9hJ6nuuo 2BBluBKe37/FvQJ5vFvGOo5JINv7h9YF3eyxYgppJ2yPW748ahGsXzIOx4GQDMPwTvSqv/NTO693 Gl4BvmOV/5NUWgUqSevSCFEAXBFgIRSUwtiGhKKCyJXVlxDjCj6Fn50PlW6uUyMIjpeRqY8zx6o7 3upEE/Fvw/Bbb8NBCUM9UrTygZuYJGVk5h3suMVSrmDJQFbkgjwq100g51VwNIF1PwdYgUS2Zzh2 k3xCW02ik5u+BBSCOn5IYqwebtDna7lDRYzEXNddT4f7HlnkQWgeDzOT2ZjwBt47p4KLVoeYtkT7 FJmmaVMMlmSp7vQc/tAN3PmTPov5eg7IQTZkf2hH+ZpIChG6C7XneSi6H4FUAWLbqZKs+hwkyVHP 1oLwk7cD0wk+Z7KvtmPFXILnJZyK2oAfbl86Z7PPnOfHkygutZoreOQl1S6psJNm7289dwh+xjgJ yT8jDs2J0YZmWuWuyriq2W/D+Wu8rGdkJOHaU9vUpoJDfpD1SuKEDHhwNZwn9IJ7aYhhkwGAygeL KQ3QvYXvvjns6kxbaTK/AxectGBcleTVJZ9+C6V0Q6RUgq3cWLEMMTFCR/RP7JXjvULF5FsVR1E3 PvBLufvwL5KBmA7FSerAPnWMyeaG06tgJ+xGxLB85pTuGy4rZI2vwrpcmCOvkJeqlyIPE0cHBdRC PM6sxrEoqjEgaVH8Kiyl7etL70c2rm+V9k5AlXLwZXQhu9sSpPQnLV8+j0wXAVqxOPV0qpjr5FBk LxEZHyWoY5Agq0u3yorBsT9mD8O7SxfMOStFWYhNXLAGehP85sW95POyI+Ett6a0Upj5Tlf82BI+ 6D/xQc1SySNK4ofho2VSMJj5LZ3vPZCHptQbW+8YHGifIV9BoFgAMNsv36UoXY9apQZmgengKSBV Qp05assM64xOGwuQ2bZm5llbhWzl+OYHj0T+5Dehm5S3RpJzkM+vXYFKuqU8w44KurXqC6gy7WU9 T6fXRZ98ogzfPTx2LNSOSw82coQ1Aw8aH/bAQOFeU6qJybZkJhja3v5UEPUX2lraTEZ98SqooC8Q Lt7mqWLu/jluUTIcX+kbK1puj+yZgYUp/K8OCJfzbE06aHxhuawtf2lyAWnk0Rh+qr0PanluwxRL XlWDj6Y7DLa7B2XV0uOYQiEjBoTcbCm+NK3A17IVX8kSH4wnXj09LlhB31iiVUM/N52CpcdV2GEZ fnXyKGlGqlew7qJQ94IZcMhLK8llToI8nuyPNf/Bpg5pfdOni11u3eWv0ndbI2anpqb6hrgjINYc m/POMghZSjBYm8swNKKS3a6f93b08cFGR0jEO3dPWjKbw9IfTUbiDV27BcYjbxKuMw0UJMo2G2bE XQsQhIn8hZtNQb3DVv6YHjFmgI561tWErsytfVOZCHDtpAQ6nsRPClZ4bogm+SLhEtZ9usU7+JhD 0qro7G8P7P10SCrnl+VJOCRS86Xmtc+W90w65W3OdRqwfCLTCr2WOORki1c0PaGrvQxu/G6C1k+b fpt8RUPHZfOpfE5a+ICdAIvgbE7CXZUdlpxcdWI0YzbDgTW9CPqjn80vTI1nOt4Sf/Cde/PnDTiX x0q/+wnajvcuuHiV7lEJVnOnpAL9p/CBdNxejgZgPO/yIgLNtRHAGFo78fy182un6gEkK/PybqMF ddyuD58qQa4DexxhhgZD8hg2zG2FxoZH9drW/kfqmLdzJXGctgBjlx5qTkaL1rlFh+HCRorjQft5 4hwj+bHtlKy8HQDmV8BeUHAhOW/gytfGR5PLiBzKPyJRcL8Ybf9iLC+T1v7IedF/4ktRXnpTQK9R oDST9UnPFnZLbJkZR18ImmwP7iOtKFoPel0lgvbJJCFt6asdeGhQucOcOum1E01Ve0TvuGoyn53A 2qi0aqfBv2BOhFNtU3ZEt00jNjX6PmG3KYeePUvB+WfTViUG6ZxcowENNy4yRYHJplRrIosBTGOy nevV8ESDpAomMlfElZMST02jt4yqWavOtsvAXOl+Xj5Fvsp1LW6Wp0OSjafVuU48ZMWJB0I5zqSR /LMzMTfbaC5gTKzqPQXpfJu3CYH2YBfTujHWnmRk4LA40F8lSVVG7DdXGJTX5f/i0aFdDdA3U2i/ sY7466CI13OSycLYD7HbxW7pXMBe3aHMaRA1yHFMnF1cZ1dm8jA0mEI2xBrjkjFzrYvkYGE3OHRb jnvbJH3n01KBJUWPdGtAXrn8VKmHJ+P1JtrTibGGqLGi09YiFrdjVoTbiwKqgZ8IOoc4kmaiUftu Zvj1sVHq1HO5QJ/Uzsbr9IEsAv02yAQRuygQkiSdu9izI33ByxL+WL/kZBc/3PrjRYU2Icg6n5N5 MY918QorBRUWYQGrxJFXQ76NKOG4jt5r+pbM461Tfznp0y7yaVxf689fDDKMMj/PwloHb+jxyKW8 qtCPpVOCvqHV7atMlSgUFdc7f9K5GwfHsQVe1bpytVIwTCERlFziy+58yK1hMrzJZ0JKkv03zosJ 9ENK6xhyh37t7n0mvlQ50hR0cgQaO9vfbcSNAW5IXJWWbj461R6sRaV1/wOS+0PjJlNn+8RKoCF0 NzOJtX38s1naSJMKvFZvJRpcItHd/HU5JQPhyDS0WV0R7f+TU//wRuJF6fFl5ZHq1AoZgv72p865 rfgFpKkVeVSx8rRHPCIUZxqqaS8AQduKb4iGACIG9rhckbA8AnuvtJSc/y9HKRMYSURjT2esbjE5 ZYMVTlz40HMFLAu3yO+XVpiE5itEtGuyFaFoZ0/hQBk13Vt7XECWUu1kFfQkKqqnn+z/grbDuJmd hH677ros7zSmUSfmLVPACPyGWg6WeQbk0QnSmByvQuyVjFIC5rChkEx1Fg03ZqXEGt7x1xRvhkmY uTzUVuwGeoWbTUbTDr+P2UUB9GCktOaAmjgkW9wA7tfRcyPCZLfELHtgfusUn9+b7WWreTQXXyyi JyCQ0uX1q1wzgY/lJyQ67lelUdyn+CSw0dRf0mNOCUvjFN/hK4cJX0bIUqXQeUjF1xv2thrvRock bBRxw63QUF6u5R1MFNGI9qbE6BFuHkGcxQ1Sbwce7SbwMY3JdjpDEXrLc48ZTNwuqkOq9cCql9nu YRz2ebvKKSTY16X+50coCYs1OqZOlbmXxy+pTtbCp9qJk5CseP0DYawsinQDsEh4OYcdPGlP7C4q QA5EYmUlpyVKr3ZYSOzX6qo0fIMbeLxhohdj64PMptAsyyW8B7go5WoDN5iwFGTVI53ABqgsyUA9 B2lAt00CnppXa7bwjGPbH+HrNQ/faUlzp+IBJxrYekfTQ0iSGrDOMgxORc6aYFMHPsXFR4gCNiTY 8wOQPGCJqnuMASJEyyjUGBF3LwsvLBFtkH7FM9U9BupgibccTV/Jikm3UCIqfw2C5ga6SLYak8Hy 6Gsx22djpf0hfMIpDXWjBE04ENmwvo9DZtxPL5XBORi3HwJ7SyElalE4SMZRpP8WSiKVd7xSVY4R iVTLY7Fw4CF62IYqf4mjcLY2ux7XPzrC2YjnkOi2W8ZTbq9KQbzOBBrNo7KqXY4QC0ttWO5YcykD f4sS63t+VojKcL6zSqnAt2rerA39CGC4SojfCUv4V7+yqHcfkcwnxAo6t4UiDex8TyW+FfDbs7+X BNQXEffvB8Rve5oX09AcBqs0gikM1gDwwtGLIWklCGTm1DEv+bmc6G/mgbm4xgiaeQjcSGmc/Zub tfzB23hcK4P2d1Qqn6t5FjidZa+uzPSVysZdTgyf4WG7PfkgeHLrGJeIpvncyQQdIi2RIyC93n5a NP1tIxNXeB5cetM6oWlAIwBdtsav3NDozeRL15EGak+InyNCSA5jwC2u+HoYyto01D0IhKBa4Xo1 0IG6mldV1Me7x+jLiUmDzoboyVyiWfeo6RpuDLez8+5sjlhejKUT/74hJyASyh9iqt25R0fCfb5c /oebjTzXTiQq2JXqwgh4+fWL+CwtKCSVZxiWkizOvysBkAFODFiRZVkT/BIZQtlLBK2m0ofgCV67 x6lkDhiOuTY49HpHrvFYz15XgDVOrlE8ZoXdeR1QcK1p+5XAWi4RVrY98S8z3m6LbAHXJ1G0wb+y hX/OBSWNwhrLkP7mCD27rxnnwUkBCBvd8KM/TWZ1MoM4EoL6ZrWvwtMcN7a7+PAZIWb4mau645Ex Tr9rsdlVspEp1cw17bovR9m6ZUKju+4ey3LlCFaeubKVwGkxM/Gtaik0Kqi2uePp8Aece4KBKFtc 46PTfeMZJpG5RoiJT25SY1jw6vYI9XPjmVvuqxGKkcA428kmvifMbvG5xNDJU2174TT3wsDw6xsE B1J3SCxyzQVT0vmyQk5UV26DjEJVYO8vH7RXUL8pAHSOY2JYlzdB258gcVHGTQniuv3xoVONRYvz s6L3miwF/x+7xIavkfyG29H/8QM/Nsin4f8PIJMP4qgmAVtYNiSJ3hq5ADxEWmG86fJIXL1b1gqp fPO3yl46v/OVFo42+BzQhilAEmaecsAXiWVFvviuFhyc+CgiUlcE/cK8eXZyyc/GKYmLYCrZGOia 9JNm6Kg4xNdB5s/cJmUku22Gwamnq5eIyIH/xpX2HsGRO3tOkwfAW6pUafOS/EUKwoc4dtvqzdvd 2vMDdO9T9X4iTClp4n99Yx3xb9TCgH1Keb8i+HqX17+JtJoIuq65zCGwB3Us6OAPdhMLjHsfJMZm UNY0LoPQ38jq/A8SrgoCfsMt0cuoqt2uVIdYvdbGDNj7Q9SBkTezuWnFSdZe3B07tZ+T+nKepAsq UCmSc1o1pMKxZxrrX7JY3imjQuYzuRBQdbJcQ+sLyd5Aqe5MeCsLu9BAWwZealLPGrfjeBCV85si BkgT1fuSvwYHHL95RFypzNJ30diiN2Np6a8PKaGsbYombZjvkhS/Vzrg6I4zPnUxAearU9fdbbJC TRv7uwLaEJW1hPB0VVtD/O2UFi3gp8KXzfDdCQSw2B6x2KRm2pyrky6l9JNt2JH0oPKwn3KvQ1cp tnddBgDsLnDHlagTAauaGD9qrLBH/eo0kOHkWPN2nyLaFFCqXIt8jwdrHibndcu6YU2A8cXxK22a vUOQmHa80e+R+PL8hEQzsUXygWGy3dcMBpvYyn9T241oZ3AK7Onk4RpDnbxoTOrOJ145xVN7O3pt UNVOfjr7uqtYPq9CFcdqrltsW5/9jpMkgJNIm/iKEiCP0Kja+iFYcXSnEjFGd2eYrSyX0UpylFBu x5wf0xu7ynSfBwddTicMqnk4TzNsfExClRTfjW/1Mosk4/ZaDxMBplcCZQB6xcTN0jzSBywjFKU/ KlOmQTWWMzuJ32bh8yloqA3xU0TSwwiX3FqLuub9jQD84BqNMpExIdnFQXzCmL+65xv3AMTGoQJb irReLDuPwh2GyWWximzzoBDxsl7vmWwVu7vMxdvuW5Q83axOYlV0Ls1mS0lig0uEjrf0rYplb6Qh d26BjlLkWt31wI3iPdUZKX5u6Mc9WFv3AcS0h3pjEBIgY2QuCt+iAi10bPZa4PddMGummHEYeCaP kVin7UqUBotowq13Cr36oQn32H2TbvzgWIyVxtTNc/Qh6Rl8EhQvtr5Cdj3r01WCInCFQS+LwIHK NaptlXQ1by6SJoOTC7us6wzEIZzih61SSLLzGfdELovCqz8Snah6gtR0jgjs4E9KqSOhzj1S4tPC /rZH85wsLERehBV6gAwcZFocVhicV3C3w3nDnhN0ZMt55lRxZfY5xjoLvawZzythKEu34BpEmFKe 0uPX4UYIC8FoyI/UKMK3wHINh6++Nc/k8ivvzlXxB5RBCSD5fzSJgvbynNmUW7wtUMPYtLpmtHP8 LO7owkPMBATRYqmyna7ScgONZEeG5APwWWr37gAbQ9t3j+Ta7MsiN+F9ugfpCOkUNsljSlfh/Wps oAZkAJaPSvZwvVNobnEB8fn3FXLY1hdxxZie/L3+AWK4IyxbmEhIwBpjaCmnqBXe63SHtvbxldIq 4gxMdiFQtbfrgv2/dLclzbWRUrG9WIcwwvzSiH/3BpO76c+zIv3iAW9l9UbMiHCUJIVIQvG7bitS NFn7ql7ZSPoqlGiDjn0LS+rfk4v/eFdk64z3NvWzlpuBMinuTUNCb8FQm4yJOM5nvwcU/nt3biHi Foq1OwGqk56ODqLTxESeWYaSqrHblnHTPeRdMfWR0NTobzBVnqmHc8q9GM2V+Bgi1YfTadHawQ1a nFNCmHB+O5+lDsJK1SJE9XWdxRE/75qqVmAf9YtJk8gm3vnWYaF6q9qoIJlDlWSTFUn6dbT2PjTv kpvYz5RevxM+Za4YXFp8KMZqew2rXzGdOcD72bKRu9P9HR2YRuFyrSb9XFOLEAQY84ib0FG7xh1M oyumDEPAZX0WlvRLmdTAagmiGARF3l/+O7xTFowK9VDr+dkcXpv+7MZMbgGUiOj589CXBB0WUuuU cFnyltxRg4srr7pbFJOqKrTPxlKijbu51zvrxsqfC7zreAGTgQtYB4jGhynLzGcx/mTDpNC8D6Ql a/m+XsC4uPntc65Du5ZYuAbbWkYuS2wK+R3SnKDqSZiDEJ1HTw1e2+anCztQA9lIa3bHSD+zWOdd KudPPievV3c+sCqju9D5n+KDBpeqI5juExshjPis6g+gLn8o/rod5MBcFxph4/r4KsoI2RxyDPkp b2O8SQo6g8SvDJMv5jwB427vnJNHljCF4pV7TwN2NECP5BPMp7zL4xf+QlIQerxNIvLavyAvU7eM g+mIpqUJCShXDCgc5OEdosXdd3xr5Jvu+MGLxiKkAjlXL0bUgorSNvnRfFb6n284/aPjWouHdlVv wQv+haICgnLXYUd8y+sd89p73WSU8QcGzyLs8eGHcGbLqP7A/QJI1NlzvfgWTSm/WWSBhzx3K/3K /n0EVT29TVZ0Ynpr+FT/dSmCRYJ9xK2TOiMC4IQNXrRfNOQ3aav+DO3rjPj+nBxGM/z+88ikMfZP TRkJMMGi09ky+NqquxW3RKoUE0XbQFhITmLmH5yaMZd0v0bDm19/9sQkg0Qav16Vf/sJiTpMzUgE UTNJck05SHIyAyVwM+fbzmxsMReLltHkrWRW8yMemu68aFuJhgsOJrv7xYNtDAcPw8u1JZZqFtQo 37J/omgzjV+KxBpAGMUDK2gTNc9S6ju9YoRWd7yp9SOyTkBsVfhH9/NNLnS7H+9p/f01/yUBh9fv l/5ADuRDfiRJCmM++KtT+qNRzsMLBjkyh+qBETXElgsM5GwbiT0q+GX7XxvviCI9WcPsi2tFswG0 g0iUbEB/FNvY52x/X29RJIRkEh2MhTqJnYDF5SYnbX+9n3QzQhBTKqyNGnDYseh1QTbQru+21p4I P74DbaU5jCM8pObykLWqQFk1+TNyV2o2Zqb23wJ9QY6CeHSnuUZ9po6f+PDdl0EeV7LyXJJFUxv/ 8tNrN8aPebZfJKVwBFWAX0pUjl2Xvv4zo1gEaFv5o3tq1bpKWfPXElEqMM5dvHWOVtbjVYPB5bqY YMDuOb0PSpoTd492SkCwzT3XPBBr34ZaR/4vO9QleStyf/4yAVhEREQf9UzILj0jXesZyU3wYHX+ BWMACMPea2z2S+Wc+feQ5kv9ualyr9YuYlPkSMcYvGKpojuL5D4vn4SqFYAmoMAbOKoR5PePHGPx XY3GRNf4rTdxy941qVXaaDDfSL4hj7YZiyaPaxKQr8vpeRc8qw4W0JY3WGt5bnIcFgsICm93RDin NH9lqSe8ZWHoeA/V9mvFTtM3VENYkwpn3vGg2lAwUJCwDmmrTu5PzN4qAXbQujh3P02nL5WB3jON 8ynQ9C1vNb0iG01tnKbqKyyoaSFSIj/71sJTqrejy73k+iugzjWYBs+S+sXJATd2EUiuCir0FhDX K7TnVYE0N2P0EeUCSmG9H7zWe90E6pu8O+Efp2myv5xLE2KN8n2OM5PqEKuXn37BcFbapnfcfT76 gijpGByJc+gZlgDxz6BO4N4IeOXaH7SLPw9a+kDvJlKsEeCuci/z00Vu7aDYAbCab9biEquERNdV /fLqz05PLs76jyBrunYWGEvjYmdaQxrFuB23VFSP/ic/FOWptvmTaruvOiDqzPC3vcIh/dZy1ln+ h8YFEC2dCVdnEYIjcmZDvMgtwYW7cJJpqlHVLgA28R0Wtu+NyIXHV1xXfGK2TMy+7gIEmGQbzH4e UvoRkWgfzzECwRsVCDEPRcm/Tz5HBglw2IZcyq8NOI3YHh84Rg1hLI8xp0Kx7zHAOm65KxTbToUL F8RMTyGWx8kxaYpnC3KAzMEzGebRKBNmSBpXwTvVktX9fDs69J+YMuOEc4Y4IiQe6KiI5CB4GWDy YmMRDMUc5eTBbvZ6x0oToVH4IMjcMduNs7UsSwItGawY9FmNo+W0f/Kup0ijMe8OwwLdIx3DN/dr Y0CCaoenks0c+OuzwLnGt6ZCMr9978HtONSHjmWUjye5LRfsOZ/oU/MduH5K0263s978jD3KvZbr vV7pzeN7jkrKY8isT+2rt0bOASguPyymL30Rwqh1UWIgyf4hbwAYqxc8tF9Xzyw8nIhnNnulwS89 8HqVi65AFpEB6nx/HeWSEFpXQIkEqxtNbamBP+XnUwjUHR9fdVX6PigNe4Slq/kA7xTR+L0kaz6o 15xdyk7lt1fWQar7hbgU1QaoGe7EVgUrTzZciPcfXOjPtCp7N2xqJsnOMu57ea/7OLIzMn3bCeqM 5EReJxfRY2I7BwWKbLEWT2knl+LLlopzhGO2HYhrMA8eJ+qwitlLTbllVzAcQxqkHPusgQbZIra1 Bu2Xv5KHOwnO8Rt2U22yGNCSz3gtLHXqnEKEGq1RD8KQ5EaIhCt9XMwLU3WNI+AWcJ77zTdGfaI7 j0NMFDOJni6zDVd2QyXJGOtiPY+r2EOyB/vcE0MWAMNkTvZQSqD7XPaazB7Zm0DUcN/7/GjZB0hT IQyBlx/Zjt21ys0sPbsIyQ586ghyci+FdX5W49cvWM4mbyJoubnnkhFEj+rUEoS37GbtiXOjJDcX rQP5H8zw/R7rog4butuCimr8zgdITs+AgC6eD/KjK8pAwk6K25VsLtf2L3J2+CpHRh3MEeo43jso OVdWBc1lKcmo2v6U6xJX+seWAxEFjs91oW1soY7OEn9G7FbxAP/3v7XxupfrpbW6UfD+J1sioiLa 9/mWXnY78LNLgmBDt7Mss3rWHmL73jiejw6Rop7il5T2D/+/6wtldFFAxq5WAAt86N9ZY5daCiTH FmP414dWD56s0nWSuPgxuCrVL9uVtHuV7+guTvLUQ4x2rXtG2AbmRjd2JZMcTeqIVqDDrsSHLBfO q8jt5YVG66BrWkrxYY4UJQoOQPHFU2MAVxLSRzirdMGQDONHeJ2TcDVCzH3i76RwhGMBURDT4SAt moOWGzzH4AFKy85Wv/NIX4iiIaxdxFp6B/q5SppNBUUSXPZT6UOjqgkQ5f+SBZ4EdFlSsLPEiu9t YtMV0PWnJoDMdQKBtBtOHX+lBwt39leKjhl6ZFSDsMP8YmK5eJQixpTpIQu66lEVwfuskAeyrefp uvlCFkJFYMmIYyHVUzrcSyAQ/Be6ianHR+mva+lSp/SxQidF8O5F2cfybRi2oCjPXeNgndoEQ7Jh 8AfrwlaadCu3SkArZ/wnnLBCeondicOJXqPN0j7g9836GotF8H4OkyiCi6VxCeUJXk3+uCU3Yepg dgNOlgf6x02Xs4j65BuWSBJ5cxS0HmGa3iw5I0zSlS4DGvs0tqB3wmXhi76CAxbd7ZlwdlckkbYW aNIJWeMSGEuf/7xkR2TAohIIr3kwcHEKxi5fCDuz3uZK3b+rRsd0ubIdOdIg1Z3TqdS5q7J1oAsj FHXWnl95ObSEeuhu/DF8qxHySoDso1G8POoXAq5cV1u88owzSr4lRpzbOI436wcH9NvBLiYn1/c9 j8P9gOLo8HaiBjsljyG6cD5emSot/WYlQWs2LKWAOPDP6dz/KISb5UukME0vNJ9lX0q+dRJYzOYj S844RxTWGO+TpBhFP8wk4OxW0jQUFNKkhSg5JKXxTa9Fm9bEUoC+odlGIgkvgxzRdaiYxNJALsW6 weACW4SF5OYvFEI4Q9i9gpBTQZLfrQX2SgW0LUJ2818kjzmPHSyEjqYCz9FE+51QE2xnI/xlt50c OjT7TUlb8YcT8xh4eHC5aGOISD2ghTwgN+2gEDqKJBmnpZW1AzDtxu05yf2vctReICTmLfXVcX+F G4xL/piGGTyXcxWoUvY5Ph7juUKSE8sBlJ3c7jYQeXd7WOcTphesYt4TUNVGKBoNb8BVbIqvAe58 MKqN36FwQRjHHNu2+v2vrg28peoO1OCLLsChFra1BhtkTuZZxcuyVlzJQlndNqkgBb2IyEt6xEXx AHSXZncD1xkSe5zZ+HnF1ZfzFsECLZomoSAkvCS26mgc8abZ3PsgVIofJdd9HTO4RhM2O0vehBfK lHw1tbxf7VqAQ2IIlUr0Cpj5g/mOJC+6IZUDzmozBuO0Udp1HLqKU3KbAKs83kxGme6a2+/2M0NK ovYqw3Og9r4xiA3xsDDgZYtcjBRtYURS0Uix0k+WC3nVs1yJzWhyt0CMxqx+TrPfpcl3bt14XQUA Hxe1idxxourtcsCHo9XFFxF+85kx4noscRSMtchBz5+f7jyFTtsfeKDRWaQ85orVsZQNIN43yX4C +zk2/KWkwOtHRLPkjV0RqlhMc/T+nEsz3CfY2RK85iMQS59Ownz6JOaZebgBPUPEHtUAz+pXAPil eiBPb1bmdjLBuuiqnVumoQ0Sr2PZCgS9MzCn6IWDTHOkIus0NQLOeaXgnhaCO1TB5D4doYN8bKVK vLefALmE2UE4m4DGWrcUicIJBnRkV7VQIzoMRFGeynLtYsZIaw1Ddyt4MFqAfO6wj+7RasY2oz1E Vo5CGYdy559rD0wUglTS5Kr14yG2CNHDMDymwu8EpIWVqoTqjj6wYTHNIWjos6t10RY6uRCFuOpH m7JwT+6lQr+CubUEaD+eGFpaR2DdN5HhUwoox/f1igrmZbLL8U0OdUAAMUjkbTtExEOcHRskSvtM FcNZq/aPTIZ1l6CkasrZz+/hxSV1fNvGXz1ysyy3GolV4a9LzmyLQ9bd51zsyNmTw/u0bWofZU6D ZTteOWGXW3W5rmIytkPqON2QWEnzIZohJjBBjE08hHZdXb4klkbJ32siCobox2m5tTS5ERqlz/NK J2Dhs58TRiiJMpwfS9r0mwhkaiQTDhV6D8U7UBexwn5GOqWZUiVCPZWuApacttavHBu14+iwlaAg Pd6QYCD4xQECkIcVWiXZr163pwxLNQBCZCR8Ikztk2FI0BQD1GXqh4lO+yZqxeFSbZ6B9XmBQlwT uShUFoPJxwFF/J5VHydsMA+x7K1bbfpCkoh0XMyM9BYwJOM2ch7r5xZ2Qse9Lzuaob1h9fc8vjun kMV6GYLRDOw93gQTNgqbBxWSkYd4FKQNdXFtnVF3SOYeoxkFDGf53K/71xcyB2dMEGgY5l7g5oYl C2ZwlsG3IDixGH5t5eN7dmoRWGfSJgEwmK70Mm1DPgyW1/V63axmoAC6gwk1Z5GZh6GChTb8QIjl /oEhfeqYwDBA9kjSecLlGmWDS/+Y/KoyNvIyiUQAxIayEBWwvl5HwxD9zHMQVq1VHz2aDQR6yCBq 4lo0g+6S/J9/NlMjxmrgNnrgKmG2x4mx/2raMtUjKAZgf3zJXLJZVbSa9MTfZoxT1EJkPUraeIY8 tKvNr4ZBgHleKifLCETWadNdafUgekC8ajS2iRSnnfWf4KornK6s5oeIM0MkWUiIS1nw426kqEeP jiM1kwGvUpPfx7pUlb7AIN+bzdFw8yqv92rfW6UIOL7LMB2SkrLZTFIbb6n+20cLzPZ+L92QHK0f uynFboTdNpBys1ka8LZj+8YqVJlTOl4y58XJeYLWOdl018mENMSea+QyRyHn3fhAsj+d/jsgtHJ+ nSN23I9/Pkbxu0B4GlCyptzeOWPttA0j6TlugmGbpPhTOB5mkOhP8QG3gUBqLG2sQkeOnE8tQyxF PjDnDlLgm3gg8GLD0dInGlqszB21pNAE+QG2gHxdb3qOIBaXfGgfz86fSUzACcmWClC9jRDx/xLy yNmcFl6T5IjsAFItZhOpmTJJa9Zkr7h+Pp6z4MYUT5qBpSm3HtXJsCkRcz5OsiYXBs1GN+Z5XB5K wvB8nvAwKPbzJEXPpaFNfPNMsYGWJHu4iKyYsm/JXq4fkOqsvldNHcFI+wP0pTyqdmIdbvuz1X/G 0ZA44mCNYaP+E8gF2WLTNZ567yoWABXdba5yBwK3oT8PqHXQ63aV5gZhVZl/RpXMh/MNlPiEwD+P eNcZD/B2GmBIw58foUR+/jiucBqwoeFjF6J5r2AU5Iqdz4aQzlkpQZGDsh8mjFf9FumOQIuZkMES PJ+0+KO9Saav44FP6Zf4KpJ8cYiFq4ZhMZFn9K9Mx/yIgaCeFJ0Ynh8GeUamxEMvgGg5bcB2KT3U BIEk7EHY2o8RqtH5mUbBQBYfFBw+G8uHyWYsrsfwbJQyuc3d1/hF1fq25Ljq8a5MAz6NeKolpXQ9 lZkgJSxhVIK5H7jsIkYDWuxbtBFudob+tkuHew42TpktfKFMCweNNsqnTyIICaPMKT848sjN+Hxj WT23wJnqxloLaFnDyuamffP9N0eS8uVvpQdqVv47jGt9wsmUOk/xTXTARDaDQlkVznUlMJgVtP5m /lKdH5NGOjo6MiXQj8nYVpOrli98azhUrydsgsP2nmnY27rDvUS35alNCCqcDl4F/8Sv8tizax+u a/GMuJwN8afCkZz9oPIXxF7ywOPvXbZCXGs/9zqKLlh8bQUslbb6uWgTgRTand+LLFlGmuiUVVzb Ft+jzSDaV+ChMBe6wVA8HyeEmV0eDzOluQACIe3mVXVZG0sAPd9x4qEhgvsOEGNYjsg/HaB/qib/ QNzLj8lg0n06KsYke3tzWB8suwdzQwrCsCbaPdvPvb+KuHrq0a74xqojueGVXFPOaVMDW8a0+Aq4 eFob9R+7QtAW8cQQ8GjCEPRIxkunq3DbEOOIgv6VND1gRiF7bJ4g3rRxBeVl9cRLv6JQXpyHJqkC 4vJhQYOTpvaCpSMsrY5s1GabDd16mvReSOSi4l7MtrApN1Tmj0dULAJ4FrqZaC+tCgIBPAFbFmYS /3ZXNr4CUPX5Scu4K0IxuSM3Jm2exHU/zpl0Ce2bPF1VTuj+dXdwiYy1n42Xto4LfP6oSe3OrqAw AzGauwE5K6Z6jbcTtiF79Rf24pXfvfkEBgRPTtKqOxMQ4KZHsLw0KY3Ca0ufqiEFOJqdxRCBrA1Q E6Spy9gcCNZKnd8edIwcoz+qwERm1IX7BuA+ZkXtZBN9PQuodOydkFepPmSU8M1Kw3ReS1uxEDvL /177o+tBbXs106aghHt8bDvTOaHd4UzTQm/WX1AcMh8HmU+m65kiVc6qC4wCTWNP9xKWAgmnjVKd tvTV2ZZaBVQCDBSJuwZKEZjcrQOQYD7tltKeXQbkraJaYFPk6859Abrs+GNz+6m6SWknjtJ4j2I9 qQPyiihdnSl7LCocZ0UMlysvFFrea58z7fn0JWaIoiGVt4ZQCGLIn6lqfn86NpVCZIh3ho1trEIl Fcl7DLvOma3tQ5SWJFYGvMeeYYiu10Y8VNJfe3uBPn8AWjxx2iG192mgxjgHLtQ4hm2JmlBTNWdU Yekb0I7TSd2YpvgxvVfNEx1CZ052LOWgs2NwnUmYTBp5zcPDluD/7o1annVWARTyMFHPlaBcPNj9 oivShFZ3KNjm4KYUZoUBZcqWT5sdM2Oumw23FRWCrMwN2kVAi8rdw3Vf2jrPAMdKEytlfcBaF1fM AqvKjdbHGt2pHvfozzc7NOKSyCuVJ565gYTbsWP4rwnj8EXFbhJ3fQTVMBZUzc18eJ0YKjauELE+ oD1ldExEH5eDyYEeY+rFTzVxj3ugIJeWWEmqdhtSeM7EqHrcHHrMpGN8hlAvkTFrhzzh9zKrNqaF RerKKgqV4DhGaqBphV4/eG+3WMjXw9yN6dHKKVjRmQ9eeTe0lZ5lmNSFEcUwACpPfyEtBdXYiPnU lWXAXzyrigCxYoyf6YJ+fyj/sPNcRO9Cxj1QiyFZfgHgkWqf3rEWbXzf/HYrU1SxtuNb20fack7A 5lktMsWX1is7QEJfZasr9GJ0hwBi0fHbM+XxzB8kBR9oaSPPmmDr6O6SVt2NwPboXlKK8ZXd4pUV c9bK/DSHwzVFPUPjv7TDdhoyro6ljdI57xpX6RcB2G6BV7Xn21pHzk7TEYsLzN536LgpyaXeD/4+ CG8/u/0NiXO/y7hTs7iGCaavLsq0d8oKquxPHgacOoHt0a5rLHQdbpKQzi+6t6cE9tf0VyXymUlO EMEo0MIf4m8wI1/RRufhSgev5I4kJmRzkGoNneAaAk2dfyw8S/so22QXinonXt4eqzrND6lt5aha 33SEO80w/y5P3+2cMrmPakK712baYBWlraPbIzaLNjiana/6Lm4PjvjmoWiZ/cF70oQ573weUMqB 7WmcN0Mc9X4OTa8GE2vQtK9kSEl2m66nbvQu5SW0izGQj/eLngFrnhGxWD82v4nlPFCfdUE4FuUD mXF/ss48G72xjrobqJwh+CkS4ZcL9Y9JnLv1B794x0R4MkYrz2MWeMSqok5Kje3AV+6XHZa6D6Uf 66wiVL4pOAiLE7xhIIBj3I05SBD8SAu3+16bjlxIn6+8xXi1hmHRugMuQJi38Zg0Qn+sbeNSMEOB nEQClmFDs93dIWdLZxbviG8nxS4zuq3+BcyOgyYtsfNoFz4u5lDz3ZeovI5+qbIBK3mBFdovqwPN mU7nAVUeykrwFRZAt4qwawLFK7SZKeyNlTDC8lyO6cCk3ZQiBvdkdRISW9i1xRFUg+q6yFjmIeHD 1jGTm+SSmkgfn8d69Zqm1XTa4DThN3AIR5EYSfYBXvnA/5nm/W0iwnFhVKMG4sLjKHkktKEB3rXD 5ort/wsvO4Jc0X99zmclB2pP0Gzjf+PP0qBhn9VDpSYuwlqWi22svChoIOnRTp3AZ4Oeouwd9IXt xc54gYKmgvBH1WXcY1Zz1gS00v0W1KrB58/c10k7NxWfuELiMN2XB3OdJH//hsFyEG8XF8sr34mG aLKDLXmx3TGzwgczuAsVX52Xax21qZYe6tAF4mV169ZXOQkLQqrxwiGo4KtBf8kYvQ3xGWNIGqC/ ROFqdmGImeUaOhXQTErnAi5GiX5Gf3Uah5PKBu/1LM8mTeczQw99HqP+IqQuSHR7w51KjIHAiwsp 29TEjAISMqq5Q4crD/Sd0bXWYC4UxudZblvmNUkiZX1XkuTp3Z8FjiVvijIp+wzlXqO3wk7HGNHj 1L7LNudiiMWjQuBLe37T6+eoUZ9DsdGEyCNSYIewQk3NFV8GEf6MvcmekL/YBf5oABbMvjK5mLI3 u6kQ5M9R/T3vHIA/8g57cTxrPjxrUxsRs579/WLC+oHh3aBlGmENmB7gvo/WBnM+ILO1qauS4bsL jE9uw73vVsI8SwubqK/OjvGTgAwdTr1jLl6pIySkA+hEd+HwN92aWmi57FyF3TU8m3/wXd8RjkyG //HO/aBtEh65UkO1v0CyWuJbHUXBwbtStiyjSgH8McDP4STGkNBBbvEKOc5q0p7t+XH7hfW07gvL p8S/kxoffosbcksquR5+HRfDF5YFgiIbXTt4Y1vBK+fyC2VHyCBPmZusVNrXvH6V8vHl+XjDCcmU mSMHHP6yhhGOqWI7k12LLtueIt/NrMeIz8KnAlwh4QGUz+UJhRWoIza+kjugJaGS1pyJlm1E1IpS E17o1K7+7FBTEq3m+yDsfNLHoK/1dtoixjJex/ep2mte42zcxnsqQ3xBfjzcEwNKSlbUFryrlYs+ gnpZHJa3hCzcCdrq/0yeZALI60yckTIgeoXGcyntKz5B7bqac5duNP5Y8UgBEeoYKTNCmEKCiLbs hBnegpK36IfBiUVNnAMDRLcE6N/9Egk66bzq0cWo6EHbT40fihH1w3IVHDnfMCEYzoSEZ/6xEAjx Zu+ZKqor18xjNXtFIlGYmK8vscSXDxr/b2ceGTO0/yRJhdIqPtFe0P9mch4XqfJPbRIhQZ+Gquud WUsLs66b3/yg3CvG7Ld2gf6cNBpDo6A/PEanPF53om9nY5vWSUnH94n/8ovhPefGvPJ/JCLzXaKj GDOQb5lz/O8jMg4vyMR5n03MMRgouZUFKjzhUuVTuAdQsPo/ax9uNj1671x3EFAFbArpZxjkH9/y dixPcapLRjs74hXjcd8LLZDBVx1KVVeWbQ+8Qe34cH/jyZAlXcwJvdMKVLAY+aBXC/N8AyJxlPEU BcJPx7QS5hQyX5LJcM8BjZmNO/bpHIkjpyO99FLwd8I2pc4ACV85l1ep7Yc1Vho+6H2skYpOUpR0 O+94ohJg3Y13/1kCDmO870X7YLSKhbtb4dtC/hqHW82aZ7T7ONj6IdC9L+2wg8C/iJtFbKOyOJDM fyre7YHKVbIrI3S/2VEkcLIu7DMfjnlv1sa/ojAcBdvYI7KxF6LzqmjlO/x8W0k3hGAHGtMEJCJC dx1CGIAR+1OimZnp6TkAiN3aQORwiOy9fO5W3wLAWp8r/FkfECVvbS/m6mvxsC02XcfUPpceHvXm +zBGS8PNx5oCMGpnTCq1eZPmwTLMzowWiN9sZdPEfT6zLfkHfbkYO0W7SmH3Lwshz4RefD+FC74T BwqirIJszewcG9QgXiCbqTdgi/qw6QeGjqDEjhJtBlNUyzSrcVVdkWBUhEBSFG/vQoO+pz4QZ7Or yV+umElnFr1LJ4FTXdwi+GnU+8lHvs/0bqNlx5EQWj3n/mftGI38L3Ya2wJy/2/iF/h4+oSsVdA3 qKVhPwinRDCaMBBTFPrsfUNM3oI9XbBydjugwmMiCRS30BqAAsEwkPKuYxyWIwOqxIo5Ta6RkluQ XofkMRN/sq4b3cylFsTKytoBRKj/2vqjXvYifKKY0kvBmFY9Us7Ema9n8xTRGzvPq9gXl/cklh/j AODMMDvJS/GlIRQX/0dyTFzOsVa99SJ1oN3FZgIWm8WaJuD0780cODYk+vxWCC75VDs2TI31AW1N DyVi4JgVmYVuFY8j79XCkYZmxg8WTOcpjfjCnDHnB5Y9LfUVMyedr3kNcTcZuXMgyXvvm/bL63yQ v4ZAFc2klfU9phwnBvvn2fsXy4+Jk/RnNus9zCjVuKh44JIk/ADswK48dAqcUPlXJDXcavKwqxB1 zR2+lTCVhKoos+TQICONq2qcJj70SbjJGCZx/ekj/yMJ8VnoBfOeUFdEG1ofQsrfNDgKjMyH/zqj /I5h1mruwVcwOmGQG9/NI2S5t+8OiCO3/bC3N9Xiz7l8LCaspjonQA7yNlAiHAaygGAkXCDrH441 QV2Krt4pwDmvDqhbuHUuTD3h0s3KOuf+zH3us2L1lzXNzdtyqsE1a1v7aVLKLTrgB5y7NqVzWKCY A4Q1wTtooefOqdWoJKcgnj9caHDTiEbKs4SPuJVWDVTouoeZzvU4wquGznpXVHySBD39GyfkQEbz 5hB4DGWdveUuoIfmtZC7UPzKoSTw3mPwBRAvTaCV5S6xIB/P89a6AKLgd4TRnBHgzeTveoSD31wQ tXpdlN5pCi/uoX/tztfs2LcvfDI8qTSP1YQ/ChXvWn9h4jpnIoFgE5SdS4Q9TMyIkYA4hAI4Edd3 DYHugnUYeVFQUmCGZ7HslSI5AllY9Gy6OerRwi0m8bznlFSh2hxbOZf5Dh/nS5mzcf9eQ3aVhX92 Dbqm01U93lCMmBqgqjbEVLz5FWDqMXY1hO66bLEKG1/ynJU+SBkDPbtlzDAQ29gh8eEVHQ55P1Xe TH2vfKn2p1U8Jc8STI8qp3q+p6sG/wc9hAKuqs7Hx678HrxpFynWoLE/OiRkfY3HxzaBpkAESsy/ OhLIuhFsAhWiKkGvyhfaBhSQHqQUT4SRS2+30cNg+IejPBovccZc8tMe1CxWrC9OfzSMNLD5NFNK BBL2XofY11HxI+AtjegdlfbXbhcpziyKN6kc+2eKhwm58T6r0BkClL2gruTlOo1OYhi8JIjEHSe8 8ON82Qdeeews94xAndGmMgCjAA3yFBb8owkiXr8zU15BuiCWsmrEaMjKQsi2rmW9IZu+Vq0+Jw+/ EoItv+85Pwrb+Se+xt8w7BBdlSIQeStYvkIiwj0RI9zV+8p6VFkASqN671bJyKHMQL6t9sR/bsnE y1a/fmVK05Pc1xdZ5qmQKAZhgos8d9avYygcDD5J0TWs0W+GS9HJfZYqf5uYyujZgom7xQJEp1cA 2JQKpQeUP8Aub04BRbKZ+0iHsAlT1E48q9o1DiWZ8gZbw++zwtxD0Xd8VmIw0+Z0u0TbJJnZVu17 WqDs7cTvL/g2Sw1n/LVCw0GCKV8s4n3isG3gsY4mP1hyhRGCcLqAwOUpmTVMi7/vbiZC8m/kJKnO l808zPtym8dUQfsPDpavaYssmqJ/qP6MbcS/getWjGenxrlp4Cj8qPavpBAx++fa8PfHQQf1Uay3 DNBuM1GAT+tEfW0QAFCv1/D4MOWnf9kNtCv2IS4EtHfnGK2YxVpcSVCPJAE2F9VPfqC5kFSO0eja k3051sLc15nnCJG3w9OvJhVZn3dNTk2kiS6iR0v/L7q+3khDyd2IngDxPTgCkrKRH8z4g6aVWKQJ NiggcOQ3IeTDz1kw99I1rJ22apA4gLcqr1itFLp+prhXANNR2Y84GdVjSia1unwPDBfZUWLv7XuU 9CzRjoHueiyC7fjtM9d5MEKygcwH7MoEgvZ5F2g72H2uRkSgcIJEyhtaTB3chI6OWTZ3uPQ9RUen uLVR/IhX+jt34t6AkbNtVfBovgcDeQSXMDmJ3AeTa3F+FTEqosjMpX41TvlpHlANhAxfoAvVGiFS 4svmTJIWmSTvCDMdZmWeRsDMqfgYSB7jjGuIbEizoFWdbBCYOpeN0zjJf12bx6MunZzvpUV3dtdt Z839gHRZ4uVjwsOJDVR2Nnse3msjSSeJGsTEdVCwWTgDsVXJrBrW4vq16cmmH3XbOcIaY2TsntQ6 ncMSaf5IT2RsKOP3mY2aKTvHCPxlfszKgs2O8v3MmnBiM/cMXWz/vkovfsJHsZ0g87FhpmSeJELK Cew4jqKb5I4TnkmcL6fhgr7Fu23kV5SKJpxMJXz9Sf8xhfkKuAhoPZMHcbeUb99kMuwr2X6QjmBJ J0rkbz2a60Xooe6UR2uzMjr/uDn7LAiubm1bDqdnll9KxMVtYY9MIePP2gwfh9v6YMDtzFaDxQY7 F2re9oRZ1IlSudTBoPUqq/OUF9AbHBgrTDykF64pz56oJRZolgKRB4/KUCf7FfGk86HmC8V5d3RY QHA4LxARi1LT6TFp5yLOe2svmQeXtKwvcCsVxySEws/QBfE66A0Ygd04UesQQzP2g7dO8qU7AA4V 3vKtrXmEaDUwKdxTzwwfelnSFsd56ySbRV5eGekZgRTGj0MyamM5d4WXwjvHs7LDq4inPDLOqXRT Oq6jpBMpcGt8tsNMj/9gTRZK0DDx3YHQaZ0PzVTYQS3UMeknjSG/omglDuoZS7Bdf7tNh+EauDll xQM2EImehUIl9quQwpbPeRY0rI9QGxz+JwktSiPnF0NTjl+3ZJCeHXsEhBfQp9pxsPeTV2looLS3 xyO/UtNFxaposjjwHb3A5K57zEGWKqNUqDfIEFvWQxQvtMNy2Aq1JKllFqNYM3MEB+ZTC6dBR6Gm uI/upuwUErHzpI4GNtAMuAuUfOsBW6ARs+gKyXOw/KeNNPvEqUBnMz1kJIWH7FCOr0Qyr/9P+PN1 qRTAN2pPH7zaosd+31VQ+3l9UPdsCnhzVjr+xetU3U++qpfmhywht1kfHKPMLNSWIUL8NMli3aPl QVK1e0x5paSzsSlPXbsbh8l0uEyz0tuLr1nzC4u+0Yi2ih0mbkh8i5l7bBwfAR8IWbtJ1i82igM/ QSbKRcHq+Q1rTfbp7L0iOEqeBeehtyNAbM6GGi55+mmezemdGRY/4dsFdutYNMKwMk6qOpgLrI4i dqrxGoulIcwPRVzalMaOvDkuOUS+qObs44LUwtDpYgxP2p8roY0Unb3xRL4gyLqfBlcJtEV8OzNT sGbR+A+Jep+Mf4V9MEqtoOk3XrZf5zURgRHZadaGu5JSyea5Ur7ENkyHPnMggxZp4xO71YlV8MQM Jt01dINUWyBOQXyOY5NPTjDU6yUS7JuU6iUfLremRYAKZW9TcLe/5+jQgsQHVkS82HG9ZpF4Tw9t fVB0nvrWvPPCqLwZJXrXX9N06udrJFFERnpc4FC3DOocctijkBMKaC5nT4Yd+wH+ao4eRCcmUCPZ SGCKLfdqFrgaDecD+Dd0V2KJCLJlQUl+bTdRWoaXtciQ0fialx6yF8Eni57tAvoq+6Gr+h7pJTFe axYnE8GNHs7Xlx2cNrmibkVMPS6LxTqZYwL20nYg0Mbj2An3j95w8pOvNqhfoVLeNMKfWFa+0DBt 6t29kVWma0JRqznazp0aYgJkBZGjzIhj+UM9U1vGF7r8vcjOW6x7VMoF+0+hfGqLGSpAKxE3mwRr quP92cu2gUIsrJQt1HTsIf3n+aMr1cp1YEFS+5VjiqDxpw8okUt6mI9fiBm50LDialw6EdnIdYdJ z9YHUxyh+XTac3tKRdoBzWkLJ1qIBFQYZVUPiPlige6OCohhOUY114CtqSTXA7YQgkY43qt6GjR6 gQmo9FNxdYdz/D04irbwK1lPIL2DaLesJnlMYymjZ8oELyJ5iZTeYUa5hjIKtHxJcTZu2Ty+jxs6 mG94bMHvTcmE6WbRmq5pweOwndKRM7kssEc/Dj4F7XhdT2BYc/sZYhLoexv+sFO4C1USPnMDx6Zb rF805h+u3Te7wvQGDN6YPGm2f1UrQDQ0bUDhLz43VJTKb4NP46RHfKPiMDGKJF8vCY8+C94WjAeX yKYQDj6EMYihZwGyRY+RTzuWYUBaez9dwOO5UisZ5soGQYppWsWBkjrrrGdJpc4WZ3uE+GP0IfYE X93kAIiNtgV+WK0v06IBQMua9gK1vgCXOiU1iLhH/TjKAJchf2fnj93K27I+1AN8GYHxu4j2FlNy F94Tcx0UwwHcztdbmQv0MXxG34L/gBSKBuBKT1inVSNd4f37xpHy72xq/wd0kLOM5uDBZCiGxcYH UksOgKXmMPGxo57ClGpabEeLsabDD+5gN0M/eDwHlPVFY0bGvozSqsexNp89zDSAxJ2nXlN3c6vL OmURzeD2b0WbhCUzASwEySRk0sImvGHUAhJvmofpU4YrPRrtrHcisIWu27+SzvAQeMu7fJNiJhzF BaUa2/eTHSMMe9+1W/1NRGM1DUJy8wDnv0J4pLOh/Oe/g3WRaGW5MxlqOH8Mh4Dh6e966Qoj5Sa2 Ojvi5VYfTGSxv829WkfLY+mahvD5sZ1jyJApBFRc404PmYEfRVYCadkDPHKeB/YvQn7uIS1+n3TB rBcUG6cLHYx2KH5RlncMjFenvauWmcfBt7aeceoRAsUJniFUvwmSQI12fegdyeu2t0sUR4CeYe13 NRU5BwoDWZ4tgYt9jc3LAXP/iAlzA/jQYH8nsbNUpnbicmaqmYyUsQlWoFFJbVo0U30jyw98Bt4N km5Gif6oLfXbJ6zhn2KK1W1mYaf7Tr0go9xIvtL5oTDpWr6+wsFZFX6DLpKLb9ABHZZCb+qLIs2P VS9s/bCKpJlmK0C5yzX9/EYQnFbL7Zi2iPZdwUYZy01NndH4/vXaki2Fq+WShMGF3IeCF2+2vRcQ S7W6YPjQ5Lmu5MnA8jXqEdNDLaSnKG5djEdfZLhfo3m0dhWiwkjPfaQuPxmZsp14O9bQ0Lj6aykq eGf+rublxHkEonzfND3oO4VRm4+H23pMEX0QQntRAbkJpnk+Q5dGufVjkrB9LbKmrLkDOYq7UZzK I1uGEtiPIv1+ZlBLJWHgX5cI5LQV6460OF5IJdMYgalEj7W2JQBgjjwOdq2DMi02pWFFjljYO0m+ r7RnLA53rOH/TFYOm7NS5E7tq/DX4uxp36K7BTGdrMQSgCOUVi1QQRpBRdGEhSRCQk8brZsgYsvm fOKvvcnPImSkDCKbqVv4EiTz0GFyS3e9XEFBMcK1KrsGBESbAaUBrA//qNVJgyhD//LFJ5Rae3tU 5QAtVOJ3ysJdjow8gyZOLKpfshsb5LmEuij3lFcoszk8J0ASgrnoCoKR13E61N+AmPJgNRgGuuAL K2XReDIoStSu9uy4lvpfhfZfJiQupSuzylR25l/A5rnqkZ0BA5sMYKGyz+RVA2u9AXSagdXzeuK+ lArkr5HX8MHC34WUDTfUV3wfRxfUVSSbD9Vk1Wn/sliy/a1f+u4pEzXhDeo9Rzzy8UxXMguuF1Ae sX2XgFZKxJm+mvPnv6NYy2GOBe6TYLHgeDeJnuB72FPUnTDUpj6YVstdjAnyQAYqqBL/B59Tzi9Z V4LGBrK+uAVwnk64pgDpEQz97PefkdE0O375aFJQZIn3m0qh5fbi0w14+HazcBQkW2ww5HlzPvhH IK1EbA412NOLHMw70xSKbXvv+w6+MxL84Mn2rLMoAYfB00PceELLXDIQduTHIlEC8JIEyVjN50EP EBqDROTzBAvgQNH0MMqswxx30dCer/s1tANJd05Ry7YXdZFJ27V9WFJksy3yjJGWiUemKfboQixC xJm063QOiXKBNwahYK/8r+SOCDOu4XQ05CJMmBk2gMCqiPQD4oLpriEf3e2e4JOt9qHuzkkW3wE4 OFRasrKw8AWT0R6gfSi7oNC4wtJNiY+a8IA4nb1XQ3bmlI6DeXY86nzBY5WjdvSAAFA2aPR8qVh/ 8fj/bC+4/mNiaWRyBG4BlMSMWLc2SmVe9m/E7Af24QNhduZ9gFavqQSn47Ngm3DPW57HWwq6RcK2 z7kt19HK+9/umGD7E6oAiSNBgL26UYlTC+1Df8FjWt78SjEs44qggeZLt//WyurOcJdFMt5ZHLEN sQos1TTVc/hPvFqvSvd5sE37qvcVgN4YqjwA9nrLIdqnXYrDPPI/msMrZHf+7FWK0QV6pHo0ppY6 0UASa7NIX4e8coCNqoKFXL4PSaHZVd3AsAvPUDcfPiSnJxyq7MhGlDrAgD2KDwVxVrg4HsggAB+V NSIosZwHZDk4eqOpitAdfeq2mQuCYQ4eCT/nizTpe6SxIiHzZGq9tyb2PATIO17+geu1iitXiJWK fpTMn2Zuo1SBAT4dqeN4SPENahhfauFa1Ylx6izuVGea3jxl67U4RQA0vbdl+380g7/VZI9llo19 Vy5iNvuoUHwocTkvd2DevB+O7nQM0mgPfYe9a9wQhFN3LbQsT4WgvKt3Of1ALWVp6C0m1sKY+LU1 RqhsLBj+aHlkOcUzsu5buWarOrxwNPizdMC/4PjerQcRQtb0MdQ0xX+Cwk7Xq+PT0zra5Rfnrklo 52B0/GtG2DMgezrIk12uTPyb284ha+A+lVxc+p31uB7Wq+VCWVUPLQANxsrv/6xN0oDUlXBAWRV3 E+25ENTzosF+bfyVaWTFF0SJZrILJSqBex3YFGJolXI0g/xZ2Oi5VJFF83eItNf2I9rJrcWTcpVX tRkDMbk5TVswDgDrme+LS84rnfwIzK01i13/SZk9EL93TRmfleIvJYrh1KNokFyB5954fTWP6xY/ aJ4T1yOZnFldDqe7z0q5bmkSaATjZYktQ+4iekuLzS2BLjLBzQTtP181ajVI2n8euIidxZkttWBL n+FafgFfB9rA6slDHZiJtRVW69mmFjGHFEvkPFmk5NJ539ldKpd2JTNafaM1ON6dBzBtCTy66qpr E2VPFfEKwiSd41iitgyiuXk4+ls+BuEnjWFdvWwEnZHQG9FuzB/c4yGDFE9Dmznc0MSq9ObKx7LP e9guKM2yYaccXl4GL3p8JB6BE7ieDxqeIL4cmA753w4o/zRqigA1KYA5MMnkUpPx/o/77lijZS1g bGCwbuwqgncS2UksjfNFEkh8jyYroZns80en0+apogbyTQVgl1/ZkKERn7qtK88lohXjIm90tpJY Vrl4nNjmh22WWqtTHPJq/LFAb6N7pNFgq46l9NMpvGQi40tTUVUmFBFhVARZk4mZlZNH/TxU4wsH uMBrXyqOmjHpOyTSu+d1GFFUpNMjqG5LjW4i/K73nBJg4jw7iZEm+rLJRGtM/1oaU4Ao4AjN4KtH TX4mQT+zVJlyOtO8IPSgA21+qj5SKWwYwlWR6w4i3U+ml/aAee9BvyoAU8dYqAQCCxmva5lDfHNG DT6etMIyJycDOGtusmA4JE6Y+ld3sRg4huBvlmO6bQgdEkMhHrTEHbNw7Z1wbewvTnoQ6zX527M1 kYHybFH9AG4zYXrKxjvIuszLgGx+sAHkp7C+dp+TRVoEgyUo+NYn0MgYLVi8um3LHhOrp1QSmpSw PaKlnm+UwoOGGJ2QXmwdFhd96h9LYGb+kUfj0QJKB94ojy3cij0siGph9gAv9KxGKJlOAwQCldyG u5TDE44Ts67COL8hykWOoTdgOcZOnWLyY8n2WmPABUmJeZGBi7C1D+z4rXFIbtfudxj9tMiKg/lf e7cNYRK4OYdf4GHuRLGN4FFO2T21UGQSZHcCMrwL+C6DNFD+l4N4vpz8eZKyFjIBCQD4e8L8zxC2 yUYzfxuczyj/2C2tOe5iqwkDhm5jNIToO8GJvKg2KAvwbdt8v2RniFJ731zN3tWz6pC7bBxz1eyZ mKl+LasV71q5YdQylvVZ2Ci2h7taa6AsC3PBOT4aEWRrmxkZcjr0fKNIuAU/VJuRO16I2cAU9dIO lwe1+C7d1j+LTHIPO2n6t0vLc63C+PSFj/raN2oSbZeAiMp+87cHEGx5Alxna0OuykZKDsd6VEPz btqEbn7hypk5CVnbIAJYUMhKqENOYxiAFFlP4Z5kOKZEHdkBX/8TmU/NjDzBXg02yuSlilT/ZxNS jegwfcSTQlCz+pbuqMNBz81uIh1JVhokeHjy34g7SaBedfOqH5ikWGZyWc72t5epDlurdSS7Ktd7 KvLo8gVQ4xtyFp5d4zh0XfugRmQCRcqlhJCA+WzVFTIgiurZzKUl/RSen/VllA11SX5o6pL5wpxI gnbQeZk+uKSHOMnm+shr7ZqmWbpzcNE5Z88k6aXwFHJhxqZNIYelSYF81VY3edz7Z9YXiElDTomA SLQljEPv8WXojFYFw8mRWs7827HTeC5MTwOHO729GJLucC8dqlH4bLBxlIekAyTeHUIhEhKp0kEO dWicOfEWJblWu3a1Le2+MX1FJ+vOaUXB6+lIbJUmn0nesix21AN0XuzgHi70a1Kw1bhreLAYLx13 S1CgPAxn8iEiNJlDvCVC069PGzbobu6GFbSQNvMalCeG+mLX2WoKcJgG7VQn4wErxg0fhg7Fzaeg 3XewsIWfVLrEQohp4+feMrdEbIWpGOhfWiYiA7OsCJAxoBxml+7qeNYPLztVbKieX2IWNpDc5J/4 uaRjwg8ONcAb7ADato7rlcwDWKpr4nQ3cjAwNXe0jA/YM4XdYcUC6QlC25Ju5iM5dyoNpInNPfIB UdeAFYil94+21nTRqfHPma8LYHOjMAwRRNAgsSrgVwXHcTR0HU43VQqditcek3y3IW3/xy4gpkmF M+htwF7CaJ4kJlCHJXGfvWpxqYxVc9b6PpA= `protect end_protected
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY sram_cell IS GENERIC(width : positive); PORT( SEL, WE : IN std_logic; D_IN : IN std_logic_vector(width-1 DOWNTO 0); D_OUT : OUT std_logic_vector(width-1 DOWNTO 0) ); END sram_cell; ARCHITECTURE primitive OF sram_cell IS SIGNAL B : std_logic_vector(width-1 DOWNTO 0); BEGIN behav: PROCESS(SEL,WE,D_IN) BEGIN IF SEL='1' THEN IF WE='1' THEN B <= D_IN; D_OUT <= (OTHERS => 'Z'); ELSE D_OUT <= B; END IF; ELSE D_OUT <= (OTHERS => 'Z'); end IF; END PROCESS; END primitive;
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY sram_cell IS GENERIC(width : positive); PORT( SEL, WE : IN std_logic; D_IN : IN std_logic_vector(width-1 DOWNTO 0); D_OUT : OUT std_logic_vector(width-1 DOWNTO 0) ); END sram_cell; ARCHITECTURE primitive OF sram_cell IS SIGNAL B : std_logic_vector(width-1 DOWNTO 0); BEGIN behav: PROCESS(SEL,WE,D_IN) BEGIN IF SEL='1' THEN IF WE='1' THEN B <= D_IN; D_OUT <= (OTHERS => 'Z'); ELSE D_OUT <= B; END IF; ELSE D_OUT <= (OTHERS => 'Z'); end IF; END PROCESS; END primitive;
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY sram_cell IS GENERIC(width : positive); PORT( SEL, WE : IN std_logic; D_IN : IN std_logic_vector(width-1 DOWNTO 0); D_OUT : OUT std_logic_vector(width-1 DOWNTO 0) ); END sram_cell; ARCHITECTURE primitive OF sram_cell IS SIGNAL B : std_logic_vector(width-1 DOWNTO 0); BEGIN behav: PROCESS(SEL,WE,D_IN) BEGIN IF SEL='1' THEN IF WE='1' THEN B <= D_IN; D_OUT <= (OTHERS => 'Z'); ELSE D_OUT <= B; END IF; ELSE D_OUT <= (OTHERS => 'Z'); end IF; END PROCESS; END primitive;
------------------------------------------------------------------------------- -- axi_datamover_afifo_autord.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0; use lib_fifo_v1_0.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_datamover_afifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 16; -- Sets the depth of the FIFO C_CNT_WIDTH : Integer := 5; -- Sets the width of the FIFO Data Count output C_USE_BLKMEM : Integer := 1 ; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs -------------------------------------------------------------- AFIFO_Ainit : In std_logic; -- AFIFO_Ainit_Rd_clk : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- ---------------------------------------------------------------------------- -- FIFO Outputs -------------------------------------------------------------- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ----------------------------------------------------------------------------- ); end entity axi_datamover_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_datamover_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant MTBF_STAGES : integer := 4; constant C_FIFO_MTBF : integer := 4; -- Constant declarations -- none ATTRIBUTE async_reg : STRING; -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : natural := 0; signal rd_count_int_corr : natural := 0; signal rd_count_int_corr_minus1 : natural := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; signal AFIFO_Ainit_d2_cdc_tig : std_logic; signal AFIFO_Ainit_d2 : std_logic; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2 : SIGNAL IS "true"; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; AFIFO_Empty <= corrected_empty; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0.async_fifo_fg generic map ( C_ALLOW_2N_DEPTH => 1 , C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0, C_SYNCHRONIZER_STAGE => C_FIFO_MTBF -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, Wr_ack => open, Wr_err => open ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit_Rd_clk or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- --IMP_SYNC_FLOP : entity proc_common_v4_0.cdc_sync -- generic map ( -- C_CDC_TYPE => 1, -- C_RESET_STATE => 0, -- C_SINGLE_BIT => 1, -- C_VECTOR_WIDTH => 32, -- C_MTBF_STAGES => MTBF_STAGES -- ) -- port map ( -- prmry_aclk => '0', -- prmry_resetn => '0', -- prmry_in => AFIFO_Ainit, -- prmry_vect_in => (others => '0'), -- scndry_aclk => AFIFO_Rd_clk, -- scndry_resetn => '0', -- scndry_out => AFIFO_Ainit_d2, -- scndry_vect_out => open -- ); -- IMP_SYNC_FLOP : process (AFIFO_Rd_clk) -- begin -- if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then -- AFIFO_Ainit_d2_cdc_tig <= AFIFO_Ainit; -- AFIFO_Ainit_d2 <= AFIFO_Ainit_d2_cdc_tig; -- end if; -- end process IMP_SYNC_FLOP; IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty , sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
------------------------------------------------------------------------------- -- axi_datamover_afifo_autord.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0; use lib_fifo_v1_0.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_datamover_afifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 16; -- Sets the depth of the FIFO C_CNT_WIDTH : Integer := 5; -- Sets the width of the FIFO Data Count output C_USE_BLKMEM : Integer := 1 ; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs -------------------------------------------------------------- AFIFO_Ainit : In std_logic; -- AFIFO_Ainit_Rd_clk : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- ---------------------------------------------------------------------------- -- FIFO Outputs -------------------------------------------------------------- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ----------------------------------------------------------------------------- ); end entity axi_datamover_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_datamover_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant MTBF_STAGES : integer := 4; constant C_FIFO_MTBF : integer := 4; -- Constant declarations -- none ATTRIBUTE async_reg : STRING; -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : natural := 0; signal rd_count_int_corr : natural := 0; signal rd_count_int_corr_minus1 : natural := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; signal AFIFO_Ainit_d2_cdc_tig : std_logic; signal AFIFO_Ainit_d2 : std_logic; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2 : SIGNAL IS "true"; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; AFIFO_Empty <= corrected_empty; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0.async_fifo_fg generic map ( C_ALLOW_2N_DEPTH => 1 , C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0, C_SYNCHRONIZER_STAGE => C_FIFO_MTBF -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, Wr_ack => open, Wr_err => open ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit_Rd_clk or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- --IMP_SYNC_FLOP : entity proc_common_v4_0.cdc_sync -- generic map ( -- C_CDC_TYPE => 1, -- C_RESET_STATE => 0, -- C_SINGLE_BIT => 1, -- C_VECTOR_WIDTH => 32, -- C_MTBF_STAGES => MTBF_STAGES -- ) -- port map ( -- prmry_aclk => '0', -- prmry_resetn => '0', -- prmry_in => AFIFO_Ainit, -- prmry_vect_in => (others => '0'), -- scndry_aclk => AFIFO_Rd_clk, -- scndry_resetn => '0', -- scndry_out => AFIFO_Ainit_d2, -- scndry_vect_out => open -- ); -- IMP_SYNC_FLOP : process (AFIFO_Rd_clk) -- begin -- if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then -- AFIFO_Ainit_d2_cdc_tig <= AFIFO_Ainit; -- AFIFO_Ainit_d2 <= AFIFO_Ainit_d2_cdc_tig; -- end if; -- end process IMP_SYNC_FLOP; IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty , sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
------------------------------------------------------------------------------- -- axi_datamover_afifo_autord.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0; use lib_fifo_v1_0.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_datamover_afifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 16; -- Sets the depth of the FIFO C_CNT_WIDTH : Integer := 5; -- Sets the width of the FIFO Data Count output C_USE_BLKMEM : Integer := 1 ; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs -------------------------------------------------------------- AFIFO_Ainit : In std_logic; -- AFIFO_Ainit_Rd_clk : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- ---------------------------------------------------------------------------- -- FIFO Outputs -------------------------------------------------------------- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ----------------------------------------------------------------------------- ); end entity axi_datamover_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_datamover_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant MTBF_STAGES : integer := 4; constant C_FIFO_MTBF : integer := 4; -- Constant declarations -- none ATTRIBUTE async_reg : STRING; -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : natural := 0; signal rd_count_int_corr : natural := 0; signal rd_count_int_corr_minus1 : natural := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; signal AFIFO_Ainit_d2_cdc_tig : std_logic; signal AFIFO_Ainit_d2 : std_logic; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2 : SIGNAL IS "true"; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; AFIFO_Empty <= corrected_empty; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0.async_fifo_fg generic map ( C_ALLOW_2N_DEPTH => 1 , C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0, C_SYNCHRONIZER_STAGE => C_FIFO_MTBF -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, Wr_ack => open, Wr_err => open ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit_Rd_clk or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- --IMP_SYNC_FLOP : entity proc_common_v4_0.cdc_sync -- generic map ( -- C_CDC_TYPE => 1, -- C_RESET_STATE => 0, -- C_SINGLE_BIT => 1, -- C_VECTOR_WIDTH => 32, -- C_MTBF_STAGES => MTBF_STAGES -- ) -- port map ( -- prmry_aclk => '0', -- prmry_resetn => '0', -- prmry_in => AFIFO_Ainit, -- prmry_vect_in => (others => '0'), -- scndry_aclk => AFIFO_Rd_clk, -- scndry_resetn => '0', -- scndry_out => AFIFO_Ainit_d2, -- scndry_vect_out => open -- ); -- IMP_SYNC_FLOP : process (AFIFO_Rd_clk) -- begin -- if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then -- AFIFO_Ainit_d2_cdc_tig <= AFIFO_Ainit; -- AFIFO_Ainit_d2 <= AFIFO_Ainit_d2_cdc_tig; -- end if; -- end process IMP_SYNC_FLOP; IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty , sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
------------------------------------------------------------------------------- -- axi_datamover_afifo_autord.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0; use lib_fifo_v1_0.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_datamover_afifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 16; -- Sets the depth of the FIFO C_CNT_WIDTH : Integer := 5; -- Sets the width of the FIFO Data Count output C_USE_BLKMEM : Integer := 1 ; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs -------------------------------------------------------------- AFIFO_Ainit : In std_logic; -- AFIFO_Ainit_Rd_clk : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- ---------------------------------------------------------------------------- -- FIFO Outputs -------------------------------------------------------------- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ----------------------------------------------------------------------------- ); end entity axi_datamover_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_datamover_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant MTBF_STAGES : integer := 4; constant C_FIFO_MTBF : integer := 4; -- Constant declarations -- none ATTRIBUTE async_reg : STRING; -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : natural := 0; signal rd_count_int_corr : natural := 0; signal rd_count_int_corr_minus1 : natural := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; signal AFIFO_Ainit_d2_cdc_tig : std_logic; signal AFIFO_Ainit_d2 : std_logic; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2 : SIGNAL IS "true"; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; AFIFO_Empty <= corrected_empty; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0.async_fifo_fg generic map ( C_ALLOW_2N_DEPTH => 1 , C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0, C_SYNCHRONIZER_STAGE => C_FIFO_MTBF -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, Wr_ack => open, Wr_err => open ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit_Rd_clk or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- --IMP_SYNC_FLOP : entity proc_common_v4_0.cdc_sync -- generic map ( -- C_CDC_TYPE => 1, -- C_RESET_STATE => 0, -- C_SINGLE_BIT => 1, -- C_VECTOR_WIDTH => 32, -- C_MTBF_STAGES => MTBF_STAGES -- ) -- port map ( -- prmry_aclk => '0', -- prmry_resetn => '0', -- prmry_in => AFIFO_Ainit, -- prmry_vect_in => (others => '0'), -- scndry_aclk => AFIFO_Rd_clk, -- scndry_resetn => '0', -- scndry_out => AFIFO_Ainit_d2, -- scndry_vect_out => open -- ); -- IMP_SYNC_FLOP : process (AFIFO_Rd_clk) -- begin -- if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then -- AFIFO_Ainit_d2_cdc_tig <= AFIFO_Ainit; -- AFIFO_Ainit_d2 <= AFIFO_Ainit_d2_cdc_tig; -- end if; -- end process IMP_SYNC_FLOP; IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty , sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
------------------------------------------------------------------------------- -- axi_datamover_afifo_autord.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0; use lib_fifo_v1_0.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_datamover_afifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 16; -- Sets the depth of the FIFO C_CNT_WIDTH : Integer := 5; -- Sets the width of the FIFO Data Count output C_USE_BLKMEM : Integer := 1 ; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs -------------------------------------------------------------- AFIFO_Ainit : In std_logic; -- AFIFO_Ainit_Rd_clk : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- ---------------------------------------------------------------------------- -- FIFO Outputs -------------------------------------------------------------- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ----------------------------------------------------------------------------- ); end entity axi_datamover_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_datamover_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant MTBF_STAGES : integer := 4; constant C_FIFO_MTBF : integer := 4; -- Constant declarations -- none ATTRIBUTE async_reg : STRING; -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : natural := 0; signal rd_count_int_corr : natural := 0; signal rd_count_int_corr_minus1 : natural := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; signal AFIFO_Ainit_d2_cdc_tig : std_logic; signal AFIFO_Ainit_d2 : std_logic; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2 : SIGNAL IS "true"; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; AFIFO_Empty <= corrected_empty; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0.async_fifo_fg generic map ( C_ALLOW_2N_DEPTH => 1 , C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0, C_SYNCHRONIZER_STAGE => C_FIFO_MTBF -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, Wr_ack => open, Wr_err => open ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit_Rd_clk or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- --IMP_SYNC_FLOP : entity proc_common_v4_0.cdc_sync -- generic map ( -- C_CDC_TYPE => 1, -- C_RESET_STATE => 0, -- C_SINGLE_BIT => 1, -- C_VECTOR_WIDTH => 32, -- C_MTBF_STAGES => MTBF_STAGES -- ) -- port map ( -- prmry_aclk => '0', -- prmry_resetn => '0', -- prmry_in => AFIFO_Ainit, -- prmry_vect_in => (others => '0'), -- scndry_aclk => AFIFO_Rd_clk, -- scndry_resetn => '0', -- scndry_out => AFIFO_Ainit_d2, -- scndry_vect_out => open -- ); -- IMP_SYNC_FLOP : process (AFIFO_Rd_clk) -- begin -- if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then -- AFIFO_Ainit_d2_cdc_tig <= AFIFO_Ainit; -- AFIFO_Ainit_d2 <= AFIFO_Ainit_d2_cdc_tig; -- end if; -- end process IMP_SYNC_FLOP; IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty , sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
------------------------------------------------------------------------------- -- axi_datamover_afifo_autord.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_afifo_autord.vhd -- Version: initial -- Description: -- This file contains the logic to generate a CoreGen call to create a -- asynchronous FIFO as part of the synthesis process of XST. This eliminates -- the need for multiple fixed netlists for various sizes and widths of FIFOs. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library lib_fifo_v1_0; use lib_fifo_v1_0.async_fifo_fg; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity axi_datamover_afifo_autord is generic ( C_DWIDTH : integer := 32; -- Sets the width of the FIFO Data C_DEPTH : integer := 16; -- Sets the depth of the FIFO C_CNT_WIDTH : Integer := 5; -- Sets the width of the FIFO Data Count output C_USE_BLKMEM : Integer := 1 ; -- Sets the type of memory to use for the FIFO -- 0 = Distributed Logic -- 1 = Block Ram C_FAMILY : String := "virtex7" -- Specifies the target FPGA Family ); port ( -- FIFO Inputs -------------------------------------------------------------- AFIFO_Ainit : In std_logic; -- AFIFO_Ainit_Rd_clk : In std_logic; -- AFIFO_Wr_clk : In std_logic; -- AFIFO_Wr_en : In std_logic; -- AFIFO_Din : In std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Rd_clk : In std_logic; -- AFIFO_Rd_en : In std_logic; -- AFIFO_Clr_Rd_Data_Valid : In std_logic; -- ---------------------------------------------------------------------------- -- FIFO Outputs -------------------------------------------------------------- AFIFO_DValid : Out std_logic; -- AFIFO_Dout : Out std_logic_vector(C_DWIDTH-1 downto 0); -- AFIFO_Full : Out std_logic; -- AFIFO_Empty : Out std_logic; -- AFIFO_Almost_full : Out std_logic; -- AFIFO_Almost_empty : Out std_logic; -- AFIFO_Wr_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Rd_count : Out std_logic_vector(C_CNT_WIDTH-1 downto 0); -- AFIFO_Corr_Rd_count : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Corr_Rd_count_minus1 : Out std_logic_vector(C_CNT_WIDTH downto 0); -- AFIFO_Rd_ack : Out std_logic -- ----------------------------------------------------------------------------- ); end entity axi_datamover_afifo_autord; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of axi_datamover_afifo_autord is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant MTBF_STAGES : integer := 4; constant C_FIFO_MTBF : integer := 4; -- Constant declarations -- none ATTRIBUTE async_reg : STRING; -- Signal declarations signal write_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal read_data_lil_end : std_logic_vector(C_DWIDTH-1 downto 0) := (others => '0'); signal wr_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_lil_end : std_logic_vector(C_CNT_WIDTH-1 downto 0) := (others => '0'); signal rd_count_int : natural := 0; signal rd_count_int_corr : natural := 0; signal rd_count_int_corr_minus1 : natural := 0; Signal corrected_empty : std_logic := '0'; Signal corrected_almost_empty : std_logic := '0'; Signal sig_afifo_empty : std_logic := '0'; Signal sig_afifo_almost_empty : std_logic := '0'; -- backend fifo read ack sample and hold Signal sig_rddata_valid : std_logic := '0'; Signal hold_ff_q : std_logic := '0'; Signal ored_ack_ff_reset : std_logic := '0'; Signal autoread : std_logic := '0'; Signal sig_wrfifo_rdack : std_logic := '0'; Signal fifo_read_enable : std_logic := '0'; signal AFIFO_Ainit_d2_cdc_tig : std_logic; signal AFIFO_Ainit_d2 : std_logic; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2_cdc_tig : SIGNAL IS "true"; -- ATTRIBUTE async_reg OF AFIFO_Ainit_d2 : SIGNAL IS "true"; ----------------------------------------------------------------------------- -- Begin architecture ----------------------------------------------------------------------------- begin -- Bit ordering translations write_data_lil_end <= AFIFO_Din; -- translate from Big Endian to little -- endian. AFIFO_Rd_ack <= sig_wrfifo_rdack; AFIFO_Dout <= read_data_lil_end; -- translate from Little Endian to -- Big endian. AFIFO_Almost_empty <= corrected_almost_empty; AFIFO_Empty <= corrected_empty; AFIFO_Wr_count <= wr_count_lil_end; AFIFO_Rd_count <= rd_count_lil_end; AFIFO_Corr_Rd_count <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr, C_CNT_WIDTH+1); AFIFO_Corr_Rd_count_minus1 <= CONV_STD_LOGIC_VECTOR(rd_count_int_corr_minus1, C_CNT_WIDTH+1); AFIFO_DValid <= sig_rddata_valid; -- Output data valid indicator fifo_read_enable <= AFIFO_Rd_en or autoread; ------------------------------------------------------------------------------- -- Instantiate the CoreGen FIFO -- -- NOTE: -- This instance refers to a wrapper file that interm will use the -- CoreGen FIFO Generator Async FIFO utility. -- ------------------------------------------------------------------------------- I_ASYNC_FIFOGEN_FIFO : entity lib_fifo_v1_0.async_fifo_fg generic map ( C_ALLOW_2N_DEPTH => 1 , C_FAMILY => C_FAMILY, C_DATA_WIDTH => C_DWIDTH, C_ENABLE_RLOCS => 0, C_FIFO_DEPTH => C_DEPTH, C_HAS_ALMOST_EMPTY => 1, C_HAS_ALMOST_FULL => 1, C_HAS_RD_ACK => 1, C_HAS_RD_COUNT => 1, C_HAS_RD_ERR => 0, C_HAS_WR_ACK => 0, C_HAS_WR_COUNT => 1, C_HAS_WR_ERR => 0, C_RD_ACK_LOW => 0, C_RD_COUNT_WIDTH => C_CNT_WIDTH, C_RD_ERR_LOW => 0, C_USE_BLOCKMEM => C_USE_BLKMEM, C_WR_ACK_LOW => 0, C_WR_COUNT_WIDTH => C_CNT_WIDTH, C_WR_ERR_LOW => 0, C_SYNCHRONIZER_STAGE => C_FIFO_MTBF -- C_USE_EMBEDDED_REG => 1, -- 0 ; -- C_PRELOAD_REGS => 0, -- 0 ; -- C_PRELOAD_LATENCY => 1 -- 1 ; ) port Map ( Din => write_data_lil_end, Wr_en => AFIFO_Wr_en, Wr_clk => AFIFO_Wr_clk, Rd_en => fifo_read_enable, Rd_clk => AFIFO_Rd_clk, Ainit => AFIFO_Ainit, Dout => read_data_lil_end, Full => AFIFO_Full, Empty => sig_afifo_empty, Almost_full => AFIFO_Almost_full, Almost_empty => sig_afifo_almost_empty, Wr_count => wr_count_lil_end, Rd_count => rd_count_lil_end, Rd_ack => sig_wrfifo_rdack, Rd_err => open, Wr_ack => open, Wr_err => open ); ---------------------------------------------------------------------------- -- Read Ack assert & hold logic (needed because: -- 1) The Async FIFO has to be read once to get valid -- data to the read data port (data is discarded). -- 2) The Read ack from the fifo is only asserted for 1 clock. -- 3) A signal is needed that indicates valid data is at the read -- port of the FIFO and has not yet been read. This signal needs -- to be held until the next read operation occurs or a clear -- signal is received. ored_ack_ff_reset <= fifo_read_enable or AFIFO_Ainit_Rd_clk or AFIFO_Clr_Rd_Data_Valid; sig_rddata_valid <= hold_ff_q or sig_wrfifo_rdack; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ACK_HOLD_FLOP -- -- Process Description: -- Flop for registering the hold flag -- ------------------------------------------------------------- --IMP_SYNC_FLOP : entity proc_common_v4_0.cdc_sync -- generic map ( -- C_CDC_TYPE => 1, -- C_RESET_STATE => 0, -- C_SINGLE_BIT => 1, -- C_VECTOR_WIDTH => 32, -- C_MTBF_STAGES => MTBF_STAGES -- ) -- port map ( -- prmry_aclk => '0', -- prmry_resetn => '0', -- prmry_in => AFIFO_Ainit, -- prmry_vect_in => (others => '0'), -- scndry_aclk => AFIFO_Rd_clk, -- scndry_resetn => '0', -- scndry_out => AFIFO_Ainit_d2, -- scndry_vect_out => open -- ); -- IMP_SYNC_FLOP : process (AFIFO_Rd_clk) -- begin -- if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then -- AFIFO_Ainit_d2_cdc_tig <= AFIFO_Ainit; -- AFIFO_Ainit_d2 <= AFIFO_Ainit_d2_cdc_tig; -- end if; -- end process IMP_SYNC_FLOP; IMP_ACK_HOLD_FLOP : process (AFIFO_Rd_clk) begin if (AFIFO_Rd_clk'event and AFIFO_Rd_clk = '1') then if (ored_ack_ff_reset = '1') then hold_ff_q <= '0'; else hold_ff_q <= sig_rddata_valid; end if; end if; end process IMP_ACK_HOLD_FLOP; -- generate auto-read enable. This keeps fresh data at the output -- of the FIFO whenever it is available. autoread <= '1' -- create a read strobe when the when (sig_rddata_valid = '0' and -- output data is NOT valid sig_afifo_empty = '0') -- and the FIFO is not empty Else '0'; rd_count_int <= CONV_INTEGER(rd_count_lil_end); ------------------------------------------------------------- -- Combinational Process -- -- Label: CORRECT_RD_CNT -- -- Process Description: -- This process corrects the FIFO Read Count output for the -- auto read function. -- ------------------------------------------------------------- CORRECT_RD_CNT : process (sig_rddata_valid, sig_afifo_empty , sig_afifo_almost_empty, rd_count_int) begin if (sig_rddata_valid = '0') then rd_count_int_corr <= 0; rd_count_int_corr_minus1 <= 0; corrected_empty <= '1'; corrected_almost_empty <= '0'; elsif (sig_afifo_empty = '1') then -- rddata valid and fifo empty rd_count_int_corr <= 1; rd_count_int_corr_minus1 <= 0; corrected_empty <= '0'; corrected_almost_empty <= '1'; Elsif (sig_afifo_almost_empty = '1') Then -- rddata valid and fifo almost empty rd_count_int_corr <= 2; rd_count_int_corr_minus1 <= 1; corrected_empty <= '0'; corrected_almost_empty <= '0'; else -- rddata valid and modify rd count from FIFO rd_count_int_corr <= rd_count_int+1; rd_count_int_corr_minus1 <= rd_count_int; corrected_empty <= '0'; corrected_almost_empty <= '0'; end if; end process CORRECT_RD_CNT; end imp;
-- rgb_in.vhd -- Jan Viktorin <[email protected]> -- Copyright (C) 2011, 2012 Jan Viktorin library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.log2; entity rgb_in is port ( VGA_CLK : in std_logic; VGA_R : in std_logic_vector(7 downto 0); VGA_G : in std_logic_vector(7 downto 0); VGA_B : in std_logic_vector(7 downto 0); VGA_HS : in std_logic; VGA_VS : in std_logic; VGA_CLAMP : out std_logic; VGA_COAST : out std_logic; VGA_ODD_EVEN_B : in std_logic; VGA_SOGOUT : in std_logic; RGB_R : out std_logic_vector(7 downto 0); RGB_G : out std_logic_vector(7 downto 0); RGB_B : out std_logic_vector(7 downto 0); RGB_DE : out std_logic; RGB_HS : out std_logic; RGB_VS : out std_logic ); end entity; --- -- Designed to work with AD9980 codec (but should work with any -- digital VGA input). For details see codec at URL: -- http://www.xilinx.com/products/boards/ml505/datasheets/464471350AD9980_0.pdf -- -- Current configuration assumes VGA mode: -- * 640x480, 60 Hz; HS and VS at LOW when pulse occures -- -- Generates RGB_DE signal. All other bits are only bypassed -- from input. -- -- Signals VGA_CLAMP, VGA_COAST, VGA_ODD_EVEN_B, VGA_SOGOUT -- are not used. -- -- The constants HBP, HDP, HFP, VBP, VDP, VFP, HPULSE, VPULSE -- can be changed to support other modes. In that case it would -- be better to change them to generic parameters. --- architecture full of rgb_in is constant HBP : integer := 48; constant HDP : integer := 640; constant HFP : integer := 16; constant VBP : integer := 33; constant VDP : integer := 480; constant VFP : integer := 10; constant HPULSE : integer := 96; constant VPULSE : integer := 2; constant HPIXELS : integer := HPULSE + HBP + HDP + HFP; constant VLINES : integer := VPULSE + VBP + VDP + VFP; signal cnt_horiz : std_logic_vector(log2(HPIXELS) - 1 downto 0); signal cnt_horiz_ce : std_logic; signal cnt_horiz_clr : std_logic; signal cnt_vert : std_logic_vector(log2(VLINES) - 1 downto 0); signal cnt_vert_ce : std_logic; signal cnt_vert_clr : std_logic; signal st_hd : std_logic; signal st_vd : std_logic; signal buff_r : std_logic_vector(7 downto 0); signal buff_g : std_logic_vector(7 downto 0); signal buff_b : std_logic_vector(7 downto 0); signal buff_hs : std_logic; signal buff_vs : std_logic; signal buff_de : std_logic; begin buffp : process(VGA_CLK) begin if rising_edge(VGA_CLK) then buff_r <= VGA_R; buff_g <= VGA_G; buff_b <= VGA_B; buff_hs <= VGA_HS; buff_vs <= VGA_VS; buff_de <= st_hd and st_vd; end if; end process; ------------------------------- cnt_horizp : process(VGA_CLK, cnt_horiz_ce, cnt_horiz_clr) begin if rising_edge(VGA_CLK) then if cnt_horiz_clr = '1' then cnt_horiz <= (others => '0'); elsif cnt_horiz_ce = '1' then cnt_horiz <= cnt_horiz + 1; end if; end if; end process; cn_vertp : process(VGA_CLK, cnt_vert_ce, cnt_vert_clr) begin if rising_edge(VGA_CLK) then if cnt_vert_clr = '1' then cnt_vert <= (others => '0'); elsif cnt_vert_ce = '1' then cnt_vert <= cnt_vert + 1; end if; end if; end process; ------------------------------- cnt_horiz_ce <= '1'; cnt_horiz_clr <= not(buff_hs); cnt_vert_ce <= '1' when cnt_horiz = HBP + HDP + HFP - 1 else '0'; cnt_vert_clr <= not(buff_vs); ------------------------------- st_hd <= '1' when cnt_horiz >= HBP and cnt_horiz < (HBP + HDP) else '0'; st_vd <= '1' when cnt_vert >= VBP and cnt_vert < (VBP + VDP) else '0'; ------------------------------- RGB_R <= buff_r; RGB_G <= buff_g; RGB_B <= buff_b; RGB_DE <= buff_de; RGB_HS <= buff_hs; RGB_VS <= buff_vs; end architecture;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity VolCtrl is generic(INTBIT_WIDTH : positive; -- := 24; FRACBIT_WIDTH : positive --:= 8 ); port( OUT_VOLCTRL_L : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); -- 24 bit signed output OUT_VOLCTRL_R : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); -- 24 bit signed output OUT_RDY_L : out STD_LOGIC; OUT_RDY_R : out STD_LOGIC; IN_SIG_L : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_SIG_R : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_COEF_L : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 IN_COEF_R : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 RESET : in STD_LOGIC; CLK_48 : in STD_LOGIC; CLK_100M : in STD_LOGIC ); end VolCtrl; architecture Behavioral of VolCtrl is COMPONENT AmplifierFP PORT( CLK : in std_logic; RESET : in std_logic; IN_SIG : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_COEF : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 OUT_AMP : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); --amplifier output OUT_RDY : out std_logic ); END COMPONENT; signal SIG_VOLCTRL_L, SIG_VOLCTRL_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal AMP_OUT_L, AMP_OUT_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal VOLCTRL_L, VOLCTRL_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal volctrl_ready_l : std_logic := '0'; signal volctrl_ready_r : std_logic := '0'; begin AmplifierFP_L : AmplifierFP PORT MAP( CLK => CLK_48, RESET => RESET, IN_SIG => IN_SIG_L, IN_COEF => IN_COEF_L, OUT_AMP => AMP_OUT_L, OUT_RDY => volctrl_ready_l ); AmplifierFP_R : AmplifierFP PORT MAP( CLK => CLK_48, RESET => RESET, IN_SIG => IN_SIG_R, IN_COEF => IN_COEF_R, OUT_AMP => AMP_OUT_R, OUT_RDY => volctrl_ready_r ); seq_proc : process(CLK_48) begin if (CLK_48'event and CLK_48 = '1') then -- update the ready signal when new values gets written to the buffer if (volctrl_ready_l = '1') then VOLCTRL_L <= AMP_OUT_L; end if; if (volctrl_ready_r = '1') then VOLCTRL_R <= AMP_OUT_R; end if; end if; end process; OUT_RDY_L <= volctrl_ready_l; OUT_RDY_R <= volctrl_ready_r; OUT_VOLCTRL_L <= VOLCTRL_L; OUT_VOLCTRL_R <= VOLCTRL_R; end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity VolCtrl is generic(INTBIT_WIDTH : positive; -- := 24; FRACBIT_WIDTH : positive --:= 8 ); port( OUT_VOLCTRL_L : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); -- 24 bit signed output OUT_VOLCTRL_R : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); -- 24 bit signed output OUT_RDY_L : out STD_LOGIC; OUT_RDY_R : out STD_LOGIC; IN_SIG_L : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_SIG_R : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_COEF_L : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 IN_COEF_R : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 RESET : in STD_LOGIC; CLK_48 : in STD_LOGIC; CLK_100M : in STD_LOGIC ); end VolCtrl; architecture Behavioral of VolCtrl is COMPONENT AmplifierFP PORT( CLK : in std_logic; RESET : in std_logic; IN_SIG : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_COEF : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 OUT_AMP : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); --amplifier output OUT_RDY : out std_logic ); END COMPONENT; signal SIG_VOLCTRL_L, SIG_VOLCTRL_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal AMP_OUT_L, AMP_OUT_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal VOLCTRL_L, VOLCTRL_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal volctrl_ready_l : std_logic := '0'; signal volctrl_ready_r : std_logic := '0'; begin AmplifierFP_L : AmplifierFP PORT MAP( CLK => CLK_48, RESET => RESET, IN_SIG => IN_SIG_L, IN_COEF => IN_COEF_L, OUT_AMP => AMP_OUT_L, OUT_RDY => volctrl_ready_l ); AmplifierFP_R : AmplifierFP PORT MAP( CLK => CLK_48, RESET => RESET, IN_SIG => IN_SIG_R, IN_COEF => IN_COEF_R, OUT_AMP => AMP_OUT_R, OUT_RDY => volctrl_ready_r ); seq_proc : process(CLK_48) begin if (CLK_48'event and CLK_48 = '1') then -- update the ready signal when new values gets written to the buffer if (volctrl_ready_l = '1') then VOLCTRL_L <= AMP_OUT_L; end if; if (volctrl_ready_r = '1') then VOLCTRL_R <= AMP_OUT_R; end if; end if; end process; OUT_RDY_L <= volctrl_ready_l; OUT_RDY_R <= volctrl_ready_r; OUT_VOLCTRL_L <= VOLCTRL_L; OUT_VOLCTRL_R <= VOLCTRL_R; end Behavioral;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity VolCtrl is generic(INTBIT_WIDTH : positive; -- := 24; FRACBIT_WIDTH : positive --:= 8 ); port( OUT_VOLCTRL_L : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); -- 24 bit signed output OUT_VOLCTRL_R : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); -- 24 bit signed output OUT_RDY_L : out STD_LOGIC; OUT_RDY_R : out STD_LOGIC; IN_SIG_L : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_SIG_R : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_COEF_L : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 IN_COEF_R : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 RESET : in STD_LOGIC; CLK_48 : in STD_LOGIC; CLK_100M : in STD_LOGIC ); end VolCtrl; architecture Behavioral of VolCtrl is COMPONENT AmplifierFP PORT( CLK : in std_logic; RESET : in std_logic; IN_SIG : in signed((INTBIT_WIDTH - 1) downto 0); --amplifier input signal 24-bit IN_COEF : in signed(((INTBIT_WIDTH + FRACBIT_WIDTH) - 1) downto 0); -- 32 bit COEF from a register. Last 8 bits are fractional for volume control 0<-->1 OUT_AMP : out signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); --amplifier output OUT_RDY : out std_logic ); END COMPONENT; signal SIG_VOLCTRL_L, SIG_VOLCTRL_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal AMP_OUT_L, AMP_OUT_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal VOLCTRL_L, VOLCTRL_R : signed((INTBIT_WIDTH - 1) downto 0) := (others => '0'); signal volctrl_ready_l : std_logic := '0'; signal volctrl_ready_r : std_logic := '0'; begin AmplifierFP_L : AmplifierFP PORT MAP( CLK => CLK_48, RESET => RESET, IN_SIG => IN_SIG_L, IN_COEF => IN_COEF_L, OUT_AMP => AMP_OUT_L, OUT_RDY => volctrl_ready_l ); AmplifierFP_R : AmplifierFP PORT MAP( CLK => CLK_48, RESET => RESET, IN_SIG => IN_SIG_R, IN_COEF => IN_COEF_R, OUT_AMP => AMP_OUT_R, OUT_RDY => volctrl_ready_r ); seq_proc : process(CLK_48) begin if (CLK_48'event and CLK_48 = '1') then -- update the ready signal when new values gets written to the buffer if (volctrl_ready_l = '1') then VOLCTRL_L <= AMP_OUT_L; end if; if (volctrl_ready_r = '1') then VOLCTRL_R <= AMP_OUT_R; end if; end if; end process; OUT_RDY_L <= volctrl_ready_l; OUT_RDY_R <= volctrl_ready_r; OUT_VOLCTRL_L <= VOLCTRL_L; OUT_VOLCTRL_R <= VOLCTRL_R; end Behavioral;
library verilog; use verilog.vl_types.all; entity regfile is generic( text_start : integer := 4194304 ); port( rn_data : out vl_logic_vector(31 downto 0); rm_data : out vl_logic_vector(31 downto 0); rs_data : out vl_logic_vector(31 downto 0); pc_out : out vl_logic_vector(31 downto 0); cpsr_out : out vl_logic_vector(31 downto 0); rn_num : in vl_logic_vector(3 downto 0); rm_num : in vl_logic_vector(3 downto 0); rs_num : in vl_logic_vector(3 downto 0); rd_num : in vl_logic_vector(3 downto 0); rd_data : in vl_logic_vector(31 downto 0); rd_we : in vl_logic; pc_in : in vl_logic_vector(31 downto 0); pc_we : in vl_logic; cpsr_in : in vl_logic_vector(31 downto 0); cpsr_we : in vl_logic; clk : in vl_logic; rst_b : in vl_logic; halted : in vl_logic ); end regfile;
library verilog; use verilog.vl_types.all; entity regfile is generic( text_start : integer := 4194304 ); port( rn_data : out vl_logic_vector(31 downto 0); rm_data : out vl_logic_vector(31 downto 0); rs_data : out vl_logic_vector(31 downto 0); pc_out : out vl_logic_vector(31 downto 0); cpsr_out : out vl_logic_vector(31 downto 0); rn_num : in vl_logic_vector(3 downto 0); rm_num : in vl_logic_vector(3 downto 0); rs_num : in vl_logic_vector(3 downto 0); rd_num : in vl_logic_vector(3 downto 0); rd_data : in vl_logic_vector(31 downto 0); rd_we : in vl_logic; pc_in : in vl_logic_vector(31 downto 0); pc_we : in vl_logic; cpsr_in : in vl_logic_vector(31 downto 0); cpsr_we : in vl_logic; clk : in vl_logic; rst_b : in vl_logic; halted : in vl_logic ); end regfile;
library verilog; use verilog.vl_types.all; entity regfile is generic( text_start : integer := 4194304 ); port( rn_data : out vl_logic_vector(31 downto 0); rm_data : out vl_logic_vector(31 downto 0); rs_data : out vl_logic_vector(31 downto 0); pc_out : out vl_logic_vector(31 downto 0); cpsr_out : out vl_logic_vector(31 downto 0); rn_num : in vl_logic_vector(3 downto 0); rm_num : in vl_logic_vector(3 downto 0); rs_num : in vl_logic_vector(3 downto 0); rd_num : in vl_logic_vector(3 downto 0); rd_data : in vl_logic_vector(31 downto 0); rd_we : in vl_logic; pc_in : in vl_logic_vector(31 downto 0); pc_we : in vl_logic; cpsr_in : in vl_logic_vector(31 downto 0); cpsr_we : in vl_logic; clk : in vl_logic; rst_b : in vl_logic; halted : in vl_logic ); end regfile;