content
stringlengths 1
1.04M
⌀ |
---|
library verilog;
use verilog.vl_types.all;
entity regfile is
generic(
text_start : integer := 4194304
);
port(
rn_data : out vl_logic_vector(31 downto 0);
rm_data : out vl_logic_vector(31 downto 0);
rs_data : out vl_logic_vector(31 downto 0);
pc_out : out vl_logic_vector(31 downto 0);
cpsr_out : out vl_logic_vector(31 downto 0);
rn_num : in vl_logic_vector(3 downto 0);
rm_num : in vl_logic_vector(3 downto 0);
rs_num : in vl_logic_vector(3 downto 0);
rd_num : in vl_logic_vector(3 downto 0);
rd_data : in vl_logic_vector(31 downto 0);
rd_we : in vl_logic;
pc_in : in vl_logic_vector(31 downto 0);
pc_we : in vl_logic;
cpsr_in : in vl_logic_vector(31 downto 0);
cpsr_we : in vl_logic;
clk : in vl_logic;
rst_b : in vl_logic;
halted : in vl_logic
);
end regfile;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
---------------------------------------------------
-- School: University of Massachusetts Dartmouth
-- Department: Computer and Electrical Engineering
-- Engineer: Daniel Noyes
--
-- Create Date: SPRING 2015
-- Module Name: ALU_Shift_Unit
-- Project Name: ALU
-- Target Devices: Spartan-3E
-- Tool versions: Xilinx ISE 14.7
-- Description: Shift Unit
-- Operations - Shift Left, Shift Right
---------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity ALU_Shift_Unit is
Port ( A : in STD_LOGIC_VECTOR (7 downto 0);
COUNT : in STD_LOGIC_VECTOR (2 downto 0);
OP : in STD_LOGIC;
RESULT : out STD_LOGIC_VECTOR (7 downto 0));
end ALU_Shift_Unit;
architecture Combinational of ALU_Shift_Unit is
signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0');
begin
shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT));
shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT));
RESULT <= shift_left when OP='0' else shift_right;
end Combinational;
|
----------------------------------------------------------------------------------
-- This file is only used for some quick synthesis checks
----------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.ALL;
entity top is
port(clk : in std_logic;
strb : in std_logic;
rs : in std_logic;
ready : out std_logic;
db : in std_logic_vector(7 downto 0);
-- LCD interface
lcd_e : out STD_LOGIC;
lcd_rs : out STD_LOGIC;
lcd_rw : out STD_LOGIC;
sf_d : inout STD_LOGIC_VECTOR(11 downto 8);
-- StrataFlash chip enable
sf_ce0 : out STD_LOGIC);
end top;
architecture rtl of top is
component clock_prescaler is
generic (n : integer range 2 to 16;
exp : integer range 0 to 10);
port(clk : in std_logic;
q : out std_logic);
end component;
component hd44780_iface is
generic (time_base_period : integer);
port(
-- main clock
clk : in std_logic;
time_base : in std_logic;
-- control interface
db : in std_logic_vector(7 downto 0);
rs : in std_logic;
strb : in std_logic;
rdy : out std_logic;
-- outputs to LCD
lcd_e : out std_logic;
lcd_rs : out std_logic;
lcd_rw : out std_logic;
lcd_d : out std_logic_vector(7 downto 4));
end component;
signal time_base : std_logic;
begin
sf_ce0 <= '0';
prescaler : clock_prescaler
generic map(n => 3, exp => 3)
port map(clk => clk, q => time_base);
lcd : hd44780_iface
generic map (time_base_period => 100)
port map(clk => clk,
lcd_e => lcd_e,
lcd_rs => lcd_rs,
lcd_rw => lcd_rw,
lcd_d => sf_d,
db => db,
rs => rs,
strb => strb,
rdy => ready,
time_base => time_base
);
end rtl;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sun Jun 04 00:42:38 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/ZyboIP/examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_inverter_0_0/system_inverter_0_0_stub.vhdl
-- Design : system_inverter_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_inverter_0_0 is
Port (
x : in STD_LOGIC;
x_not : out STD_LOGIC
);
end system_inverter_0_0;
architecture stub of system_inverter_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "x,x_not";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "inverter,Vivado 2016.4";
begin
end;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3006.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c02s06b00x00p07n01i03006pkg is
constant X : real;
end c02s06b00x00p07n01i03006pkg;
package bodyc02s06b00x00p07n01i03006pkg is
constant X1: real := 1.0; --Failure_here
end c02s06b00x00p07n01i03006pkg;
ENTITY c02s06b00x00p07n01i03006ent IS
END c02s06b00x00p07n01i03006ent;
ARCHITECTURE c02s06b00x00p07n01i03006arch OF c02s06b00x00p07n01i03006ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c02s06b00x00p07n01i03006 - The deferred constant X does not have a full declaration in the package body."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s06b00x00p07n01i03006arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3006.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c02s06b00x00p07n01i03006pkg is
constant X : real;
end c02s06b00x00p07n01i03006pkg;
package bodyc02s06b00x00p07n01i03006pkg is
constant X1: real := 1.0; --Failure_here
end c02s06b00x00p07n01i03006pkg;
ENTITY c02s06b00x00p07n01i03006ent IS
END c02s06b00x00p07n01i03006ent;
ARCHITECTURE c02s06b00x00p07n01i03006arch OF c02s06b00x00p07n01i03006ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c02s06b00x00p07n01i03006 - The deferred constant X does not have a full declaration in the package body."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s06b00x00p07n01i03006arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3006.vhd,v 1.2 2001-10-26 16:30:24 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c02s06b00x00p07n01i03006pkg is
constant X : real;
end c02s06b00x00p07n01i03006pkg;
package bodyc02s06b00x00p07n01i03006pkg is
constant X1: real := 1.0; --Failure_here
end c02s06b00x00p07n01i03006pkg;
ENTITY c02s06b00x00p07n01i03006ent IS
END c02s06b00x00p07n01i03006ent;
ARCHITECTURE c02s06b00x00p07n01i03006arch OF c02s06b00x00p07n01i03006ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c02s06b00x00p07n01i03006 - The deferred constant X does not have a full declaration in the package body."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s06b00x00p07n01i03006arch;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Ben Oztalay
--
-- Create Date: 14:38:09 04/10/2009
-- Design Name:
-- Module Name: Comp_4bitSyncCounter - Behavioral
-- Project Name: 4-bit synchronous counter
-- Target Devices:
-- Tool versions:
-- Description: A 4-bit synchronous counter using D flip-flops. Has an enable line.
--
-- Dependencies: Comp_Dflipflop.vhd, Gate_And.vhd, Gate_Xor.vhd, Gate_Buf.vhd, Gate_Inv.vhd,
-- Gate_Nand.vhd
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Comp_4bitSyncCounter is
Port ( CLK : in STD_LOGIC;
E : in STD_LOGIC;
R : in STD_LOGIC;
Q1 : out STD_LOGIC;
Q2 : out STD_LOGIC;
Q3 : out STD_LOGIC;
Q4 : out STD_LOGIC);
end Comp_4bitSyncCounter;
architecture Behavioral of Comp_4bitSyncCounter is
component Comp_Dflipflop is
Port ( D : in STD_LOGIC;
CLK : in STD_LOGIC;
Q : out STD_LOGIC;
NQ : out STD_LOGIC);
end component;
component Gate_And is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
Q : out STD_LOGIC);
end component;
component Gate_Xor is
Port ( A : in STD_LOGIC;
B : in STD_LOGIC;
Q : out STD_LOGIC);
end component;
component Gate_Buf is
Port ( A : in STD_LOGIC;
Q : out STD_LOGIC);
end component;
component Gate_Inv is
Port ( A : in STD_LOGIC;
Q : out STD_LOGIC);
end component;
signal S0 : STD_LOGIC;
signal S1 : STD_LOGIC;
signal S2 : STD_LOGIC;
signal S3 : STD_LOGIC;
signal S4 : STD_LOGIC;
signal S5 : STD_LOGIC;
signal S6 : STD_LOGIC;
signal S7 : STD_LOGIC;
signal S8 : STD_LOGIC;
signal S9 : STD_LOGIC;
signal S10 : STD_LOGIC;
signal S11 : STD_LOGIC;
signal S12 : STD_LOGIC;
signal S13 : STD_LOGIC;
signal S14 : STD_LOGIC;
signal S15 : STD_LOGIC;
begin
G0: Gate_Inv port map (R, S15);
G1: Gate_Xor port map (E, S0, S1);
G2: Gate_And port map (S1, S15, S11);
G3: Comp_Dflipflop port map (S11, CLK, S0);
G4: Gate_Buf port map (S0, Q1);
G5: Gate_And port map (E, S0, S2);
G6: Gate_Xor port map (S2, S3, S4);
G7: Gate_And port map (S4, S15, S12);
G8: Comp_Dflipflop port map (S12, CLK, S3);
G9: Gate_Buf port map (S3, Q2);
G10: Gate_And port map (S2, S3, S5);
G11: Gate_Xor port map (S5, S6, S7);
G12: Gate_And port map (S7, S15, S13);
G13: Comp_Dflipflop port map (S13, CLK, S6);
G14: Gate_Buf port map (S6, Q3);
G15: Gate_And port map (S5, S6, S8);
G16: Gate_Xor port map (S8, S9, S10);
G17: Gate_And port map (S10, S15, S14);
G18: Comp_Dflipflop port map (S14, CLK, S9);
G19: Gate_Buf port map (S9, Q4);
end Behavioral;
|
--**********************************************************************************************
-- SPI Peripheral for the AVR Core
-- Version 1.2
-- Modified 10.01.2007
-- Designed by Ruslan Lepetenok
-- Internal resynchronizers for scki and ss_b inputs were added
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use WORK.std_library.all;
use WORK.avr_adr_pack.all;
use WORK.rsnc_comp_pack.all;
entity spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end spi_mod;
architecture RTL of spi_mod is
-- Resynch
signal scki_resync : std_logic;
signal ss_b_resync : std_logic;
-- Registers
signal SPCR : std_logic_vector(7 downto 0);
alias SPIE : std_logic is SPCR(7);
alias SPEB : std_logic is SPCR(6); -- SPE in Atmel's doc
alias DORD : std_logic is SPCR(5);
alias MSTR : std_logic is SPCR(4);
alias CPOL : std_logic is SPCR(3);
alias CPHA : std_logic is SPCR(2);
alias SPR : std_logic_vector(1 downto 0) is SPCR(1 downto 0);
signal SPSR : std_logic_vector(7 downto 0);
alias SPIF : std_logic is SPSR(7);
alias WCOL : std_logic is SPSR(6);
alias SPI2X : std_logic is SPSR(0);
signal SPIE_Next : std_logic;
signal SPEB_Next : std_logic;
signal DORD_Next : std_logic;
signal CPOL_Next : std_logic;
signal CPHA_Next : std_logic;
signal SPR_Next : std_logic_vector(SPR'range);
signal SPI2X_Next : std_logic;
signal SPDR_Rc : std_logic_vector(7 downto 0);
signal SPDR_Rc_Next : std_logic_vector(7 downto 0);
signal SPDR_Sh_Current : std_logic_vector(7 downto 0);
signal SPDR_Sh_Next : std_logic_vector(7 downto 0);
signal Div_Next : std_logic_vector(5 downto 0);
signal Div_Current : std_logic_vector(5 downto 0);
signal Div_Toggle : std_logic;
signal DivCntMsb_Current : std_logic;
signal DivCntMsb_Next : std_logic;
type MstSMSt_Type is (MstSt_Idle,MstSt_B0,MstSt_B1,MstSt_B2,MstSt_B3,MstSt_B4,MstSt_B5,MstSt_B6,MstSt_B7);
signal MstSMSt_Current : MstSMSt_Type;
signal MstSMSt_Next : MstSMSt_Type;
signal TrStart : std_logic;
signal scko_Next : std_logic;
signal scko_Current : std_logic; --!!!
signal UpdRcDataRg_Current : std_logic;
signal UpdRcDataRg_Next : std_logic;
signal TmpIn_Current : std_logic;
signal TmpIn_Next : std_logic;
-- Slave
signal sck_EdgeDetDFF : std_logic;
signal SlvSampleSt : std_logic;
signal SlvSMChangeSt : std_logic;
type SlvSMSt_Type is (SlvSt_Idle,SlvSt_B0I,SlvSt_B0,SlvSt_B1,SlvSt_B2,SlvSt_B3,SlvSt_B4,SlvSt_B5,SlvSt_B6,SlvSt_B6W);
signal SlvSMSt_Current : SlvSMSt_Type;
signal SlvSMSt_Next : SlvSMSt_Type;
-- SIF clear SM
signal SPIFClrSt_Current : std_logic;
signal SPIFClrSt_Next : std_logic;
-- WCOL clear SM
signal WCOLClrSt_Current : std_logic;
signal WCOLClrSt_Next : std_logic;
signal MSTR_Next : std_logic;
signal SPIF_Next : std_logic;
signal WCOL_Next : std_logic;
signal MstDSamp_Next : std_logic;
signal MstDSamp_Current : std_logic;
function Fn_RevBitVector(InVector : std_logic_vector) return std_logic_vector is
variable TmpVect : std_logic_vector(InVector'range);
begin
for i in TmpVect'range loop
TmpVect(i) := InVector(InVector'high-i);
end loop;
return TmpVect;
end Fn_RevBitVector;
begin
-- ******************** Resynchronizers ************************************
scki_resync_inst:component rsnc_bit generic map(
add_stgs_num => 0,
inv_f_stgs => 0
)
port map(
clk => cp2,
di => scki,
do => scki_resync
);
ss_b_resync_inst:component rsnc_bit generic map(
add_stgs_num => 0,
inv_f_stgs => 0
)
port map(
clk => cp2,
di => ss_b,
do => ss_b_resync
);
-- ******************** Resynchronizers ************************************
SeqPrc:process(ireset,cp2)
begin
if (ireset='0') then -- Reset
SPCR <= (others => '0');
SPIF <= '0';
WCOL <= '0';
SPI2X <= '0';
Div_Current <= (others => '0');
DivCntMsb_Current <= '0';
MstSMSt_Current <= MstSt_Idle;
SlvSMSt_Current <= SlvSt_Idle;
SPDR_Sh_Current <= (others => '1');
SPDR_Rc <= (others => '0');
sck_EdgeDetDFF <= '0';
SPIFClrSt_Current <= '0';
WCOLClrSt_Current <= '0';
scko <= '0';
scko_Current <= '0';
misoo <= '0';
mosio <= '0';
TmpIn_Current <= '0';
UpdRcDataRg_Current <= '0';
MstDSamp_Current <= '0';
elsif (cp2='1' and cp2'event) then -- Clock
SPIE <= SPIE_Next;
SPEB <= SPEB_Next;
DORD <= DORD_Next;
CPOL <= CPOL_Next;
CPHA <= CPHA_Next;
SPR <= SPR_Next;
MSTR <= MSTR_Next;
SPIF <= SPIF_Next;
SPI2X <= SPI2X_Next;
WCOL <= WCOL_Next;
Div_Current <= Div_Next;
DivCntMsb_Current <= DivCntMsb_Next;
MstSMSt_Current <= MstSMSt_Next;
SlvSMSt_Current <= SlvSMSt_Next;
SPDR_Sh_Current <= SPDR_Sh_Next;
SPDR_Rc <= SPDR_Rc_Next;
sck_EdgeDetDFF <= scki_resync;
SPIFClrSt_Current <= SPIFClrSt_Next;
WCOLClrSt_Current <= WCOLClrSt_Next;
scko_Current <= scko_Next;
scko <= scko_Next;
misoo <= SPDR_Sh_Next(SPDR_Sh_Next'high);
mosio <= SPDR_Sh_Next(SPDR_Sh_Next'high);
TmpIn_Current <= TmpIn_Next;
UpdRcDataRg_Current <= UpdRcDataRg_Next;
MstDSamp_Current <= MstDSamp_Next;
end if;
end process;
IORegWriteComb:process(adr,iowe,SPCR,SPSR,dbus_in)
begin
SPIE_Next <= SPIE;
SPEB_Next <= SPEB;
DORD_Next <= DORD;
CPOL_Next <= CPOL;
CPHA_Next <= CPHA;
SPR_Next <= SPR;
SPI2X_Next <= SPI2X;
if(fn_to_integer(adr)=SPCR_Address and iowe='1') then
SPIE_Next <= dbus_in(7);
SPEB_Next <= dbus_in(6);
DORD_Next <= dbus_in(5);
CPOL_Next <= dbus_in(3);
CPHA_Next <= dbus_in(2);
SPR_Next <= dbus_in(1 downto 0);
end if;
if(fn_to_integer(adr)=SPSR_Address and iowe='1') then
SPI2X_Next <= dbus_in(0);
end if;
end process;
SPSR(5 downto 1) <= (others => '0');
-- Divider
-- SPI2X | SPR1 | SPR0 | SCK Frequency
-- 0 | 0 | 0 | fosc /4 (2)
-- 0 | 0 | 1 | fosc /16 (8)
-- 0 | 1 | 0 | fosc /64 (32)
-- 0 | 1 | 1 | fosc /128 (64)
-- ------+------+------+-------------
-- 1 | 0 | 0 | fosc /2 (1)
-- 1 | 0 | 1 | fosc /8 (4)
-- 1 | 1 | 0 | fosc /32 (16)
-- 1 | 1 | 1 | fosc /64 (32)
DividerToggleComb:process(MstSMSt_Current,Div_Current,SPCR,SPSR)
begin
Div_Toggle <= '0';
if(MstSMSt_Current /= MstSt_Idle) then
if(SPI2X='1') then -- Extended mode
case SPR is
when "00" => if (Div_Current="000001") then Div_Toggle <= '1'; end if; -- fosc /2
when "01" => if (Div_Current="000011") then Div_Toggle <= '1'; end if; -- fosc /8
when "10" => if (Div_Current="001111") then Div_Toggle <= '1'; end if; -- fosc /32
when "11" => if (Div_Current="011111") then Div_Toggle <= '1'; end if; -- fosc /64
when others => Div_Toggle <= '0';
end case;
else -- Normal mode
case SPR is
when "00" => if (Div_Current="000001") then Div_Toggle <= '1'; end if; -- fosc /4
when "01" => if (Div_Current="000111") then Div_Toggle <= '1'; end if; -- fosc /16
when "10" => if (Div_Current="011111") then Div_Toggle <= '1'; end if; -- fosc /64
when "11" => if (Div_Current="111111") then Div_Toggle <= '1'; end if; -- fosc /128
when others => Div_Toggle <= '0';
end case;
end if;
end if;
end process;
DividerNextComb:process(MstSMSt_Current,Div_Current,DivCntMsb_Current,Div_Toggle)
begin
Div_Next <= Div_Current;
DivCntMsb_Next <= DivCntMsb_Current;
if(MstSMSt_Current /= MstSt_Idle) then
if(Div_Toggle='1') then
Div_Next <= (others => '0');
DivCntMsb_Next <= not DivCntMsb_Current;
else
Div_Next <= Div_Current + 1;
end if;
end if;
end process;
TrStart <= '1' when (fn_to_integer(adr)=SPDR_Address and iowe='1' and SPEB='1') else '0';
-- Transmitter Master Mode Shift Control SM
MstSmNextComb:process(MstSMSt_Current,DivCntMsb_Current,Div_Toggle,TrStart,SPCR)
begin
MstSMSt_Next <= MstSMSt_Current;
case MstSMSt_Current is
when MstSt_Idle =>
if(TrStart='1' and MSTR='1') then
MstSMSt_Next <= MstSt_B0;
end if;
when MstSt_B0 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B1;
end if;
when MstSt_B1 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B2;
end if;
when MstSt_B2 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B3;
end if;
when MstSt_B3 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B4;
end if;
when MstSt_B4 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B5;
end if;
when MstSt_B5 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B6;
end if;
when MstSt_B6 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B7;
end if;
when MstSt_B7 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_Idle;
end if;
when others => MstSMSt_Next <= MstSt_Idle;
end case;
end process;
SPIFClrCombProc:process(SPIFClrSt_Current,SPCR,SPSR,adr,iore,iowe)
begin
SPIFClrSt_Next <= SPIFClrSt_Current;
case SPIFClrSt_Current is
when '0' =>
if(fn_to_integer(adr)=SPSR_Address and iore='1' and SPIF='1' and SPEB='1') then
SPIFClrSt_Next <= '1';
end if;
when '1' =>
if(fn_to_integer(adr)=SPDR_Address and (iore='1' or iowe='1')) then
SPIFClrSt_Next <= '0';
end if;
when others => SPIFClrSt_Next <= SPIFClrSt_Current;
end case;
end process; --SPIFClrCombProc
WCOLClrCombProc:process(WCOLClrSt_Current,SPSR,adr,iore,iowe)
begin
WCOLClrSt_Next <= WCOLClrSt_Current;
case WCOLClrSt_Current is
when '0' =>
if(fn_to_integer(adr)=SPSR_Address and iore='1' and WCOL='1') then
WCOLClrSt_Next <= '1';
end if;
when '1' =>
if(fn_to_integer(adr)=SPDR_Address and (iore='1' or iowe='1')) then
WCOLClrSt_Next <= '0';
end if;
when others => WCOLClrSt_Next <= WCOLClrSt_Current;
end case;
end process; --WCOLClrCombProc
MstDataSamplingComb:process(SPCR,scko_Current,scko_Next,MstDSamp_Current,MstSMSt_Current)
begin
MstDSamp_Next <= '0';
case MstDSamp_Current is
when '0' =>
if(MstSMSt_Current/=MstSt_Idle) then
if(CPHA=CPOL) then
if(scko_Next='1' and scko_Current='0') then -- Rising edge
MstDSamp_Next <= '1';
end if;
else -- CPHA/=CPOL
if(scko_Next='0' and scko_Current='1') then -- Falling edge
MstDSamp_Next <= '1';
end if;
end if;
end if;
when '1' => MstDSamp_Next <= '0';
when others => MstDSamp_Next <= '0';
end case;
end process; -- MstDataSamplingComb
--
DRLatchComb:process(UpdRcDataRg_Current,MstSMSt_Current,MstSMSt_Next,SlvSMSt_Current,SlvSMSt_Next,SPCR)
begin
UpdRcDataRg_Next <= '0';
case UpdRcDataRg_Current is
when '0' =>
if((MSTR='1' and MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle)or
(MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMSt_Next=SlvSt_Idle)) then
UpdRcDataRg_Next <= '1';
end if;
when '1' => UpdRcDataRg_Next <= '0';
when others => UpdRcDataRg_Next <= '0';
end case;
end process;
TmpInComb:process(TmpIn_Current,mosii,misoi,MstDSamp_Current,SlvSampleSt,SPCR,ss_b_resync)
begin
TmpIn_Next <= TmpIn_Current;
if(MSTR='1' and MstDSamp_Current='1') then -- Master mode
TmpIn_Next <= misoi;
elsif(MSTR='0' and SlvSampleSt='1' and ss_b_resync='0') then -- Slave mode ???
TmpIn_Next <= mosii;
end if;
end process;
ShiftRgComb:process(MstSMSt_Current,SlvSMSt_Current,SPDR_Sh_Current,SPCR,DivCntMsb_Current,Div_Toggle,TrStart,dbus_in,ss_b_resync,TmpIn_Current,SlvSMChangeSt,SlvSampleSt,UpdRcDataRg_Current)
begin
SPDR_Sh_Next <= SPDR_Sh_Current;
if(TrStart='1' and (MstSMSt_Current=MstSt_Idle and SlvSMSt_Current = SlvSt_Idle and not(MSTR='0' and SlvSampleSt='1' and ss_b_resync='0') )) then -- Load
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Sh_Next <= Fn_RevBitVector(dbus_in);
else -- the MSB of the data word is transmitted first
SPDR_Sh_Next <= dbus_in;
end if;
elsif(MSTR='1' and UpdRcDataRg_Current='1') then -- ???
SPDR_Sh_Next(SPDR_Sh_Next'high) <= '1';
elsif((MSTR='1' and MstSMSt_Current/=MstSt_Idle and DivCntMsb_Current='1' and Div_Toggle='1') or
(MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMChangeSt='1' and ss_b_resync='0')) then
-- Shift
SPDR_Sh_Next <= SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto SPDR_Sh_Current'low)&TmpIn_Current;
end if;
end process; --ShiftRgComb
sckoGenComb:process(scko_Current,SPCR,adr,iowe,dbus_in,DivCntMsb_Next,DivCntMsb_Current,TrStart,MstSMSt_Current,MstSMSt_Next)
begin
scko_Next <= scko_Current;
if(fn_to_integer(adr)=SPCR_Address and iowe='1') then -- Write to SPCR
scko_Next <= dbus_in(3); -- CPOL
elsif(TrStart='1' and CPHA='1' and MstSMSt_Current=MstSt_Idle) then
scko_Next <= not CPOL;
elsif(MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle) then -- "Parking"
scko_Next <= CPOL;
elsif(MstSMSt_Current/=MstSt_Idle and DivCntMsb_Current/=DivCntMsb_Next) then
scko_Next <= not scko_Current;
end if;
end process;
-- Receiver data register
SPDRRcComb:process(SPDR_Rc,SPCR,SPDR_Sh_Current,UpdRcDataRg_Current,TmpIn_Current)
begin
SPDR_Rc_Next <= SPDR_Rc;
if(UpdRcDataRg_Current='1') then
if(MSTR='0' and CPHA='1') then
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Rc_Next <= Fn_RevBitVector(SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto 0)&TmpIn_Current);
else -- the MSB of the data word is transmitted first
SPDR_Rc_Next <= SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto 0)&TmpIn_Current;
end if;
else
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Rc_Next <= Fn_RevBitVector(SPDR_Sh_Current);
else -- the MSB of the data word is transmitted first
SPDR_Rc_Next <= SPDR_Sh_Current;
end if;
end if;
end if;
end process;
--****************************************************************************************
-- Slave
--****************************************************************************************
SlvSampleSt <= '1' when ((sck_EdgeDetDFF='0' and scki_resync='1' and CPOL=CPHA)or -- Rising edge
(sck_EdgeDetDFF='1' and scki_resync='0' and CPOL/=CPHA))else '0'; -- Falling edge
SlvSMChangeSt <= '1' when ((sck_EdgeDetDFF='1' and scki_resync='0' and CPOL=CPHA)or -- Falling edge
(sck_EdgeDetDFF='0' and scki_resync='1' and CPOL/=CPHA))else '0'; -- Rising edge
-- Slave Master Mode Shift Control SM
SlvSMNextComb:process(SlvSMSt_Current,SPCR,SlvSampleSt,SlvSMChangeSt,ss_b_resync)
begin
SlvSMSt_Next <= SlvSMSt_Current;
if(ss_b_resync='0') then
case SlvSMSt_Current is
when SlvSt_Idle =>
if(MSTR='0') then
if(CPHA='1') then
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B0;
end if;
else -- CPHA='0'
if(SlvSampleSt='1') then
SlvSMSt_Next <= SlvSt_B0I;
end if;
end if;
end if;
when SlvSt_B0I =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B0;
end if;
when SlvSt_B0 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B1;
end if;
when SlvSt_B1 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B2;
end if;
when SlvSt_B2 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B3;
end if;
when SlvSt_B3 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B4;
end if;
when SlvSt_B4 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B5;
end if;
when SlvSt_B5 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B6;
end if;
when SlvSt_B6 =>
if(SlvSMChangeSt='1') then
if(CPHA='0') then
SlvSMSt_Next <= SlvSt_Idle;
else -- CPHA='1'
SlvSMSt_Next <= SlvSt_B6W;
end if;
end if;
when SlvSt_B6W =>
if(SlvSampleSt='1')then
SlvSMSt_Next <= SlvSt_Idle;
end if;
when others => SlvSMSt_Next <= SlvSt_Idle;
end case;
end if;
end process;
MSTRGenComb:process(adr,iowe,dbus_in,ss_b_resync,SPCR)
begin
MSTR_Next <= MSTR;
case MSTR is
when '0' =>
if(fn_to_integer(adr)=SPCR_Address and iowe='1' and dbus_in(4)='1') then -- TBD (ss_b_resync='0')
MSTR_Next <= '1';
end if;
when '1' =>
if((fn_to_integer(adr)=SPCR_Address and iowe='1' and dbus_in(4)='0') or
(ss_b_resync='0')) then
MSTR_Next <= '0';
end if;
when others => MSTR_Next <= MSTR;
end case;
end process;
WCOLGenComb:process(WCOLClrSt_Current,SlvSMSt_Current,MstSMSt_Current,adr,iowe,iore,SPCR,SPSR,SlvSampleSt,ss_b_resync)
begin
WCOL_Next <= WCOL;
case WCOL is
when '0' =>
if(fn_to_integer(adr)=SPDR_Address and iowe='1' and
((MSTR='0' and (SlvSMSt_Current/=SlvSt_Idle or (SlvSampleSt='1' and ss_b_resync='0'))) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle))) then
WCOL_Next <= '1';
end if;
when '1' =>
if(((fn_to_integer(adr)=SPDR_Address and (iowe='1' or iore='1')) and WCOLClrSt_Current='1') and
not (fn_to_integer(adr)=SPDR_Address and iowe='1' and
((MSTR='0' and (SlvSMSt_Current/=SlvSt_Idle or (SlvSampleSt='1' and ss_b_resync='0'))) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle)))) then
WCOL_Next <= '0';
end if;
when others => WCOL_Next <= WCOL;
end case;
end process;
SPIFGenComb:process(SPIFClrSt_Current,adr,iowe,iore,SPCR,SPSR,SlvSMSt_Current,SlvSMSt_Next,MstSMSt_Current,MstSMSt_Next,spiack)
begin
SPIF_Next <= SPIF;
case SPIF is
when '0' =>
if((MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMSt_Next=SlvSt_Idle) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle))then
SPIF_Next <= '1';
end if;
when '1' =>
if((fn_to_integer(adr)=SPDR_Address and (iowe='1' or iore='1') and SPIFClrSt_Current='1') or spiack='1') then
SPIF_Next <= '0';
end if;
when others => SPIF_Next <= SPIF;
end case;
end process;
--*************************************************************************************
spimaster <= MSTR;
spe <= SPEB;
-- IRQ
spiirq <= SPIE and SPIF;
OutMuxComb:process(adr,iore,SPDR_Rc,SPSR,SPCR)
begin
case(fn_to_integer(adr)) is
when SPDR_Address => dbus_out <= SPDR_Rc; out_en <= iore;
when SPSR_Address => dbus_out <= SPSR; out_en <= iore;
when SPCR_Address => dbus_out <= SPCR; out_en <= iore;
when others => dbus_out <= (others => '0'); out_en <= '0';
end case;
end process; -- OutMuxComb
--
spidwrite <= '0';
spiload <= '0';
end RTL;
|
--**********************************************************************************************
-- SPI Peripheral for the AVR Core
-- Version 1.2
-- Modified 10.01.2007
-- Designed by Ruslan Lepetenok
-- Internal resynchronizers for scki and ss_b inputs were added
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use WORK.std_library.all;
use WORK.avr_adr_pack.all;
use WORK.rsnc_comp_pack.all;
entity spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end spi_mod;
architecture RTL of spi_mod is
-- Resynch
signal scki_resync : std_logic;
signal ss_b_resync : std_logic;
-- Registers
signal SPCR : std_logic_vector(7 downto 0);
alias SPIE : std_logic is SPCR(7);
alias SPEB : std_logic is SPCR(6); -- SPE in Atmel's doc
alias DORD : std_logic is SPCR(5);
alias MSTR : std_logic is SPCR(4);
alias CPOL : std_logic is SPCR(3);
alias CPHA : std_logic is SPCR(2);
alias SPR : std_logic_vector(1 downto 0) is SPCR(1 downto 0);
signal SPSR : std_logic_vector(7 downto 0);
alias SPIF : std_logic is SPSR(7);
alias WCOL : std_logic is SPSR(6);
alias SPI2X : std_logic is SPSR(0);
signal SPIE_Next : std_logic;
signal SPEB_Next : std_logic;
signal DORD_Next : std_logic;
signal CPOL_Next : std_logic;
signal CPHA_Next : std_logic;
signal SPR_Next : std_logic_vector(SPR'range);
signal SPI2X_Next : std_logic;
signal SPDR_Rc : std_logic_vector(7 downto 0);
signal SPDR_Rc_Next : std_logic_vector(7 downto 0);
signal SPDR_Sh_Current : std_logic_vector(7 downto 0);
signal SPDR_Sh_Next : std_logic_vector(7 downto 0);
signal Div_Next : std_logic_vector(5 downto 0);
signal Div_Current : std_logic_vector(5 downto 0);
signal Div_Toggle : std_logic;
signal DivCntMsb_Current : std_logic;
signal DivCntMsb_Next : std_logic;
type MstSMSt_Type is (MstSt_Idle,MstSt_B0,MstSt_B1,MstSt_B2,MstSt_B3,MstSt_B4,MstSt_B5,MstSt_B6,MstSt_B7);
signal MstSMSt_Current : MstSMSt_Type;
signal MstSMSt_Next : MstSMSt_Type;
signal TrStart : std_logic;
signal scko_Next : std_logic;
signal scko_Current : std_logic; --!!!
signal UpdRcDataRg_Current : std_logic;
signal UpdRcDataRg_Next : std_logic;
signal TmpIn_Current : std_logic;
signal TmpIn_Next : std_logic;
-- Slave
signal sck_EdgeDetDFF : std_logic;
signal SlvSampleSt : std_logic;
signal SlvSMChangeSt : std_logic;
type SlvSMSt_Type is (SlvSt_Idle,SlvSt_B0I,SlvSt_B0,SlvSt_B1,SlvSt_B2,SlvSt_B3,SlvSt_B4,SlvSt_B5,SlvSt_B6,SlvSt_B6W);
signal SlvSMSt_Current : SlvSMSt_Type;
signal SlvSMSt_Next : SlvSMSt_Type;
-- SIF clear SM
signal SPIFClrSt_Current : std_logic;
signal SPIFClrSt_Next : std_logic;
-- WCOL clear SM
signal WCOLClrSt_Current : std_logic;
signal WCOLClrSt_Next : std_logic;
signal MSTR_Next : std_logic;
signal SPIF_Next : std_logic;
signal WCOL_Next : std_logic;
signal MstDSamp_Next : std_logic;
signal MstDSamp_Current : std_logic;
function Fn_RevBitVector(InVector : std_logic_vector) return std_logic_vector is
variable TmpVect : std_logic_vector(InVector'range);
begin
for i in TmpVect'range loop
TmpVect(i) := InVector(InVector'high-i);
end loop;
return TmpVect;
end Fn_RevBitVector;
begin
-- ******************** Resynchronizers ************************************
scki_resync_inst:component rsnc_bit generic map(
add_stgs_num => 0,
inv_f_stgs => 0
)
port map(
clk => cp2,
di => scki,
do => scki_resync
);
ss_b_resync_inst:component rsnc_bit generic map(
add_stgs_num => 0,
inv_f_stgs => 0
)
port map(
clk => cp2,
di => ss_b,
do => ss_b_resync
);
-- ******************** Resynchronizers ************************************
SeqPrc:process(ireset,cp2)
begin
if (ireset='0') then -- Reset
SPCR <= (others => '0');
SPIF <= '0';
WCOL <= '0';
SPI2X <= '0';
Div_Current <= (others => '0');
DivCntMsb_Current <= '0';
MstSMSt_Current <= MstSt_Idle;
SlvSMSt_Current <= SlvSt_Idle;
SPDR_Sh_Current <= (others => '1');
SPDR_Rc <= (others => '0');
sck_EdgeDetDFF <= '0';
SPIFClrSt_Current <= '0';
WCOLClrSt_Current <= '0';
scko <= '0';
scko_Current <= '0';
misoo <= '0';
mosio <= '0';
TmpIn_Current <= '0';
UpdRcDataRg_Current <= '0';
MstDSamp_Current <= '0';
elsif (cp2='1' and cp2'event) then -- Clock
SPIE <= SPIE_Next;
SPEB <= SPEB_Next;
DORD <= DORD_Next;
CPOL <= CPOL_Next;
CPHA <= CPHA_Next;
SPR <= SPR_Next;
MSTR <= MSTR_Next;
SPIF <= SPIF_Next;
SPI2X <= SPI2X_Next;
WCOL <= WCOL_Next;
Div_Current <= Div_Next;
DivCntMsb_Current <= DivCntMsb_Next;
MstSMSt_Current <= MstSMSt_Next;
SlvSMSt_Current <= SlvSMSt_Next;
SPDR_Sh_Current <= SPDR_Sh_Next;
SPDR_Rc <= SPDR_Rc_Next;
sck_EdgeDetDFF <= scki_resync;
SPIFClrSt_Current <= SPIFClrSt_Next;
WCOLClrSt_Current <= WCOLClrSt_Next;
scko_Current <= scko_Next;
scko <= scko_Next;
misoo <= SPDR_Sh_Next(SPDR_Sh_Next'high);
mosio <= SPDR_Sh_Next(SPDR_Sh_Next'high);
TmpIn_Current <= TmpIn_Next;
UpdRcDataRg_Current <= UpdRcDataRg_Next;
MstDSamp_Current <= MstDSamp_Next;
end if;
end process;
IORegWriteComb:process(adr,iowe,SPCR,SPSR,dbus_in)
begin
SPIE_Next <= SPIE;
SPEB_Next <= SPEB;
DORD_Next <= DORD;
CPOL_Next <= CPOL;
CPHA_Next <= CPHA;
SPR_Next <= SPR;
SPI2X_Next <= SPI2X;
if(fn_to_integer(adr)=SPCR_Address and iowe='1') then
SPIE_Next <= dbus_in(7);
SPEB_Next <= dbus_in(6);
DORD_Next <= dbus_in(5);
CPOL_Next <= dbus_in(3);
CPHA_Next <= dbus_in(2);
SPR_Next <= dbus_in(1 downto 0);
end if;
if(fn_to_integer(adr)=SPSR_Address and iowe='1') then
SPI2X_Next <= dbus_in(0);
end if;
end process;
SPSR(5 downto 1) <= (others => '0');
-- Divider
-- SPI2X | SPR1 | SPR0 | SCK Frequency
-- 0 | 0 | 0 | fosc /4 (2)
-- 0 | 0 | 1 | fosc /16 (8)
-- 0 | 1 | 0 | fosc /64 (32)
-- 0 | 1 | 1 | fosc /128 (64)
-- ------+------+------+-------------
-- 1 | 0 | 0 | fosc /2 (1)
-- 1 | 0 | 1 | fosc /8 (4)
-- 1 | 1 | 0 | fosc /32 (16)
-- 1 | 1 | 1 | fosc /64 (32)
DividerToggleComb:process(MstSMSt_Current,Div_Current,SPCR,SPSR)
begin
Div_Toggle <= '0';
if(MstSMSt_Current /= MstSt_Idle) then
if(SPI2X='1') then -- Extended mode
case SPR is
when "00" => if (Div_Current="000001") then Div_Toggle <= '1'; end if; -- fosc /2
when "01" => if (Div_Current="000011") then Div_Toggle <= '1'; end if; -- fosc /8
when "10" => if (Div_Current="001111") then Div_Toggle <= '1'; end if; -- fosc /32
when "11" => if (Div_Current="011111") then Div_Toggle <= '1'; end if; -- fosc /64
when others => Div_Toggle <= '0';
end case;
else -- Normal mode
case SPR is
when "00" => if (Div_Current="000001") then Div_Toggle <= '1'; end if; -- fosc /4
when "01" => if (Div_Current="000111") then Div_Toggle <= '1'; end if; -- fosc /16
when "10" => if (Div_Current="011111") then Div_Toggle <= '1'; end if; -- fosc /64
when "11" => if (Div_Current="111111") then Div_Toggle <= '1'; end if; -- fosc /128
when others => Div_Toggle <= '0';
end case;
end if;
end if;
end process;
DividerNextComb:process(MstSMSt_Current,Div_Current,DivCntMsb_Current,Div_Toggle)
begin
Div_Next <= Div_Current;
DivCntMsb_Next <= DivCntMsb_Current;
if(MstSMSt_Current /= MstSt_Idle) then
if(Div_Toggle='1') then
Div_Next <= (others => '0');
DivCntMsb_Next <= not DivCntMsb_Current;
else
Div_Next <= Div_Current + 1;
end if;
end if;
end process;
TrStart <= '1' when (fn_to_integer(adr)=SPDR_Address and iowe='1' and SPEB='1') else '0';
-- Transmitter Master Mode Shift Control SM
MstSmNextComb:process(MstSMSt_Current,DivCntMsb_Current,Div_Toggle,TrStart,SPCR)
begin
MstSMSt_Next <= MstSMSt_Current;
case MstSMSt_Current is
when MstSt_Idle =>
if(TrStart='1' and MSTR='1') then
MstSMSt_Next <= MstSt_B0;
end if;
when MstSt_B0 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B1;
end if;
when MstSt_B1 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B2;
end if;
when MstSt_B2 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B3;
end if;
when MstSt_B3 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B4;
end if;
when MstSt_B4 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B5;
end if;
when MstSt_B5 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B6;
end if;
when MstSt_B6 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B7;
end if;
when MstSt_B7 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_Idle;
end if;
when others => MstSMSt_Next <= MstSt_Idle;
end case;
end process;
SPIFClrCombProc:process(SPIFClrSt_Current,SPCR,SPSR,adr,iore,iowe)
begin
SPIFClrSt_Next <= SPIFClrSt_Current;
case SPIFClrSt_Current is
when '0' =>
if(fn_to_integer(adr)=SPSR_Address and iore='1' and SPIF='1' and SPEB='1') then
SPIFClrSt_Next <= '1';
end if;
when '1' =>
if(fn_to_integer(adr)=SPDR_Address and (iore='1' or iowe='1')) then
SPIFClrSt_Next <= '0';
end if;
when others => SPIFClrSt_Next <= SPIFClrSt_Current;
end case;
end process; --SPIFClrCombProc
WCOLClrCombProc:process(WCOLClrSt_Current,SPSR,adr,iore,iowe)
begin
WCOLClrSt_Next <= WCOLClrSt_Current;
case WCOLClrSt_Current is
when '0' =>
if(fn_to_integer(adr)=SPSR_Address and iore='1' and WCOL='1') then
WCOLClrSt_Next <= '1';
end if;
when '1' =>
if(fn_to_integer(adr)=SPDR_Address and (iore='1' or iowe='1')) then
WCOLClrSt_Next <= '0';
end if;
when others => WCOLClrSt_Next <= WCOLClrSt_Current;
end case;
end process; --WCOLClrCombProc
MstDataSamplingComb:process(SPCR,scko_Current,scko_Next,MstDSamp_Current,MstSMSt_Current)
begin
MstDSamp_Next <= '0';
case MstDSamp_Current is
when '0' =>
if(MstSMSt_Current/=MstSt_Idle) then
if(CPHA=CPOL) then
if(scko_Next='1' and scko_Current='0') then -- Rising edge
MstDSamp_Next <= '1';
end if;
else -- CPHA/=CPOL
if(scko_Next='0' and scko_Current='1') then -- Falling edge
MstDSamp_Next <= '1';
end if;
end if;
end if;
when '1' => MstDSamp_Next <= '0';
when others => MstDSamp_Next <= '0';
end case;
end process; -- MstDataSamplingComb
--
DRLatchComb:process(UpdRcDataRg_Current,MstSMSt_Current,MstSMSt_Next,SlvSMSt_Current,SlvSMSt_Next,SPCR)
begin
UpdRcDataRg_Next <= '0';
case UpdRcDataRg_Current is
when '0' =>
if((MSTR='1' and MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle)or
(MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMSt_Next=SlvSt_Idle)) then
UpdRcDataRg_Next <= '1';
end if;
when '1' => UpdRcDataRg_Next <= '0';
when others => UpdRcDataRg_Next <= '0';
end case;
end process;
TmpInComb:process(TmpIn_Current,mosii,misoi,MstDSamp_Current,SlvSampleSt,SPCR,ss_b_resync)
begin
TmpIn_Next <= TmpIn_Current;
if(MSTR='1' and MstDSamp_Current='1') then -- Master mode
TmpIn_Next <= misoi;
elsif(MSTR='0' and SlvSampleSt='1' and ss_b_resync='0') then -- Slave mode ???
TmpIn_Next <= mosii;
end if;
end process;
ShiftRgComb:process(MstSMSt_Current,SlvSMSt_Current,SPDR_Sh_Current,SPCR,DivCntMsb_Current,Div_Toggle,TrStart,dbus_in,ss_b_resync,TmpIn_Current,SlvSMChangeSt,SlvSampleSt,UpdRcDataRg_Current)
begin
SPDR_Sh_Next <= SPDR_Sh_Current;
if(TrStart='1' and (MstSMSt_Current=MstSt_Idle and SlvSMSt_Current = SlvSt_Idle and not(MSTR='0' and SlvSampleSt='1' and ss_b_resync='0') )) then -- Load
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Sh_Next <= Fn_RevBitVector(dbus_in);
else -- the MSB of the data word is transmitted first
SPDR_Sh_Next <= dbus_in;
end if;
elsif(MSTR='1' and UpdRcDataRg_Current='1') then -- ???
SPDR_Sh_Next(SPDR_Sh_Next'high) <= '1';
elsif((MSTR='1' and MstSMSt_Current/=MstSt_Idle and DivCntMsb_Current='1' and Div_Toggle='1') or
(MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMChangeSt='1' and ss_b_resync='0')) then
-- Shift
SPDR_Sh_Next <= SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto SPDR_Sh_Current'low)&TmpIn_Current;
end if;
end process; --ShiftRgComb
sckoGenComb:process(scko_Current,SPCR,adr,iowe,dbus_in,DivCntMsb_Next,DivCntMsb_Current,TrStart,MstSMSt_Current,MstSMSt_Next)
begin
scko_Next <= scko_Current;
if(fn_to_integer(adr)=SPCR_Address and iowe='1') then -- Write to SPCR
scko_Next <= dbus_in(3); -- CPOL
elsif(TrStart='1' and CPHA='1' and MstSMSt_Current=MstSt_Idle) then
scko_Next <= not CPOL;
elsif(MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle) then -- "Parking"
scko_Next <= CPOL;
elsif(MstSMSt_Current/=MstSt_Idle and DivCntMsb_Current/=DivCntMsb_Next) then
scko_Next <= not scko_Current;
end if;
end process;
-- Receiver data register
SPDRRcComb:process(SPDR_Rc,SPCR,SPDR_Sh_Current,UpdRcDataRg_Current,TmpIn_Current)
begin
SPDR_Rc_Next <= SPDR_Rc;
if(UpdRcDataRg_Current='1') then
if(MSTR='0' and CPHA='1') then
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Rc_Next <= Fn_RevBitVector(SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto 0)&TmpIn_Current);
else -- the MSB of the data word is transmitted first
SPDR_Rc_Next <= SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto 0)&TmpIn_Current;
end if;
else
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Rc_Next <= Fn_RevBitVector(SPDR_Sh_Current);
else -- the MSB of the data word is transmitted first
SPDR_Rc_Next <= SPDR_Sh_Current;
end if;
end if;
end if;
end process;
--****************************************************************************************
-- Slave
--****************************************************************************************
SlvSampleSt <= '1' when ((sck_EdgeDetDFF='0' and scki_resync='1' and CPOL=CPHA)or -- Rising edge
(sck_EdgeDetDFF='1' and scki_resync='0' and CPOL/=CPHA))else '0'; -- Falling edge
SlvSMChangeSt <= '1' when ((sck_EdgeDetDFF='1' and scki_resync='0' and CPOL=CPHA)or -- Falling edge
(sck_EdgeDetDFF='0' and scki_resync='1' and CPOL/=CPHA))else '0'; -- Rising edge
-- Slave Master Mode Shift Control SM
SlvSMNextComb:process(SlvSMSt_Current,SPCR,SlvSampleSt,SlvSMChangeSt,ss_b_resync)
begin
SlvSMSt_Next <= SlvSMSt_Current;
if(ss_b_resync='0') then
case SlvSMSt_Current is
when SlvSt_Idle =>
if(MSTR='0') then
if(CPHA='1') then
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B0;
end if;
else -- CPHA='0'
if(SlvSampleSt='1') then
SlvSMSt_Next <= SlvSt_B0I;
end if;
end if;
end if;
when SlvSt_B0I =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B0;
end if;
when SlvSt_B0 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B1;
end if;
when SlvSt_B1 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B2;
end if;
when SlvSt_B2 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B3;
end if;
when SlvSt_B3 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B4;
end if;
when SlvSt_B4 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B5;
end if;
when SlvSt_B5 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B6;
end if;
when SlvSt_B6 =>
if(SlvSMChangeSt='1') then
if(CPHA='0') then
SlvSMSt_Next <= SlvSt_Idle;
else -- CPHA='1'
SlvSMSt_Next <= SlvSt_B6W;
end if;
end if;
when SlvSt_B6W =>
if(SlvSampleSt='1')then
SlvSMSt_Next <= SlvSt_Idle;
end if;
when others => SlvSMSt_Next <= SlvSt_Idle;
end case;
end if;
end process;
MSTRGenComb:process(adr,iowe,dbus_in,ss_b_resync,SPCR)
begin
MSTR_Next <= MSTR;
case MSTR is
when '0' =>
if(fn_to_integer(adr)=SPCR_Address and iowe='1' and dbus_in(4)='1') then -- TBD (ss_b_resync='0')
MSTR_Next <= '1';
end if;
when '1' =>
if((fn_to_integer(adr)=SPCR_Address and iowe='1' and dbus_in(4)='0') or
(ss_b_resync='0')) then
MSTR_Next <= '0';
end if;
when others => MSTR_Next <= MSTR;
end case;
end process;
WCOLGenComb:process(WCOLClrSt_Current,SlvSMSt_Current,MstSMSt_Current,adr,iowe,iore,SPCR,SPSR,SlvSampleSt,ss_b_resync)
begin
WCOL_Next <= WCOL;
case WCOL is
when '0' =>
if(fn_to_integer(adr)=SPDR_Address and iowe='1' and
((MSTR='0' and (SlvSMSt_Current/=SlvSt_Idle or (SlvSampleSt='1' and ss_b_resync='0'))) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle))) then
WCOL_Next <= '1';
end if;
when '1' =>
if(((fn_to_integer(adr)=SPDR_Address and (iowe='1' or iore='1')) and WCOLClrSt_Current='1') and
not (fn_to_integer(adr)=SPDR_Address and iowe='1' and
((MSTR='0' and (SlvSMSt_Current/=SlvSt_Idle or (SlvSampleSt='1' and ss_b_resync='0'))) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle)))) then
WCOL_Next <= '0';
end if;
when others => WCOL_Next <= WCOL;
end case;
end process;
SPIFGenComb:process(SPIFClrSt_Current,adr,iowe,iore,SPCR,SPSR,SlvSMSt_Current,SlvSMSt_Next,MstSMSt_Current,MstSMSt_Next,spiack)
begin
SPIF_Next <= SPIF;
case SPIF is
when '0' =>
if((MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMSt_Next=SlvSt_Idle) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle))then
SPIF_Next <= '1';
end if;
when '1' =>
if((fn_to_integer(adr)=SPDR_Address and (iowe='1' or iore='1') and SPIFClrSt_Current='1') or spiack='1') then
SPIF_Next <= '0';
end if;
when others => SPIF_Next <= SPIF;
end case;
end process;
--*************************************************************************************
spimaster <= MSTR;
spe <= SPEB;
-- IRQ
spiirq <= SPIE and SPIF;
OutMuxComb:process(adr,iore,SPDR_Rc,SPSR,SPCR)
begin
case(fn_to_integer(adr)) is
when SPDR_Address => dbus_out <= SPDR_Rc; out_en <= iore;
when SPSR_Address => dbus_out <= SPSR; out_en <= iore;
when SPCR_Address => dbus_out <= SPCR; out_en <= iore;
when others => dbus_out <= (others => '0'); out_en <= '0';
end case;
end process; -- OutMuxComb
--
spidwrite <= '0';
spiload <= '0';
end RTL;
|
--**********************************************************************************************
-- SPI Peripheral for the AVR Core
-- Version 1.2
-- Modified 10.01.2007
-- Designed by Ruslan Lepetenok
-- Internal resynchronizers for scki and ss_b inputs were added
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use WORK.std_library.all;
use WORK.avr_adr_pack.all;
use WORK.rsnc_comp_pack.all;
entity spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end spi_mod;
architecture RTL of spi_mod is
-- Resynch
signal scki_resync : std_logic;
signal ss_b_resync : std_logic;
-- Registers
signal SPCR : std_logic_vector(7 downto 0);
alias SPIE : std_logic is SPCR(7);
alias SPEB : std_logic is SPCR(6); -- SPE in Atmel's doc
alias DORD : std_logic is SPCR(5);
alias MSTR : std_logic is SPCR(4);
alias CPOL : std_logic is SPCR(3);
alias CPHA : std_logic is SPCR(2);
alias SPR : std_logic_vector(1 downto 0) is SPCR(1 downto 0);
signal SPSR : std_logic_vector(7 downto 0);
alias SPIF : std_logic is SPSR(7);
alias WCOL : std_logic is SPSR(6);
alias SPI2X : std_logic is SPSR(0);
signal SPIE_Next : std_logic;
signal SPEB_Next : std_logic;
signal DORD_Next : std_logic;
signal CPOL_Next : std_logic;
signal CPHA_Next : std_logic;
signal SPR_Next : std_logic_vector(SPR'range);
signal SPI2X_Next : std_logic;
signal SPDR_Rc : std_logic_vector(7 downto 0);
signal SPDR_Rc_Next : std_logic_vector(7 downto 0);
signal SPDR_Sh_Current : std_logic_vector(7 downto 0);
signal SPDR_Sh_Next : std_logic_vector(7 downto 0);
signal Div_Next : std_logic_vector(5 downto 0);
signal Div_Current : std_logic_vector(5 downto 0);
signal Div_Toggle : std_logic;
signal DivCntMsb_Current : std_logic;
signal DivCntMsb_Next : std_logic;
type MstSMSt_Type is (MstSt_Idle,MstSt_B0,MstSt_B1,MstSt_B2,MstSt_B3,MstSt_B4,MstSt_B5,MstSt_B6,MstSt_B7);
signal MstSMSt_Current : MstSMSt_Type;
signal MstSMSt_Next : MstSMSt_Type;
signal TrStart : std_logic;
signal scko_Next : std_logic;
signal scko_Current : std_logic; --!!!
signal UpdRcDataRg_Current : std_logic;
signal UpdRcDataRg_Next : std_logic;
signal TmpIn_Current : std_logic;
signal TmpIn_Next : std_logic;
-- Slave
signal sck_EdgeDetDFF : std_logic;
signal SlvSampleSt : std_logic;
signal SlvSMChangeSt : std_logic;
type SlvSMSt_Type is (SlvSt_Idle,SlvSt_B0I,SlvSt_B0,SlvSt_B1,SlvSt_B2,SlvSt_B3,SlvSt_B4,SlvSt_B5,SlvSt_B6,SlvSt_B6W);
signal SlvSMSt_Current : SlvSMSt_Type;
signal SlvSMSt_Next : SlvSMSt_Type;
-- SIF clear SM
signal SPIFClrSt_Current : std_logic;
signal SPIFClrSt_Next : std_logic;
-- WCOL clear SM
signal WCOLClrSt_Current : std_logic;
signal WCOLClrSt_Next : std_logic;
signal MSTR_Next : std_logic;
signal SPIF_Next : std_logic;
signal WCOL_Next : std_logic;
signal MstDSamp_Next : std_logic;
signal MstDSamp_Current : std_logic;
function Fn_RevBitVector(InVector : std_logic_vector) return std_logic_vector is
variable TmpVect : std_logic_vector(InVector'range);
begin
for i in TmpVect'range loop
TmpVect(i) := InVector(InVector'high-i);
end loop;
return TmpVect;
end Fn_RevBitVector;
begin
-- ******************** Resynchronizers ************************************
scki_resync_inst:component rsnc_bit generic map(
add_stgs_num => 0,
inv_f_stgs => 0
)
port map(
clk => cp2,
di => scki,
do => scki_resync
);
ss_b_resync_inst:component rsnc_bit generic map(
add_stgs_num => 0,
inv_f_stgs => 0
)
port map(
clk => cp2,
di => ss_b,
do => ss_b_resync
);
-- ******************** Resynchronizers ************************************
SeqPrc:process(ireset,cp2)
begin
if (ireset='0') then -- Reset
SPCR <= (others => '0');
SPIF <= '0';
WCOL <= '0';
SPI2X <= '0';
Div_Current <= (others => '0');
DivCntMsb_Current <= '0';
MstSMSt_Current <= MstSt_Idle;
SlvSMSt_Current <= SlvSt_Idle;
SPDR_Sh_Current <= (others => '1');
SPDR_Rc <= (others => '0');
sck_EdgeDetDFF <= '0';
SPIFClrSt_Current <= '0';
WCOLClrSt_Current <= '0';
scko <= '0';
scko_Current <= '0';
misoo <= '0';
mosio <= '0';
TmpIn_Current <= '0';
UpdRcDataRg_Current <= '0';
MstDSamp_Current <= '0';
elsif (cp2='1' and cp2'event) then -- Clock
SPIE <= SPIE_Next;
SPEB <= SPEB_Next;
DORD <= DORD_Next;
CPOL <= CPOL_Next;
CPHA <= CPHA_Next;
SPR <= SPR_Next;
MSTR <= MSTR_Next;
SPIF <= SPIF_Next;
SPI2X <= SPI2X_Next;
WCOL <= WCOL_Next;
Div_Current <= Div_Next;
DivCntMsb_Current <= DivCntMsb_Next;
MstSMSt_Current <= MstSMSt_Next;
SlvSMSt_Current <= SlvSMSt_Next;
SPDR_Sh_Current <= SPDR_Sh_Next;
SPDR_Rc <= SPDR_Rc_Next;
sck_EdgeDetDFF <= scki_resync;
SPIFClrSt_Current <= SPIFClrSt_Next;
WCOLClrSt_Current <= WCOLClrSt_Next;
scko_Current <= scko_Next;
scko <= scko_Next;
misoo <= SPDR_Sh_Next(SPDR_Sh_Next'high);
mosio <= SPDR_Sh_Next(SPDR_Sh_Next'high);
TmpIn_Current <= TmpIn_Next;
UpdRcDataRg_Current <= UpdRcDataRg_Next;
MstDSamp_Current <= MstDSamp_Next;
end if;
end process;
IORegWriteComb:process(adr,iowe,SPCR,SPSR,dbus_in)
begin
SPIE_Next <= SPIE;
SPEB_Next <= SPEB;
DORD_Next <= DORD;
CPOL_Next <= CPOL;
CPHA_Next <= CPHA;
SPR_Next <= SPR;
SPI2X_Next <= SPI2X;
if(fn_to_integer(adr)=SPCR_Address and iowe='1') then
SPIE_Next <= dbus_in(7);
SPEB_Next <= dbus_in(6);
DORD_Next <= dbus_in(5);
CPOL_Next <= dbus_in(3);
CPHA_Next <= dbus_in(2);
SPR_Next <= dbus_in(1 downto 0);
end if;
if(fn_to_integer(adr)=SPSR_Address and iowe='1') then
SPI2X_Next <= dbus_in(0);
end if;
end process;
SPSR(5 downto 1) <= (others => '0');
-- Divider
-- SPI2X | SPR1 | SPR0 | SCK Frequency
-- 0 | 0 | 0 | fosc /4 (2)
-- 0 | 0 | 1 | fosc /16 (8)
-- 0 | 1 | 0 | fosc /64 (32)
-- 0 | 1 | 1 | fosc /128 (64)
-- ------+------+------+-------------
-- 1 | 0 | 0 | fosc /2 (1)
-- 1 | 0 | 1 | fosc /8 (4)
-- 1 | 1 | 0 | fosc /32 (16)
-- 1 | 1 | 1 | fosc /64 (32)
DividerToggleComb:process(MstSMSt_Current,Div_Current,SPCR,SPSR)
begin
Div_Toggle <= '0';
if(MstSMSt_Current /= MstSt_Idle) then
if(SPI2X='1') then -- Extended mode
case SPR is
when "00" => if (Div_Current="000001") then Div_Toggle <= '1'; end if; -- fosc /2
when "01" => if (Div_Current="000011") then Div_Toggle <= '1'; end if; -- fosc /8
when "10" => if (Div_Current="001111") then Div_Toggle <= '1'; end if; -- fosc /32
when "11" => if (Div_Current="011111") then Div_Toggle <= '1'; end if; -- fosc /64
when others => Div_Toggle <= '0';
end case;
else -- Normal mode
case SPR is
when "00" => if (Div_Current="000001") then Div_Toggle <= '1'; end if; -- fosc /4
when "01" => if (Div_Current="000111") then Div_Toggle <= '1'; end if; -- fosc /16
when "10" => if (Div_Current="011111") then Div_Toggle <= '1'; end if; -- fosc /64
when "11" => if (Div_Current="111111") then Div_Toggle <= '1'; end if; -- fosc /128
when others => Div_Toggle <= '0';
end case;
end if;
end if;
end process;
DividerNextComb:process(MstSMSt_Current,Div_Current,DivCntMsb_Current,Div_Toggle)
begin
Div_Next <= Div_Current;
DivCntMsb_Next <= DivCntMsb_Current;
if(MstSMSt_Current /= MstSt_Idle) then
if(Div_Toggle='1') then
Div_Next <= (others => '0');
DivCntMsb_Next <= not DivCntMsb_Current;
else
Div_Next <= Div_Current + 1;
end if;
end if;
end process;
TrStart <= '1' when (fn_to_integer(adr)=SPDR_Address and iowe='1' and SPEB='1') else '0';
-- Transmitter Master Mode Shift Control SM
MstSmNextComb:process(MstSMSt_Current,DivCntMsb_Current,Div_Toggle,TrStart,SPCR)
begin
MstSMSt_Next <= MstSMSt_Current;
case MstSMSt_Current is
when MstSt_Idle =>
if(TrStart='1' and MSTR='1') then
MstSMSt_Next <= MstSt_B0;
end if;
when MstSt_B0 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B1;
end if;
when MstSt_B1 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B2;
end if;
when MstSt_B2 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B3;
end if;
when MstSt_B3 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B4;
end if;
when MstSt_B4 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B5;
end if;
when MstSt_B5 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B6;
end if;
when MstSt_B6 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B7;
end if;
when MstSt_B7 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_Idle;
end if;
when others => MstSMSt_Next <= MstSt_Idle;
end case;
end process;
SPIFClrCombProc:process(SPIFClrSt_Current,SPCR,SPSR,adr,iore,iowe)
begin
SPIFClrSt_Next <= SPIFClrSt_Current;
case SPIFClrSt_Current is
when '0' =>
if(fn_to_integer(adr)=SPSR_Address and iore='1' and SPIF='1' and SPEB='1') then
SPIFClrSt_Next <= '1';
end if;
when '1' =>
if(fn_to_integer(adr)=SPDR_Address and (iore='1' or iowe='1')) then
SPIFClrSt_Next <= '0';
end if;
when others => SPIFClrSt_Next <= SPIFClrSt_Current;
end case;
end process; --SPIFClrCombProc
WCOLClrCombProc:process(WCOLClrSt_Current,SPSR,adr,iore,iowe)
begin
WCOLClrSt_Next <= WCOLClrSt_Current;
case WCOLClrSt_Current is
when '0' =>
if(fn_to_integer(adr)=SPSR_Address and iore='1' and WCOL='1') then
WCOLClrSt_Next <= '1';
end if;
when '1' =>
if(fn_to_integer(adr)=SPDR_Address and (iore='1' or iowe='1')) then
WCOLClrSt_Next <= '0';
end if;
when others => WCOLClrSt_Next <= WCOLClrSt_Current;
end case;
end process; --WCOLClrCombProc
MstDataSamplingComb:process(SPCR,scko_Current,scko_Next,MstDSamp_Current,MstSMSt_Current)
begin
MstDSamp_Next <= '0';
case MstDSamp_Current is
when '0' =>
if(MstSMSt_Current/=MstSt_Idle) then
if(CPHA=CPOL) then
if(scko_Next='1' and scko_Current='0') then -- Rising edge
MstDSamp_Next <= '1';
end if;
else -- CPHA/=CPOL
if(scko_Next='0' and scko_Current='1') then -- Falling edge
MstDSamp_Next <= '1';
end if;
end if;
end if;
when '1' => MstDSamp_Next <= '0';
when others => MstDSamp_Next <= '0';
end case;
end process; -- MstDataSamplingComb
--
DRLatchComb:process(UpdRcDataRg_Current,MstSMSt_Current,MstSMSt_Next,SlvSMSt_Current,SlvSMSt_Next,SPCR)
begin
UpdRcDataRg_Next <= '0';
case UpdRcDataRg_Current is
when '0' =>
if((MSTR='1' and MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle)or
(MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMSt_Next=SlvSt_Idle)) then
UpdRcDataRg_Next <= '1';
end if;
when '1' => UpdRcDataRg_Next <= '0';
when others => UpdRcDataRg_Next <= '0';
end case;
end process;
TmpInComb:process(TmpIn_Current,mosii,misoi,MstDSamp_Current,SlvSampleSt,SPCR,ss_b_resync)
begin
TmpIn_Next <= TmpIn_Current;
if(MSTR='1' and MstDSamp_Current='1') then -- Master mode
TmpIn_Next <= misoi;
elsif(MSTR='0' and SlvSampleSt='1' and ss_b_resync='0') then -- Slave mode ???
TmpIn_Next <= mosii;
end if;
end process;
ShiftRgComb:process(MstSMSt_Current,SlvSMSt_Current,SPDR_Sh_Current,SPCR,DivCntMsb_Current,Div_Toggle,TrStart,dbus_in,ss_b_resync,TmpIn_Current,SlvSMChangeSt,SlvSampleSt,UpdRcDataRg_Current)
begin
SPDR_Sh_Next <= SPDR_Sh_Current;
if(TrStart='1' and (MstSMSt_Current=MstSt_Idle and SlvSMSt_Current = SlvSt_Idle and not(MSTR='0' and SlvSampleSt='1' and ss_b_resync='0') )) then -- Load
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Sh_Next <= Fn_RevBitVector(dbus_in);
else -- the MSB of the data word is transmitted first
SPDR_Sh_Next <= dbus_in;
end if;
elsif(MSTR='1' and UpdRcDataRg_Current='1') then -- ???
SPDR_Sh_Next(SPDR_Sh_Next'high) <= '1';
elsif((MSTR='1' and MstSMSt_Current/=MstSt_Idle and DivCntMsb_Current='1' and Div_Toggle='1') or
(MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMChangeSt='1' and ss_b_resync='0')) then
-- Shift
SPDR_Sh_Next <= SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto SPDR_Sh_Current'low)&TmpIn_Current;
end if;
end process; --ShiftRgComb
sckoGenComb:process(scko_Current,SPCR,adr,iowe,dbus_in,DivCntMsb_Next,DivCntMsb_Current,TrStart,MstSMSt_Current,MstSMSt_Next)
begin
scko_Next <= scko_Current;
if(fn_to_integer(adr)=SPCR_Address and iowe='1') then -- Write to SPCR
scko_Next <= dbus_in(3); -- CPOL
elsif(TrStart='1' and CPHA='1' and MstSMSt_Current=MstSt_Idle) then
scko_Next <= not CPOL;
elsif(MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle) then -- "Parking"
scko_Next <= CPOL;
elsif(MstSMSt_Current/=MstSt_Idle and DivCntMsb_Current/=DivCntMsb_Next) then
scko_Next <= not scko_Current;
end if;
end process;
-- Receiver data register
SPDRRcComb:process(SPDR_Rc,SPCR,SPDR_Sh_Current,UpdRcDataRg_Current,TmpIn_Current)
begin
SPDR_Rc_Next <= SPDR_Rc;
if(UpdRcDataRg_Current='1') then
if(MSTR='0' and CPHA='1') then
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Rc_Next <= Fn_RevBitVector(SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto 0)&TmpIn_Current);
else -- the MSB of the data word is transmitted first
SPDR_Rc_Next <= SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto 0)&TmpIn_Current;
end if;
else
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Rc_Next <= Fn_RevBitVector(SPDR_Sh_Current);
else -- the MSB of the data word is transmitted first
SPDR_Rc_Next <= SPDR_Sh_Current;
end if;
end if;
end if;
end process;
--****************************************************************************************
-- Slave
--****************************************************************************************
SlvSampleSt <= '1' when ((sck_EdgeDetDFF='0' and scki_resync='1' and CPOL=CPHA)or -- Rising edge
(sck_EdgeDetDFF='1' and scki_resync='0' and CPOL/=CPHA))else '0'; -- Falling edge
SlvSMChangeSt <= '1' when ((sck_EdgeDetDFF='1' and scki_resync='0' and CPOL=CPHA)or -- Falling edge
(sck_EdgeDetDFF='0' and scki_resync='1' and CPOL/=CPHA))else '0'; -- Rising edge
-- Slave Master Mode Shift Control SM
SlvSMNextComb:process(SlvSMSt_Current,SPCR,SlvSampleSt,SlvSMChangeSt,ss_b_resync)
begin
SlvSMSt_Next <= SlvSMSt_Current;
if(ss_b_resync='0') then
case SlvSMSt_Current is
when SlvSt_Idle =>
if(MSTR='0') then
if(CPHA='1') then
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B0;
end if;
else -- CPHA='0'
if(SlvSampleSt='1') then
SlvSMSt_Next <= SlvSt_B0I;
end if;
end if;
end if;
when SlvSt_B0I =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B0;
end if;
when SlvSt_B0 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B1;
end if;
when SlvSt_B1 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B2;
end if;
when SlvSt_B2 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B3;
end if;
when SlvSt_B3 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B4;
end if;
when SlvSt_B4 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B5;
end if;
when SlvSt_B5 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B6;
end if;
when SlvSt_B6 =>
if(SlvSMChangeSt='1') then
if(CPHA='0') then
SlvSMSt_Next <= SlvSt_Idle;
else -- CPHA='1'
SlvSMSt_Next <= SlvSt_B6W;
end if;
end if;
when SlvSt_B6W =>
if(SlvSampleSt='1')then
SlvSMSt_Next <= SlvSt_Idle;
end if;
when others => SlvSMSt_Next <= SlvSt_Idle;
end case;
end if;
end process;
MSTRGenComb:process(adr,iowe,dbus_in,ss_b_resync,SPCR)
begin
MSTR_Next <= MSTR;
case MSTR is
when '0' =>
if(fn_to_integer(adr)=SPCR_Address and iowe='1' and dbus_in(4)='1') then -- TBD (ss_b_resync='0')
MSTR_Next <= '1';
end if;
when '1' =>
if((fn_to_integer(adr)=SPCR_Address and iowe='1' and dbus_in(4)='0') or
(ss_b_resync='0')) then
MSTR_Next <= '0';
end if;
when others => MSTR_Next <= MSTR;
end case;
end process;
WCOLGenComb:process(WCOLClrSt_Current,SlvSMSt_Current,MstSMSt_Current,adr,iowe,iore,SPCR,SPSR,SlvSampleSt,ss_b_resync)
begin
WCOL_Next <= WCOL;
case WCOL is
when '0' =>
if(fn_to_integer(adr)=SPDR_Address and iowe='1' and
((MSTR='0' and (SlvSMSt_Current/=SlvSt_Idle or (SlvSampleSt='1' and ss_b_resync='0'))) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle))) then
WCOL_Next <= '1';
end if;
when '1' =>
if(((fn_to_integer(adr)=SPDR_Address and (iowe='1' or iore='1')) and WCOLClrSt_Current='1') and
not (fn_to_integer(adr)=SPDR_Address and iowe='1' and
((MSTR='0' and (SlvSMSt_Current/=SlvSt_Idle or (SlvSampleSt='1' and ss_b_resync='0'))) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle)))) then
WCOL_Next <= '0';
end if;
when others => WCOL_Next <= WCOL;
end case;
end process;
SPIFGenComb:process(SPIFClrSt_Current,adr,iowe,iore,SPCR,SPSR,SlvSMSt_Current,SlvSMSt_Next,MstSMSt_Current,MstSMSt_Next,spiack)
begin
SPIF_Next <= SPIF;
case SPIF is
when '0' =>
if((MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMSt_Next=SlvSt_Idle) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle))then
SPIF_Next <= '1';
end if;
when '1' =>
if((fn_to_integer(adr)=SPDR_Address and (iowe='1' or iore='1') and SPIFClrSt_Current='1') or spiack='1') then
SPIF_Next <= '0';
end if;
when others => SPIF_Next <= SPIF;
end case;
end process;
--*************************************************************************************
spimaster <= MSTR;
spe <= SPEB;
-- IRQ
spiirq <= SPIE and SPIF;
OutMuxComb:process(adr,iore,SPDR_Rc,SPSR,SPCR)
begin
case(fn_to_integer(adr)) is
when SPDR_Address => dbus_out <= SPDR_Rc; out_en <= iore;
when SPSR_Address => dbus_out <= SPSR; out_en <= iore;
when SPCR_Address => dbus_out <= SPCR; out_en <= iore;
when others => dbus_out <= (others => '0'); out_en <= '0';
end case;
end process; -- OutMuxComb
--
spidwrite <= '0';
spiload <= '0';
end RTL;
|
--**********************************************************************************************
-- SPI Peripheral for the AVR Core
-- Version 1.2
-- Modified 10.01.2007
-- Designed by Ruslan Lepetenok
-- Internal resynchronizers for scki and ss_b inputs were added
--**********************************************************************************************
library IEEE;
use IEEE.std_logic_1164.all;
use WORK.std_library.all;
use WORK.avr_adr_pack.all;
use WORK.rsnc_comp_pack.all;
entity spi_mod is port(
-- AVR Control
ireset : in std_logic;
cp2 : in std_logic;
adr : in std_logic_vector(15 downto 0);
dbus_in : in std_logic_vector(7 downto 0);
dbus_out : out std_logic_vector(7 downto 0);
iore : in std_logic;
iowe : in std_logic;
out_en : out std_logic;
-- SPI i/f
misoi : in std_logic;
mosii : in std_logic;
scki : in std_logic; -- Resynch
ss_b : in std_logic; -- Resynch
misoo : out std_logic;
mosio : out std_logic;
scko : out std_logic;
spe : out std_logic;
spimaster : out std_logic;
-- IRQ
spiirq : out std_logic;
spiack : in std_logic;
-- Slave Programming Mode
por : in std_logic;
spiextload : in std_logic;
spidwrite : out std_logic;
spiload : out std_logic
);
end spi_mod;
architecture RTL of spi_mod is
-- Resynch
signal scki_resync : std_logic;
signal ss_b_resync : std_logic;
-- Registers
signal SPCR : std_logic_vector(7 downto 0);
alias SPIE : std_logic is SPCR(7);
alias SPEB : std_logic is SPCR(6); -- SPE in Atmel's doc
alias DORD : std_logic is SPCR(5);
alias MSTR : std_logic is SPCR(4);
alias CPOL : std_logic is SPCR(3);
alias CPHA : std_logic is SPCR(2);
alias SPR : std_logic_vector(1 downto 0) is SPCR(1 downto 0);
signal SPSR : std_logic_vector(7 downto 0);
alias SPIF : std_logic is SPSR(7);
alias WCOL : std_logic is SPSR(6);
alias SPI2X : std_logic is SPSR(0);
signal SPIE_Next : std_logic;
signal SPEB_Next : std_logic;
signal DORD_Next : std_logic;
signal CPOL_Next : std_logic;
signal CPHA_Next : std_logic;
signal SPR_Next : std_logic_vector(SPR'range);
signal SPI2X_Next : std_logic;
signal SPDR_Rc : std_logic_vector(7 downto 0);
signal SPDR_Rc_Next : std_logic_vector(7 downto 0);
signal SPDR_Sh_Current : std_logic_vector(7 downto 0);
signal SPDR_Sh_Next : std_logic_vector(7 downto 0);
signal Div_Next : std_logic_vector(5 downto 0);
signal Div_Current : std_logic_vector(5 downto 0);
signal Div_Toggle : std_logic;
signal DivCntMsb_Current : std_logic;
signal DivCntMsb_Next : std_logic;
type MstSMSt_Type is (MstSt_Idle,MstSt_B0,MstSt_B1,MstSt_B2,MstSt_B3,MstSt_B4,MstSt_B5,MstSt_B6,MstSt_B7);
signal MstSMSt_Current : MstSMSt_Type;
signal MstSMSt_Next : MstSMSt_Type;
signal TrStart : std_logic;
signal scko_Next : std_logic;
signal scko_Current : std_logic; --!!!
signal UpdRcDataRg_Current : std_logic;
signal UpdRcDataRg_Next : std_logic;
signal TmpIn_Current : std_logic;
signal TmpIn_Next : std_logic;
-- Slave
signal sck_EdgeDetDFF : std_logic;
signal SlvSampleSt : std_logic;
signal SlvSMChangeSt : std_logic;
type SlvSMSt_Type is (SlvSt_Idle,SlvSt_B0I,SlvSt_B0,SlvSt_B1,SlvSt_B2,SlvSt_B3,SlvSt_B4,SlvSt_B5,SlvSt_B6,SlvSt_B6W);
signal SlvSMSt_Current : SlvSMSt_Type;
signal SlvSMSt_Next : SlvSMSt_Type;
-- SIF clear SM
signal SPIFClrSt_Current : std_logic;
signal SPIFClrSt_Next : std_logic;
-- WCOL clear SM
signal WCOLClrSt_Current : std_logic;
signal WCOLClrSt_Next : std_logic;
signal MSTR_Next : std_logic;
signal SPIF_Next : std_logic;
signal WCOL_Next : std_logic;
signal MstDSamp_Next : std_logic;
signal MstDSamp_Current : std_logic;
function Fn_RevBitVector(InVector : std_logic_vector) return std_logic_vector is
variable TmpVect : std_logic_vector(InVector'range);
begin
for i in TmpVect'range loop
TmpVect(i) := InVector(InVector'high-i);
end loop;
return TmpVect;
end Fn_RevBitVector;
begin
-- ******************** Resynchronizers ************************************
scki_resync_inst:component rsnc_bit generic map(
add_stgs_num => 0,
inv_f_stgs => 0
)
port map(
clk => cp2,
di => scki,
do => scki_resync
);
ss_b_resync_inst:component rsnc_bit generic map(
add_stgs_num => 0,
inv_f_stgs => 0
)
port map(
clk => cp2,
di => ss_b,
do => ss_b_resync
);
-- ******************** Resynchronizers ************************************
SeqPrc:process(ireset,cp2)
begin
if (ireset='0') then -- Reset
SPCR <= (others => '0');
SPIF <= '0';
WCOL <= '0';
SPI2X <= '0';
Div_Current <= (others => '0');
DivCntMsb_Current <= '0';
MstSMSt_Current <= MstSt_Idle;
SlvSMSt_Current <= SlvSt_Idle;
SPDR_Sh_Current <= (others => '1');
SPDR_Rc <= (others => '0');
sck_EdgeDetDFF <= '0';
SPIFClrSt_Current <= '0';
WCOLClrSt_Current <= '0';
scko <= '0';
scko_Current <= '0';
misoo <= '0';
mosio <= '0';
TmpIn_Current <= '0';
UpdRcDataRg_Current <= '0';
MstDSamp_Current <= '0';
elsif (cp2='1' and cp2'event) then -- Clock
SPIE <= SPIE_Next;
SPEB <= SPEB_Next;
DORD <= DORD_Next;
CPOL <= CPOL_Next;
CPHA <= CPHA_Next;
SPR <= SPR_Next;
MSTR <= MSTR_Next;
SPIF <= SPIF_Next;
SPI2X <= SPI2X_Next;
WCOL <= WCOL_Next;
Div_Current <= Div_Next;
DivCntMsb_Current <= DivCntMsb_Next;
MstSMSt_Current <= MstSMSt_Next;
SlvSMSt_Current <= SlvSMSt_Next;
SPDR_Sh_Current <= SPDR_Sh_Next;
SPDR_Rc <= SPDR_Rc_Next;
sck_EdgeDetDFF <= scki_resync;
SPIFClrSt_Current <= SPIFClrSt_Next;
WCOLClrSt_Current <= WCOLClrSt_Next;
scko_Current <= scko_Next;
scko <= scko_Next;
misoo <= SPDR_Sh_Next(SPDR_Sh_Next'high);
mosio <= SPDR_Sh_Next(SPDR_Sh_Next'high);
TmpIn_Current <= TmpIn_Next;
UpdRcDataRg_Current <= UpdRcDataRg_Next;
MstDSamp_Current <= MstDSamp_Next;
end if;
end process;
IORegWriteComb:process(adr,iowe,SPCR,SPSR,dbus_in)
begin
SPIE_Next <= SPIE;
SPEB_Next <= SPEB;
DORD_Next <= DORD;
CPOL_Next <= CPOL;
CPHA_Next <= CPHA;
SPR_Next <= SPR;
SPI2X_Next <= SPI2X;
if(fn_to_integer(adr)=SPCR_Address and iowe='1') then
SPIE_Next <= dbus_in(7);
SPEB_Next <= dbus_in(6);
DORD_Next <= dbus_in(5);
CPOL_Next <= dbus_in(3);
CPHA_Next <= dbus_in(2);
SPR_Next <= dbus_in(1 downto 0);
end if;
if(fn_to_integer(adr)=SPSR_Address and iowe='1') then
SPI2X_Next <= dbus_in(0);
end if;
end process;
SPSR(5 downto 1) <= (others => '0');
-- Divider
-- SPI2X | SPR1 | SPR0 | SCK Frequency
-- 0 | 0 | 0 | fosc /4 (2)
-- 0 | 0 | 1 | fosc /16 (8)
-- 0 | 1 | 0 | fosc /64 (32)
-- 0 | 1 | 1 | fosc /128 (64)
-- ------+------+------+-------------
-- 1 | 0 | 0 | fosc /2 (1)
-- 1 | 0 | 1 | fosc /8 (4)
-- 1 | 1 | 0 | fosc /32 (16)
-- 1 | 1 | 1 | fosc /64 (32)
DividerToggleComb:process(MstSMSt_Current,Div_Current,SPCR,SPSR)
begin
Div_Toggle <= '0';
if(MstSMSt_Current /= MstSt_Idle) then
if(SPI2X='1') then -- Extended mode
case SPR is
when "00" => if (Div_Current="000001") then Div_Toggle <= '1'; end if; -- fosc /2
when "01" => if (Div_Current="000011") then Div_Toggle <= '1'; end if; -- fosc /8
when "10" => if (Div_Current="001111") then Div_Toggle <= '1'; end if; -- fosc /32
when "11" => if (Div_Current="011111") then Div_Toggle <= '1'; end if; -- fosc /64
when others => Div_Toggle <= '0';
end case;
else -- Normal mode
case SPR is
when "00" => if (Div_Current="000001") then Div_Toggle <= '1'; end if; -- fosc /4
when "01" => if (Div_Current="000111") then Div_Toggle <= '1'; end if; -- fosc /16
when "10" => if (Div_Current="011111") then Div_Toggle <= '1'; end if; -- fosc /64
when "11" => if (Div_Current="111111") then Div_Toggle <= '1'; end if; -- fosc /128
when others => Div_Toggle <= '0';
end case;
end if;
end if;
end process;
DividerNextComb:process(MstSMSt_Current,Div_Current,DivCntMsb_Current,Div_Toggle)
begin
Div_Next <= Div_Current;
DivCntMsb_Next <= DivCntMsb_Current;
if(MstSMSt_Current /= MstSt_Idle) then
if(Div_Toggle='1') then
Div_Next <= (others => '0');
DivCntMsb_Next <= not DivCntMsb_Current;
else
Div_Next <= Div_Current + 1;
end if;
end if;
end process;
TrStart <= '1' when (fn_to_integer(adr)=SPDR_Address and iowe='1' and SPEB='1') else '0';
-- Transmitter Master Mode Shift Control SM
MstSmNextComb:process(MstSMSt_Current,DivCntMsb_Current,Div_Toggle,TrStart,SPCR)
begin
MstSMSt_Next <= MstSMSt_Current;
case MstSMSt_Current is
when MstSt_Idle =>
if(TrStart='1' and MSTR='1') then
MstSMSt_Next <= MstSt_B0;
end if;
when MstSt_B0 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B1;
end if;
when MstSt_B1 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B2;
end if;
when MstSt_B2 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B3;
end if;
when MstSt_B3 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B4;
end if;
when MstSt_B4 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B5;
end if;
when MstSt_B5 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B6;
end if;
when MstSt_B6 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_B7;
end if;
when MstSt_B7 =>
if(DivCntMsb_Current='1' and Div_Toggle='1') then
MstSMSt_Next <= MstSt_Idle;
end if;
when others => MstSMSt_Next <= MstSt_Idle;
end case;
end process;
SPIFClrCombProc:process(SPIFClrSt_Current,SPCR,SPSR,adr,iore,iowe)
begin
SPIFClrSt_Next <= SPIFClrSt_Current;
case SPIFClrSt_Current is
when '0' =>
if(fn_to_integer(adr)=SPSR_Address and iore='1' and SPIF='1' and SPEB='1') then
SPIFClrSt_Next <= '1';
end if;
when '1' =>
if(fn_to_integer(adr)=SPDR_Address and (iore='1' or iowe='1')) then
SPIFClrSt_Next <= '0';
end if;
when others => SPIFClrSt_Next <= SPIFClrSt_Current;
end case;
end process; --SPIFClrCombProc
WCOLClrCombProc:process(WCOLClrSt_Current,SPSR,adr,iore,iowe)
begin
WCOLClrSt_Next <= WCOLClrSt_Current;
case WCOLClrSt_Current is
when '0' =>
if(fn_to_integer(adr)=SPSR_Address and iore='1' and WCOL='1') then
WCOLClrSt_Next <= '1';
end if;
when '1' =>
if(fn_to_integer(adr)=SPDR_Address and (iore='1' or iowe='1')) then
WCOLClrSt_Next <= '0';
end if;
when others => WCOLClrSt_Next <= WCOLClrSt_Current;
end case;
end process; --WCOLClrCombProc
MstDataSamplingComb:process(SPCR,scko_Current,scko_Next,MstDSamp_Current,MstSMSt_Current)
begin
MstDSamp_Next <= '0';
case MstDSamp_Current is
when '0' =>
if(MstSMSt_Current/=MstSt_Idle) then
if(CPHA=CPOL) then
if(scko_Next='1' and scko_Current='0') then -- Rising edge
MstDSamp_Next <= '1';
end if;
else -- CPHA/=CPOL
if(scko_Next='0' and scko_Current='1') then -- Falling edge
MstDSamp_Next <= '1';
end if;
end if;
end if;
when '1' => MstDSamp_Next <= '0';
when others => MstDSamp_Next <= '0';
end case;
end process; -- MstDataSamplingComb
--
DRLatchComb:process(UpdRcDataRg_Current,MstSMSt_Current,MstSMSt_Next,SlvSMSt_Current,SlvSMSt_Next,SPCR)
begin
UpdRcDataRg_Next <= '0';
case UpdRcDataRg_Current is
when '0' =>
if((MSTR='1' and MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle)or
(MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMSt_Next=SlvSt_Idle)) then
UpdRcDataRg_Next <= '1';
end if;
when '1' => UpdRcDataRg_Next <= '0';
when others => UpdRcDataRg_Next <= '0';
end case;
end process;
TmpInComb:process(TmpIn_Current,mosii,misoi,MstDSamp_Current,SlvSampleSt,SPCR,ss_b_resync)
begin
TmpIn_Next <= TmpIn_Current;
if(MSTR='1' and MstDSamp_Current='1') then -- Master mode
TmpIn_Next <= misoi;
elsif(MSTR='0' and SlvSampleSt='1' and ss_b_resync='0') then -- Slave mode ???
TmpIn_Next <= mosii;
end if;
end process;
ShiftRgComb:process(MstSMSt_Current,SlvSMSt_Current,SPDR_Sh_Current,SPCR,DivCntMsb_Current,Div_Toggle,TrStart,dbus_in,ss_b_resync,TmpIn_Current,SlvSMChangeSt,SlvSampleSt,UpdRcDataRg_Current)
begin
SPDR_Sh_Next <= SPDR_Sh_Current;
if(TrStart='1' and (MstSMSt_Current=MstSt_Idle and SlvSMSt_Current = SlvSt_Idle and not(MSTR='0' and SlvSampleSt='1' and ss_b_resync='0') )) then -- Load
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Sh_Next <= Fn_RevBitVector(dbus_in);
else -- the MSB of the data word is transmitted first
SPDR_Sh_Next <= dbus_in;
end if;
elsif(MSTR='1' and UpdRcDataRg_Current='1') then -- ???
SPDR_Sh_Next(SPDR_Sh_Next'high) <= '1';
elsif((MSTR='1' and MstSMSt_Current/=MstSt_Idle and DivCntMsb_Current='1' and Div_Toggle='1') or
(MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMChangeSt='1' and ss_b_resync='0')) then
-- Shift
SPDR_Sh_Next <= SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto SPDR_Sh_Current'low)&TmpIn_Current;
end if;
end process; --ShiftRgComb
sckoGenComb:process(scko_Current,SPCR,adr,iowe,dbus_in,DivCntMsb_Next,DivCntMsb_Current,TrStart,MstSMSt_Current,MstSMSt_Next)
begin
scko_Next <= scko_Current;
if(fn_to_integer(adr)=SPCR_Address and iowe='1') then -- Write to SPCR
scko_Next <= dbus_in(3); -- CPOL
elsif(TrStart='1' and CPHA='1' and MstSMSt_Current=MstSt_Idle) then
scko_Next <= not CPOL;
elsif(MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle) then -- "Parking"
scko_Next <= CPOL;
elsif(MstSMSt_Current/=MstSt_Idle and DivCntMsb_Current/=DivCntMsb_Next) then
scko_Next <= not scko_Current;
end if;
end process;
-- Receiver data register
SPDRRcComb:process(SPDR_Rc,SPCR,SPDR_Sh_Current,UpdRcDataRg_Current,TmpIn_Current)
begin
SPDR_Rc_Next <= SPDR_Rc;
if(UpdRcDataRg_Current='1') then
if(MSTR='0' and CPHA='1') then
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Rc_Next <= Fn_RevBitVector(SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto 0)&TmpIn_Current);
else -- the MSB of the data word is transmitted first
SPDR_Rc_Next <= SPDR_Sh_Current(SPDR_Sh_Current'high-1 downto 0)&TmpIn_Current;
end if;
else
if (DORD='1') then -- the LSB of the data word is transmitted first
SPDR_Rc_Next <= Fn_RevBitVector(SPDR_Sh_Current);
else -- the MSB of the data word is transmitted first
SPDR_Rc_Next <= SPDR_Sh_Current;
end if;
end if;
end if;
end process;
--****************************************************************************************
-- Slave
--****************************************************************************************
SlvSampleSt <= '1' when ((sck_EdgeDetDFF='0' and scki_resync='1' and CPOL=CPHA)or -- Rising edge
(sck_EdgeDetDFF='1' and scki_resync='0' and CPOL/=CPHA))else '0'; -- Falling edge
SlvSMChangeSt <= '1' when ((sck_EdgeDetDFF='1' and scki_resync='0' and CPOL=CPHA)or -- Falling edge
(sck_EdgeDetDFF='0' and scki_resync='1' and CPOL/=CPHA))else '0'; -- Rising edge
-- Slave Master Mode Shift Control SM
SlvSMNextComb:process(SlvSMSt_Current,SPCR,SlvSampleSt,SlvSMChangeSt,ss_b_resync)
begin
SlvSMSt_Next <= SlvSMSt_Current;
if(ss_b_resync='0') then
case SlvSMSt_Current is
when SlvSt_Idle =>
if(MSTR='0') then
if(CPHA='1') then
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B0;
end if;
else -- CPHA='0'
if(SlvSampleSt='1') then
SlvSMSt_Next <= SlvSt_B0I;
end if;
end if;
end if;
when SlvSt_B0I =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B0;
end if;
when SlvSt_B0 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B1;
end if;
when SlvSt_B1 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B2;
end if;
when SlvSt_B2 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B3;
end if;
when SlvSt_B3 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B4;
end if;
when SlvSt_B4 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B5;
end if;
when SlvSt_B5 =>
if(SlvSMChangeSt='1') then
SlvSMSt_Next <= SlvSt_B6;
end if;
when SlvSt_B6 =>
if(SlvSMChangeSt='1') then
if(CPHA='0') then
SlvSMSt_Next <= SlvSt_Idle;
else -- CPHA='1'
SlvSMSt_Next <= SlvSt_B6W;
end if;
end if;
when SlvSt_B6W =>
if(SlvSampleSt='1')then
SlvSMSt_Next <= SlvSt_Idle;
end if;
when others => SlvSMSt_Next <= SlvSt_Idle;
end case;
end if;
end process;
MSTRGenComb:process(adr,iowe,dbus_in,ss_b_resync,SPCR)
begin
MSTR_Next <= MSTR;
case MSTR is
when '0' =>
if(fn_to_integer(adr)=SPCR_Address and iowe='1' and dbus_in(4)='1') then -- TBD (ss_b_resync='0')
MSTR_Next <= '1';
end if;
when '1' =>
if((fn_to_integer(adr)=SPCR_Address and iowe='1' and dbus_in(4)='0') or
(ss_b_resync='0')) then
MSTR_Next <= '0';
end if;
when others => MSTR_Next <= MSTR;
end case;
end process;
WCOLGenComb:process(WCOLClrSt_Current,SlvSMSt_Current,MstSMSt_Current,adr,iowe,iore,SPCR,SPSR,SlvSampleSt,ss_b_resync)
begin
WCOL_Next <= WCOL;
case WCOL is
when '0' =>
if(fn_to_integer(adr)=SPDR_Address and iowe='1' and
((MSTR='0' and (SlvSMSt_Current/=SlvSt_Idle or (SlvSampleSt='1' and ss_b_resync='0'))) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle))) then
WCOL_Next <= '1';
end if;
when '1' =>
if(((fn_to_integer(adr)=SPDR_Address and (iowe='1' or iore='1')) and WCOLClrSt_Current='1') and
not (fn_to_integer(adr)=SPDR_Address and iowe='1' and
((MSTR='0' and (SlvSMSt_Current/=SlvSt_Idle or (SlvSampleSt='1' and ss_b_resync='0'))) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle)))) then
WCOL_Next <= '0';
end if;
when others => WCOL_Next <= WCOL;
end case;
end process;
SPIFGenComb:process(SPIFClrSt_Current,adr,iowe,iore,SPCR,SPSR,SlvSMSt_Current,SlvSMSt_Next,MstSMSt_Current,MstSMSt_Next,spiack)
begin
SPIF_Next <= SPIF;
case SPIF is
when '0' =>
if((MSTR='0' and SlvSMSt_Current/=SlvSt_Idle and SlvSMSt_Next=SlvSt_Idle) or
(MSTR='1' and MstSMSt_Current/=MstSt_Idle and MstSMSt_Next=MstSt_Idle))then
SPIF_Next <= '1';
end if;
when '1' =>
if((fn_to_integer(adr)=SPDR_Address and (iowe='1' or iore='1') and SPIFClrSt_Current='1') or spiack='1') then
SPIF_Next <= '0';
end if;
when others => SPIF_Next <= SPIF;
end case;
end process;
--*************************************************************************************
spimaster <= MSTR;
spe <= SPEB;
-- IRQ
spiirq <= SPIE and SPIF;
OutMuxComb:process(adr,iore,SPDR_Rc,SPSR,SPCR)
begin
case(fn_to_integer(adr)) is
when SPDR_Address => dbus_out <= SPDR_Rc; out_en <= iore;
when SPSR_Address => dbus_out <= SPSR; out_en <= iore;
when SPCR_Address => dbus_out <= SPCR; out_en <= iore;
when others => dbus_out <= (others => '0'); out_en <= '0';
end case;
end process; -- OutMuxComb
--
spidwrite <= '0';
spiload <= '0';
end RTL;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:38:05 12/15/2015
-- Design Name:
-- Module Name: C:/Users/Bailey/Desktop/IDEController/IDEController/tb_IDE_top_level.vhd
-- Project Name: IDEController
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: IDE_top_level
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_IDE_top_level IS
END tb_IDE_top_level;
ARCHITECTURE behavior OF tb_IDE_top_level IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT IDE_top_level
PORT(
W : IN std_logic;
R : IN std_logic;
Re : OUT std_logic;
CS : IN std_logic;
CPU_data : INOUT std_logic_vector(3 downto 0);
CS1FX : OUT std_logic;
CS3FX : OUT std_logic;
DA : OUT std_logic_vector(2 downto 0);
HD_data : INOUT std_logic_vector(7 downto 0);
DIOR : OUT std_logic;
DIOW : OUT std_logic;
reset : IN std_logic;
clk : IN std_logic
);
END COMPONENT;
--Inputs
signal W : std_logic := '0';
signal R : std_logic := '0';
signal CS : std_logic := '0';
signal reset : std_logic := '0';
signal clk : std_logic := '0';
--BiDirs
signal CPU_data : std_logic_vector(3 downto 0);
signal HD_data : std_logic_vector(7 downto 0);
--Outputs
signal Re : std_logic;
signal CS1FX : std_logic;
signal CS3FX : std_logic;
signal DA : std_logic_vector(2 downto 0);
signal DIOR : std_logic;
signal DIOW : std_logic;
-- Clock period definitions
constant clk_period : time := 30 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: IDE_top_level PORT MAP (
W => W,
R => R,
Re => Re,
CS => CS,
CPU_data => CPU_data,
CS1FX => CS1FX,
CS3FX => CS3FX,
DA => DA,
HD_data => HD_data,
DIOR => DIOR,
DIOW => DIOW,
reset => reset,
clk => clk
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
reset <= '1';
wait for clk_period*10;
reset <= '0';
wait for clk_period*6;
reset <= '1';
wait for clk_period*6;
--Setup
CS <= '0';
W <= '1';
CPU_data <= "0001";
wait for clk_period*6;
--State 0
W <= '0';
wait for clk_period*6;
CPU_data <= "0010";
wait for clk_period*6;
W <= '1';
wait for clk_period*6;
--State 1
W <= '0';
wait for clk_period*6;
CPU_data <= "0011";
wait for clk_period*6;
W <= '1';
wait for clk_period*6;
--State 2
W <= '0';
wait for clk_period*6;
CPU_data <= "ZZZZ";
wait for clk_period*6;
--State 3
R <= '1';
HD_data <= "10101110";
wait for clk_period*6;
R <= '0';
wait for clk_period*6;
--State 4
R <= '1';
wait for clk_period*6;
R <= '0';
HD_data <= "ZZZZZZZZ";
wait for clk_period*6;
--TESTING A WRITE
W <= '1';
wait for clk_period*6;
CPU_data <= "0001";
wait for clk_period*6;
--State 0
W <= '0';
wait for clk_period*6;
CPU_data <= "0010";
wait for clk_period*6;
W <= '1';
wait for clk_period*6;
--State 1
W <= '0';
wait for clk_period*6;
CPU_data <= "0011";
wait for clk_period*6;
W <= '1';
wait for clk_period*6;
--State 2
W <= '0';
wait for clk_period*6;
CPU_data <= "ZZZZ";
wait for clk_period*6;
--State 3
W <= '1';
wait for clk_period*6;
W <= '0';
wait for clk_period*6;
--State 4
W <= '1';
wait for clk_period*6;
W <= '0';
wait for clk_period*6;
wait;
end process;
END;
|
-- Testbench for the UDP responder modules
-- Tests:
-- * enumerate response
-- * packet filtering on UDP source port and valid packet
-- * TCP reset
--
-- Original author: Colm Ryan
-- Copyright 2015,2016 Raytheon BBN Technologies
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity udp_responder_tb is
end;
architecture bench of udp_responder_tb is
signal clk : std_logic := '0';
signal rst : std_logic := '0';
signal udp_rx_tdata : std_logic_vector(7 downto 0) := (others => '0');
signal udp_rx_tvalid : std_logic := '0';
signal udp_rx_tlast : std_logic := '0';
signal udp_src_port : std_logic_vector(15 downto 0) := (others => '0');
signal src_ip_addr : std_logic_vector(31 downto 0) := (others => '0');
signal dest_ip_addr : std_logic_vector(31 downto 0) := (others => '0');
signal udp_tx_tdata : std_logic_vector(7 downto 0) := (others => '0');
signal udp_tx_tvalid : std_logic := '0';
signal udp_tx_tlast : std_logic := '0';
signal udp_tx_tready : std_logic := '1';
signal udp_tx_ack : std_logic := '0';
signal udp_tx_nack : std_logic := '0';
signal rst_tcp : std_logic := '0';
constant clock_period: time := 8 ns;
signal stop_the_clock: boolean := false;
type TestBenchState_t is (RESET, ENUMERATE, ENUMERATE_AGAIN, WRONG_UDP_PORT,
BAD_PACKET, BIG_BAD_PACKET, RESET_TCP, FINISHED);
signal testBench_state : TestBenchState_t;
signal checking_finished : boolean := false;
begin
uut: entity work.UDP_responder
port map (
clk => clk,
rst => rst,
udp_rx_tdata => udp_rx_tdata,
udp_rx_tvalid => udp_rx_tvalid,
udp_rx_tlast => udp_rx_tlast,
udp_src_port => udp_src_port,
src_ip_addr => src_ip_addr,
dest_ip_addr => dest_ip_addr,
udp_tx_tdata => udp_tx_tdata,
udp_tx_tvalid => udp_tx_tvalid,
udp_tx_tlast => udp_tx_tlast,
udp_tx_tready => udp_tx_tready,
udp_tx_ack => udp_tx_ack,
udp_tx_nack => udp_tx_nack,
rst_tcp => rst_tcp
);
clk <= not clk after clock_period / 2 when not stop_the_clock;
ack_pro : process
begin
--Should keep a table of seen IP addresses but send nack on first request then ack
wait until rising_edge(clk) and udp_tx_tlast = '1';
wait for 3us;
wait until rising_edge(clk);
udp_tx_nack <= '1';
wait until rising_edge(clk);
udp_tx_nack <= '0';
while true loop
wait until rising_edge(clk) and udp_tx_tlast = '1';
wait for 1us;
wait until rising_edge(clk);
udp_tx_ack <= '1';
wait until rising_edge(clk);
udp_tx_ack <= '0';
end loop;
end process;
stimulus: process
begin
wait until rising_edge(clk);
testBench_state <= RESET;
rst <= '1';
wait for 100ns;
rst <= '0';
wait for 100ns;
-------------------------------------------
--Clock in a enumerate request
wait until rising_edge(clk);
testBench_state <= ENUMERATE;
udp_rx_tdata <= x"01";
udp_rx_tvalid <= '1';
udp_rx_tlast <= '1';
udp_src_port <= x"bb4f";
src_ip_addr <= x"c0a80201"; -- 192.168.2.1
wait until rising_edge(clk);
udp_rx_tvalid <= '0';
udp_rx_tlast <= '0';
for ct in 1 to 12 loop
wait until rising_edge(clk);
end loop;
--wait for UDP enumerate to actually come out
wait until udp_tx_ack = '1' for 15 us;
--Clock in a second enumerate request
wait until rising_edge(clk);
testBench_state <= ENUMERATE_AGAIN;
udp_rx_tdata <= x"01";
udp_rx_tvalid <= '1';
udp_rx_tlast <= '1';
udp_src_port <= x"bb4f";
src_ip_addr <= x"c0a80201"; -- 192.168.2.1
wait until rising_edge(clk);
udp_rx_tvalid <= '0';
udp_rx_tlast <= '0';
for ct in 1 to 12 loop
wait until rising_edge(clk);
end loop;
--wait for UDP enumerate to actually come out
wait until udp_tx_ack = '1' for 5 us;
-------------------------------------------
testBench_state <= WRONG_UDP_PORT;
udp_rx_tdata <= x"01";
udp_rx_tvalid <= '1';
udp_rx_tlast <= '1';
udp_src_port <= x"bb4e";
wait until rising_edge(clk);
udp_rx_tvalid <= '0';
udp_rx_tlast <= '0';
for ct in 1 to 12 loop
wait until rising_edge(clk);
end loop;
-------------------------------------------
testBench_state <= BAD_PACKET;
udp_rx_tdata <= x"01";
udp_rx_tlast <= '1';
udp_src_port <= x"bb4f";
src_ip_addr <= x"c0a80205"; -- 192.168.2.5
wait until rising_edge(clk);
udp_rx_tvalid <= '0';
udp_rx_tlast <= '0';
for ct in 1 to 12 loop
wait until rising_edge(clk);
end loop;
-------------------------------------------
testBench_state <= BIG_BAD_PACKET;
for ct in 1 to 47 loop
udp_rx_tdata <= std_logic_vector(to_unsigned(ct,8));
udp_rx_tvalid <= '1';
wait until rising_edge(clk);
end loop;
udp_rx_tdata <= std_logic_vector(to_unsigned(48,8));
udp_rx_tlast <= '1';
udp_rx_tvalid <= '0';
udp_src_port <= x"bb4e";
wait until rising_edge(clk);
udp_rx_tvalid <= '0';
udp_rx_tlast <= '0';
for ct in 1 to 12 loop
wait until rising_edge(clk);
end loop;
-------------------------------------------
testBench_state <= RESET_TCP;
udp_rx_tdata <= x"02";
udp_rx_tvalid <= '1';
udp_rx_tlast <= '1';
udp_src_port <= x"bb4f";
src_ip_addr <= x"c0a80201"; -- 192.168.2.1
wait until rising_edge(clk);
udp_rx_tvalid <= '0';
udp_rx_tlast <= '0';
for ct in 1 to 12 loop
wait until rising_edge(clk);
end loop;
wait for 100ns;
testBench_state <= FINISHED;
assert checking_finished report "Checking failed to finish.";
stop_the_clock <= true;
end process;
checking : process
type byte_array is array(natural range <>) of std_logic_vector(7 downto 0);
-- "I am an APS2"
constant ENUMERATE_RESPONSE : byte_array(0 to 11) :=
(x"49", x"20", x"61", x"6d", x"20", x"61", x"6e", x"20", x"41", x"50", x"53", x"32");
begin
--First thing that should come back is an enumerate response to 192.168.2.1
wait until testBench_state = ENUMERATE;
wait until rising_edge(clk) and udp_tx_tvalid = '1';
assert dest_ip_addr = x"c0a80201" report "Incorrect destination IP address";
assert udp_tx_tdata = ENUMERATE_RESPONSE(0) report "Incorrect enumerate response";
for ct in 1 to ENUMERATE_RESPONSE'length -1 loop
wait until rising_edge(clk) and udp_tx_tvalid = '1';
assert udp_tx_tdata = ENUMERATE_RESPONSE(ct) report "Incorrect enumerate response";
if ct = ENUMERATE_RESPONSE'high then
assert udp_tx_tlast = '1' report "tlast failed to assert correctly";
else
assert udp_tx_tlast = '0' report "tlast failed to assert correctly";
end if;
end loop;
--then we try again after nack
wait until rising_edge(clk) and udp_tx_tvalid = '1';
assert dest_ip_addr = x"c0a80201" report "Incorrect destination IP address";
assert udp_tx_tdata = ENUMERATE_RESPONSE(0) report "Incorrect enumerate response";
for ct in 1 to ENUMERATE_RESPONSE'length -1 loop
wait until rising_edge(clk) and udp_tx_tvalid = '1';
assert udp_tx_tdata = ENUMERATE_RESPONSE(ct) report "Incorrect enumerate response";
if ct = ENUMERATE_RESPONSE'high then
assert udp_tx_tlast = '1' report "tlast failed to assert correctly";
else
assert udp_tx_tlast = '0' report "tlast failed to assert correctly";
end if;
end loop;
--then thing that should come back is an enumerate response to 192.168.2.1
wait until testBench_state = ENUMERATE_AGAIN;
wait until rising_edge(clk) and udp_tx_tvalid = '1';
assert dest_ip_addr = x"c0a80201" report "Incorrect destination IP address";
assert udp_tx_tdata = ENUMERATE_RESPONSE(0) report "Incorrect enumerate response";
for ct in 1 to ENUMERATE_RESPONSE'length -1 loop
wait until rising_edge(clk) and udp_tx_tvalid = '1';
assert udp_tx_tdata = ENUMERATE_RESPONSE(ct) report "Incorrect enumerate response";
if ct = ENUMERATE_RESPONSE'high then
assert udp_tx_tlast = '1' report "tlast failed to assert correctly";
else
assert udp_tx_tlast = '0' report "tlast failed to assert correctly";
end if;
end loop;
--Should get nothing back during bad packets
wait until testBench_state = WRONG_UDP_PORT;
while testBench_state /= RESET_TCP loop
wait until rising_edge(clk);
assert udp_tx_tvalid = '0' report "Got UDP packet response when should not have";
end loop;
--Then should get two clock reset pulse on rst_tcp
wait until rising_edge(clk) and rst_tcp = '1';
wait until rising_edge(clk);
assert rst_tcp = '1' report "rst_tcp failed to assert";
checking_finished <= true;
end process;
end;
|
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:34:57)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY arf_hype_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 3);
output1, output2: OUT unsigned(0 TO 4));
END arf_hype_entity;
ARCHITECTURE arf_hype_description OF arf_hype_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
WHEN "00000010" =>
register1 := register1 + register2;
register2 := input3 * 3;
register3 := input4 * 4;
WHEN "00000011" =>
register4 := input5 * 5;
register1 := register1 + 7;
register5 := input6 * 8;
register2 := register3 + register2;
WHEN "00000100" =>
register3 := input7 * 9;
register6 := input8 * 10;
register4 := register5 + register4;
WHEN "00000101" =>
register5 := register1 * 12;
register1 := register1 * 14;
register3 := register3 + register6;
register4 := register4 + 16;
WHEN "00000110" =>
register6 := register4 * 18;
register4 := register4 * 20;
WHEN "00000111" =>
register1 := register1 + register4;
register4 := register5 + register6;
WHEN "00001000" =>
register5 := register4 * 22;
register6 := register1 * 24;
WHEN "00001001" =>
register1 := register1 * 26;
register4 := register4 * 28;
register5 := register5 + register6;
WHEN "00001010" =>
register1 := register4 + register1;
output1 <= register3 + register5;
WHEN "00001011" =>
output2 <= register2 + register1;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END arf_hype_description; |
-- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- Z80 compatible microprocessor core, synchronous top level with clock enable
-- Different timing than the original z80
-- Inputs needs to be synchronous and outputs may glitch
--
-- Version : 0240
--
-- Copyright (c) 2001-2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t80/
--
-- Limitations :
--
-- File history :
--
-- 0235 : First release
--
-- 0236 : Added T2Write generic
--
-- 0237 : Fixed T2Write with wait state
--
-- 0238 : Updated for T80 interface change
--
-- 0240 : Updated for T80 interface change
--
-- 0242 : Updated for T80 interface change
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.T80_Pack.all;
entity T80se is
generic(
Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
T2Write : integer := 0; -- 0 => WR_n active in T3, /=0 => WR_n active in T2
IOWait : integer := 1 -- 0 => Single cycle I/O, 1 => Std I/O cycle
);
port(
RESET_n : in std_logic;
CLK_n : in std_logic;
CLKEN : in std_logic;
WAIT_n : in std_logic;
INT_n : in std_logic;
NMI_n : in std_logic;
BUSRQ_n : in std_logic;
M1_n : out std_logic;
MREQ_n : out std_logic;
IORQ_n : out std_logic;
RD_n : out std_logic;
WR_n : out std_logic;
RFSH_n : out std_logic;
HALT_n : out std_logic;
BUSAK_n : out std_logic;
A : out std_logic_vector(15 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0)
);
end T80se;
architecture rtl of T80se is
signal IntCycle_n : std_logic;
signal NoRead : std_logic;
signal Write : std_logic;
signal IORQ : std_logic;
signal DI_Reg : std_logic_vector(7 downto 0);
signal MCycle : std_logic_vector(2 downto 0);
signal TState : std_logic_vector(2 downto 0);
begin
u0 : T80
generic map(
Mode => Mode,
IOWait => IOWait)
port map(
CEN => CLKEN,
M1_n => M1_n,
IORQ => IORQ,
NoRead => NoRead,
Write => Write,
RFSH_n => RFSH_n,
HALT_n => HALT_n,
WAIT_n => Wait_n,
INT_n => INT_n,
NMI_n => NMI_n,
RESET_n => RESET_n,
BUSRQ_n => BUSRQ_n,
BUSAK_n => BUSAK_n,
CLK_n => CLK_n,
A => A,
DInst => DI,
DI => DI_Reg,
DO => DO,
MC => MCycle,
TS => TState,
IntCycle_n => IntCycle_n);
process (RESET_n, CLK_n)
begin
if RESET_n = '0' then
RD_n <= '1';
WR_n <= '1';
IORQ_n <= '1';
MREQ_n <= '1';
DI_Reg <= "00000000";
elsif CLK_n'event and CLK_n = '1' then
if CLKEN = '1' then
RD_n <= '1';
WR_n <= '1';
IORQ_n <= '1';
MREQ_n <= '1';
if MCycle = "001" then
if TState = "001" or (TState = "010" and Wait_n = '0') then
RD_n <= not IntCycle_n;
MREQ_n <= not IntCycle_n;
IORQ_n <= IntCycle_n;
end if;
if TState = "011" then
MREQ_n <= '0';
end if;
else
if (TState = "001" or (TState = "010" and Wait_n = '0')) and NoRead = '0' and Write = '0' then
RD_n <= '0';
IORQ_n <= not IORQ;
MREQ_n <= IORQ;
end if;
if T2Write = 0 then
if TState = "010" and Write = '1' then
WR_n <= '0';
IORQ_n <= not IORQ;
MREQ_n <= IORQ;
end if;
else
if (TState = "001" or (TState = "010" and Wait_n = '0')) and Write = '1' then
WR_n <= '0';
IORQ_n <= not IORQ;
MREQ_n <= IORQ;
end if;
end if;
end if;
if TState = "010" and Wait_n = '1' then
DI_Reg <= DI;
end if;
end if;
end if;
end process;
end;
|
-- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- Z80 compatible microprocessor core, synchronous top level with clock enable
-- Different timing than the original z80
-- Inputs needs to be synchronous and outputs may glitch
--
-- Version : 0240
--
-- Copyright (c) 2001-2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t80/
--
-- Limitations :
--
-- File history :
--
-- 0235 : First release
--
-- 0236 : Added T2Write generic
--
-- 0237 : Fixed T2Write with wait state
--
-- 0238 : Updated for T80 interface change
--
-- 0240 : Updated for T80 interface change
--
-- 0242 : Updated for T80 interface change
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.T80_Pack.all;
entity T80se is
generic(
Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
T2Write : integer := 0; -- 0 => WR_n active in T3, /=0 => WR_n active in T2
IOWait : integer := 1 -- 0 => Single cycle I/O, 1 => Std I/O cycle
);
port(
RESET_n : in std_logic;
CLK_n : in std_logic;
CLKEN : in std_logic;
WAIT_n : in std_logic;
INT_n : in std_logic;
NMI_n : in std_logic;
BUSRQ_n : in std_logic;
M1_n : out std_logic;
MREQ_n : out std_logic;
IORQ_n : out std_logic;
RD_n : out std_logic;
WR_n : out std_logic;
RFSH_n : out std_logic;
HALT_n : out std_logic;
BUSAK_n : out std_logic;
A : out std_logic_vector(15 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0)
);
end T80se;
architecture rtl of T80se is
signal IntCycle_n : std_logic;
signal NoRead : std_logic;
signal Write : std_logic;
signal IORQ : std_logic;
signal DI_Reg : std_logic_vector(7 downto 0);
signal MCycle : std_logic_vector(2 downto 0);
signal TState : std_logic_vector(2 downto 0);
begin
u0 : T80
generic map(
Mode => Mode,
IOWait => IOWait)
port map(
CEN => CLKEN,
M1_n => M1_n,
IORQ => IORQ,
NoRead => NoRead,
Write => Write,
RFSH_n => RFSH_n,
HALT_n => HALT_n,
WAIT_n => Wait_n,
INT_n => INT_n,
NMI_n => NMI_n,
RESET_n => RESET_n,
BUSRQ_n => BUSRQ_n,
BUSAK_n => BUSAK_n,
CLK_n => CLK_n,
A => A,
DInst => DI,
DI => DI_Reg,
DO => DO,
MC => MCycle,
TS => TState,
IntCycle_n => IntCycle_n);
process (RESET_n, CLK_n)
begin
if RESET_n = '0' then
RD_n <= '1';
WR_n <= '1';
IORQ_n <= '1';
MREQ_n <= '1';
DI_Reg <= "00000000";
elsif CLK_n'event and CLK_n = '1' then
if CLKEN = '1' then
RD_n <= '1';
WR_n <= '1';
IORQ_n <= '1';
MREQ_n <= '1';
if MCycle = "001" then
if TState = "001" or (TState = "010" and Wait_n = '0') then
RD_n <= not IntCycle_n;
MREQ_n <= not IntCycle_n;
IORQ_n <= IntCycle_n;
end if;
if TState = "011" then
MREQ_n <= '0';
end if;
else
if (TState = "001" or (TState = "010" and Wait_n = '0')) and NoRead = '0' and Write = '0' then
RD_n <= '0';
IORQ_n <= not IORQ;
MREQ_n <= IORQ;
end if;
if T2Write = 0 then
if TState = "010" and Write = '1' then
WR_n <= '0';
IORQ_n <= not IORQ;
MREQ_n <= IORQ;
end if;
else
if (TState = "001" or (TState = "010" and Wait_n = '0')) and Write = '1' then
WR_n <= '0';
IORQ_n <= not IORQ;
MREQ_n <= IORQ;
end if;
end if;
end if;
if TState = "010" and Wait_n = '1' then
DI_Reg <= DI;
end if;
end if;
end if;
end process;
end;
|
-- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- Z80 compatible microprocessor core, synchronous top level with clock enable
-- Different timing than the original z80
-- Inputs needs to be synchronous and outputs may glitch
--
-- Version : 0240
--
-- Copyright (c) 2001-2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t80/
--
-- Limitations :
--
-- File history :
--
-- 0235 : First release
--
-- 0236 : Added T2Write generic
--
-- 0237 : Fixed T2Write with wait state
--
-- 0238 : Updated for T80 interface change
--
-- 0240 : Updated for T80 interface change
--
-- 0242 : Updated for T80 interface change
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.T80_Pack.all;
entity T80se is
generic(
Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
T2Write : integer := 0; -- 0 => WR_n active in T3, /=0 => WR_n active in T2
IOWait : integer := 1 -- 0 => Single cycle I/O, 1 => Std I/O cycle
);
port(
RESET_n : in std_logic;
CLK_n : in std_logic;
CLKEN : in std_logic;
WAIT_n : in std_logic;
INT_n : in std_logic;
NMI_n : in std_logic;
BUSRQ_n : in std_logic;
M1_n : out std_logic;
MREQ_n : out std_logic;
IORQ_n : out std_logic;
RD_n : out std_logic;
WR_n : out std_logic;
RFSH_n : out std_logic;
HALT_n : out std_logic;
BUSAK_n : out std_logic;
A : out std_logic_vector(15 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0)
);
end T80se;
architecture rtl of T80se is
signal IntCycle_n : std_logic;
signal NoRead : std_logic;
signal Write : std_logic;
signal IORQ : std_logic;
signal DI_Reg : std_logic_vector(7 downto 0);
signal MCycle : std_logic_vector(2 downto 0);
signal TState : std_logic_vector(2 downto 0);
begin
u0 : T80
generic map(
Mode => Mode,
IOWait => IOWait)
port map(
CEN => CLKEN,
M1_n => M1_n,
IORQ => IORQ,
NoRead => NoRead,
Write => Write,
RFSH_n => RFSH_n,
HALT_n => HALT_n,
WAIT_n => Wait_n,
INT_n => INT_n,
NMI_n => NMI_n,
RESET_n => RESET_n,
BUSRQ_n => BUSRQ_n,
BUSAK_n => BUSAK_n,
CLK_n => CLK_n,
A => A,
DInst => DI,
DI => DI_Reg,
DO => DO,
MC => MCycle,
TS => TState,
IntCycle_n => IntCycle_n);
process (RESET_n, CLK_n)
begin
if RESET_n = '0' then
RD_n <= '1';
WR_n <= '1';
IORQ_n <= '1';
MREQ_n <= '1';
DI_Reg <= "00000000";
elsif CLK_n'event and CLK_n = '1' then
if CLKEN = '1' then
RD_n <= '1';
WR_n <= '1';
IORQ_n <= '1';
MREQ_n <= '1';
if MCycle = "001" then
if TState = "001" or (TState = "010" and Wait_n = '0') then
RD_n <= not IntCycle_n;
MREQ_n <= not IntCycle_n;
IORQ_n <= IntCycle_n;
end if;
if TState = "011" then
MREQ_n <= '0';
end if;
else
if (TState = "001" or (TState = "010" and Wait_n = '0')) and NoRead = '0' and Write = '0' then
RD_n <= '0';
IORQ_n <= not IORQ;
MREQ_n <= IORQ;
end if;
if T2Write = 0 then
if TState = "010" and Write = '1' then
WR_n <= '0';
IORQ_n <= not IORQ;
MREQ_n <= IORQ;
end if;
else
if (TState = "001" or (TState = "010" and Wait_n = '0')) and Write = '1' then
WR_n <= '0';
IORQ_n <= not IORQ;
MREQ_n <= IORQ;
end if;
end if;
end if;
if TState = "010" and Wait_n = '1' then
DI_Reg <= DI;
end if;
end if;
end if;
end process;
end;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block
PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf
UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127WEiSuQNfvc5B066xJtkrSPis
mcOx+MD/cE2BhkcY1GXKXWObxeteZsxgqrnHmOKK/qEeSMLfsxWwcPfQa/p0G/89Rn/vsuqGQ54g
84q9qcYPY5mkRRsfRhZc6maJdv9jMl9P6CBEGoJaRCGIYYz3ctue9lqlHVWmQq/apsD+H1M8c37O
gsvY8UBT3LNYitAbqwkDnAuqvWU4q4qgMlMdEbwkt1VOLThuSr9kC+xTseECAFLkMAMMxucsKUVL
zgKEJH7bU08iMC9Nu44010qgt4dSiBeOD2/OWvgACxz2MKLZHFRbF7SUa0J7+2uJcF2z2mBdfHdI
GEeCkPCYGNpHD11f0XSj/gF/z6j12k9XMVgIigHKF939ApuWvu2QVULDPEJcnIBs669aeOHMKKff
6GgBwZjjIHmg9IMOy4MK9Wyf44XvCIxPwZM77ElmWzMIDuI2pSD/DqCZ9KC8FQRjgpLB3tgukoJt
lJIU5Vf+uMfHaXyd9qpxmM29zx877Wy+6MGr+yBCMg+9eNZK3+VvzrAudS/kTrq82t/v44m4v2pm
NefY4uCD9KxX7a7fyZBMWLCfgcfoM1e+NmRd8f1t4SFoRhnc8PfSogZ6DFFkaFvRVrRiEsv4G8qr
CJ5E+2R3WEM1qrgdoe7OwAHXHxw23It4eUnOb00XNXKRrcs9I6FOSnGcagW6Ino4wCPI9eHTrWlb
1EstZcy3ZR0wxxc2sA37ww1w6de4drzfRPemAcNJpgD+pn8u5XNQLAZIaoxo24w5OhojnuxkzWD+
Ls25o5luWDbFhzYroeyc7epvWQEvEAglDHzua2paI64D0xDdTh+/BmtrABYS7QIN6K/+FSJl3kFz
pdudubqBmREVmFcdx7qOZDOEMWbpFAOXezqfUQfPpryfKasdLgZiUP/hGlyh9jQQ182Yy+YGAx7N
f6aXlFu6j1tSuovb4V/KUgRR6BxR29UDAwgwQCBCnPmuZ4DYzRr+79UwzJeQ1cBWTdTVnLlC1Fao
55Oxmh1GLZ6qQg0KqyzqRB1MR78wGteBCLrx73AbEsDR3dPRnodIqwPTiF+ybsEpDCnGcTUZ7IP6
mL+o7fbHr6QtXe0+k76yE9S9NkNsROXztHswi7udwH7I6mAw9jJh/WP5v2RWAlvl9QuTJDYOP68l
W0SxaGooqJ7zoABAeXbGIvU5MdWR167WEG+lfvUymXEsgz8tdR6Hu8Bpzpq94OWvOsjycAQMUBUM
8/la/dccI1m7ZofOPR4YhOO/QaZRwIqQgyJPvK1gdoy6RUZzzk3txuIOe6T5J8eKnDl+iY38xxWK
LQTRKNgLrF6BAKvcNOm1yeirJ7tu70uNe0Km7rSzRmLA0M60U60x/rXKU/dtyzCDXv7Sw10LU+Wy
XK4BXvpdMV91mVaF01/h3ScIpA4evKL+8t9tsU7t175XkZCJmY71T2F78fecrb0dHX5mEXkMzEoc
ZE2OJWp5y9wisqMoWKL/1IKhT39jli+STqqtQ9vFHUIg6kP6o0tGAObVYnqqM2R0FGbKPFV9ke0e
fX8lxRusTxAsGsT8HjCmBMUILKhSTI4Kd2eMHpFkhDO8QXB3RptmKbd6It9v40aD1uCC06odXH8M
bvZFvrTc+jvsyGuhsfYzd8ec6sHGZXuCPf4EddJqXJ3eJCrd3PEYu57tdkH2atVf8nWOLeCES1rs
X0CJsUbq2c7JeGLNxwOvosHY5tuK5gdfqHxrZoOkoyOh4TxvSi7vbm8CIeEyd5nXCyASOsCiKxbv
MbtXr2VppROjkHL8T3rbGM9BAmxENNQn3/Gq0sENxzOgBUxB8q3MknuFaefcUkBiXyGNoishtNTo
9f0AAKLCn8spZeLLFLuV8lAVywDQNA2st0WJ+QBgRAOc4F48ZkYiDl86McgzO+NyQcl/ciOPDPiL
VDMLKxvAj22JfgoLPv6CA9XHaYONGOmVMW/wDFISP+xIlLg1qPkmXH8tKDDhalNJ+qlClzLlvGf1
4T7cbLI4Ah/LLdw6a3iMf6UMewm5cd7toX/OmrtfL73Jc8Hq4AzqBO/jSQ7cIY9D6jXQgLYFLboY
3WKSmwztpkRHZLGKMiVXngBIk7sRiFNOUWH4bfTve3WJhxuKpUTV0/e6JqmelhEobjLoPOp8Gc8N
OeNFEdquyzOIDdeg313yJ4PsKZywr3IsoJkFlxd81q0HHTNoQMWK6jEzx+O8BzNBRed6zucXiNmB
3p/WXJMnGCdjJHu0xmg3b802OGhFrpB0bBWiT/dgLysWk+c8ZnKsrnz95u4INojnVrAybzZ8vnag
OLCWNpwtLveCo4Mjhnf+/5bOaAXtTubT3lmhw3eHES+QoTrW6pt3PMvVyZ7QeNqIymq4FO+s82RJ
UcN/Jv44JwZDA/i4u7yW6A7kecqWstmGIFsum7de+hUGal+CEQ0skgsE4YU+HW61U+TDd55My+sv
xB6skKng0EOXIiZw7guj5CIzlSHLx8lWv/GpZRfkdZMc3AF9mqNUKXwQFMVLSVbtO/Ypnop6Tb8L
IS1tESF7ntQLfkMuB+ZsX/3XclaMqAWQtP4PQ9RaUz5wntXq1fGri2yT+yBZmpWHNA9gN0JeRq0x
DroE0WRVOpu0LBVp1WX9MfruEFMnjU0GS4fhXL2ayBBQ1F9fUFS4Wjsa2neWlKk1rja18CfvQy0E
VnHbOolZLFCSz54FWZUioYZxFrVqDYLUG4HTGAsUDY7o+zTgeWsEYHraO3pn2FBy7OXv638ePYRZ
FWdIIh6LuGbPuAi/C/wk2ULuc8nxoA2rjideSTWhr2SPiDpDXBnFQF2LWNQGdtgfbVQE9itm9MXW
xxJrKCwm9ZroQM0FiiT9Vs9Fn3//tK1HOuMsYJ5sD6FTfyNmrLySz0p7dy+YxGwu+E9dTje6ySOT
G67Rg+zm+dpHmLtN/JhpqDhVCqTBfsb3ixYO4lJYtAU0R07y9/K/FNvBfPXXiS0X/FhEojnnpC5o
An4BEAxDonPLD+J5OPrIbMlC63xkLQMwzk30bKKU4mvDY5aZGEFczuq8UtVJYMe1CxePGm+fqxg/
We6QAFtdMmyxVeucsflaXlCA751sXWecv+Y8kPb3eWQ95Q1TfA6eCf7bRWxXDHqTNW0YWAz884Hb
ThV3v5YtnDGAnWRNMNg12WrpXfqEqE7Nidrh5EBlHkI5aCFbLQ7J7tKFhAXMDjzBzhn7N+2OqQDm
Az85JN9XK71Erg6kGeT9eRIPD8aLx0oOHW5yUfz/wdsgnlKfP+IEnjae91gPHsgwBbcgTUDlfN+E
QDIBpQw3yRsbkwl/+ON1u2Xp46iv5cxrHx2iioEZ/O4c4W7ksLRb3eCULBVHsK/nsDJtJr7108f1
orGRzjQC0vE6gQccLbult+3iBNPdogWh0MuK0RcbXWyoz2lYMI/KaeIx62F1r2i4yNrpKFaMeRwM
78siOAPDlOrOWDNM1Eay/rVMob9y4GOYRJMb5GeB9DWmL5OfebxTEcONTwBEyffTVixJ3TaERyiN
1OU/JB/CnO3AdS3+op5weiEXgTu3V5XKmH1d8S2nk4U0VqPlxlS4GO6qDImKLSBHYlQiMR5XJ0+y
t6QUJ8ihMw7gyvyO6ZzcAQEWWmV1p8UrsJ+kTFJUgBzV7DmIefa2X+geJzf30khzmmD4N6RVhFp8
2v6qdliaklro39L41QpqwR3J0e9CX3+6OcGvYY4uKb3kKYfUkcgE0kx5sKv67ySJp61V+aTstDXT
C3cHlb1yEsLFmejJ2lT3vuU0BmsmUyq3YEiudzd5KfO2kbzwODuo5JJTwEhxEE2JZb1JPI3BwFFg
p/aoh0nP+a6OFi1JM7NUnJj8QvM+ebXfeAnNhfdLziqscclnWCBZ7hgXSM2lWL16TNrsudpOVyqU
iaHHrPOli4ZqHchx+6bJEZMBrW4i79jPcYN++5BCT2Dt9mHCpVf5jvWxytIaHN9KAx7Hasy7D7B9
p+ogltDy027CRQtFps/BrTisiyTk2QgWMxHrBBEw2b5Zj1/ovS1ibgdFjEn9Jk44sQwu8uruH1bB
FxYtLMLt/KbA7wScjZHQhbM1yoDsoLIz/7ZgfKEGeDMVWHsd6DAoSVGnw9XmRgNNfLM2XffXWUPq
2EUnImDb4lAzdO1yiVEN6ibb+fVQEMxvNlEgmQuSzBErLIi/d6JzZVwV4+ITCn7mb/4HYSXCDzHY
LlUYm/DkzSSYDsptAMCv/16JPgOUO0MS/WnrRh7CumEZ0CNuL/k6MBvoTdlHUTlmVsAg+v0CMLv2
2OLL27JULzidVavbCquQO8fTPLJZy0Ms4KC4C8XdDoAMDu8F6X/aD5JGqoGZ7Wxo9zKhJpCkaWCA
sKz5ESytMdMgao75o7bOH4VHfRZqxb0tv398Tob5H1vJlTVv0RM2QrMWTsnp7l1WdGkooDshq9nl
R0rL5z93CzpNyz8E83kS41yQS8kQi0H4aoMhJN+oO3ysKMkuG+olBBL8ncjCS2Dd49yjKq6b7XoJ
/xzMtGisplboipwUTz6qAyv14fUbhfz5zxh7kjMZe+vACqgUrxRNxS/wVvgMFqbsjPjszOWztmfk
nWo8j83BKyA4acQ6Eu5Kmhhj/FxxScN3wc0yUAB5plY+bzCtaCl3x3mrvYvUgsq+ku0nP2wIQbBw
A7r/iy+GQlq7NI+lfVIdAx6+QRp8eHRefkVNIZnqY1rV+afJsotz4tbwLG3/97oWfJyv9YUPRqaL
aVGnemfIqkVRqjlS5v4ZChcTSO6u6zdaYDAK/rAIev9Jb8xS0Y1u4Iz4nHH08FcHFJdiaEO0KSZ7
ylJSLOjIQod0EMFHgeM6B56ER4G9yINV+BdjN+P4jCCvFhseKFv6VOthmWQqxtiA+wUVo3Qq40Jk
2HSVoSgaS5ABvnfgefZnB5fsorICZdlbwOU8ZBC0UczB7V7gDp3boUTquiHcdC0eCx/Jp9EAOVuJ
tzbobbVedYTrl9HItI4z3yd122QMSQReIlrf/l10M8SjxlkJtfmTnhJkjgBplchbZAYSCmTkym/z
CtfhMavFxj3Vxg8H20ieyAMsq6h2azTtz6oFHorJetdLqzjZK7eUzEn5WhwYURmEXmkLtoDcYKLy
MtlKiCk1EkbjrTm9sk0alHd1vyR3tH/1/fayWeZdCcg4E0tLpb1sWPM1lDSOVia+jgjM0Tz23dJz
aW5hwTYTJVOdYo/8E3qosdOs+fJHQRvtWck+zOhZVlD+KyW6N6kPrCXg5U2WeLZN/ZocDORc8T17
PcK5FtgCfeGWBYfI97LpG1AtaIFONXxt3hxT+LDMi4TegIdK3ESnxJIUUqcdOwD5obXtM0OZP62H
14ZGHLv/zAiBn95F34soUyA2Jw+4k4yKCMNioJqYXqvk0z4TGtrwk5J0pKrLYQGHQmyw3rBcRJPA
aFZu0tew2j0tYCvTY1gtHUoUi2vVnVvaVV/btOuvLqGf4kvxoWrO/Y5TybJOmLVJUGZx+NuMK3Y+
JWg50R7ETr6py4pHAfai19Ksbr1xNFbvsnYD5fkyIa9CFLSeCVR4cJwWoYrX6PbMNX6gMdErZLQD
DPju5JW7IHWsj1SupUKisMKnis8+DOD3LcRRHMBsb954vXUSl4ORiYE5bPfxSbjNSMJ3A5thD6Id
nvELPUkehxnXIfHcUaR8063V7S7fITFKEI4U+5PNdLMpCrNFYCZogCTT+4iB7OoUcBmb841AlshB
2lIjgolUI3PviDeiHSA3IGskU3F+zogdeB+IKiJS3zAG2L6UthnVivg81EEZZcNU1J73iMQfs6wS
kmqnILQEuF5bApZMVIS3Jy3sjdKLd2pBmxk9oW/tNZfDxas/pihkt9lDXijZllkA6qiErWefUTNa
8BTub6a3ce0wy2x6oZaVbIB2QcArEV5yQo3UWUh9CuDs+VRiA3MiSo8TLAJxUhypOq3aLkcZiEYW
KpThBkcCP/BIhjTJnIKzKwcDc5JFxI/K2GuOa56IKuoNGlXVu3mmdTWIe/4/qg2t32yAkymYa4z6
l/4Ndhc/NKZnx6FDuZu6QA9spP2MZN+b/fUj1FxAkc7NKWVFqVz41DET6H/sl6AT5OMnsGL6n31G
UCA9jsa1b1UJfxH2u9On7/x6VhQdw7SJOs/LXxkmHjT8Gu3R5FcH+SQPhpZidM6dzWb8N3HfSI1i
EJ3fNex+Sv+8IiYxWQXKn06a3rTiX4J9YvGtgyt1wejZOWekztJzHxi+b0ClUuitk2+g22tWwwD6
YTLUjwm6fxaXpAY5w/AvwU4rIlL+wrIX5pY0uSgYRWd9G/ghqiX4BxcSu66cPq7G57urSKX0nZrJ
V0ZYUuSRpNdytSpM+9mjCBSzQsKV26P4h5c79dbjU3DQzb7BjF9CxXNWtmf74fIKglgwH2UFMNyi
Uus6CMdVGeSpXQIXdjq2MkhPQxHDKFcurKmcxb8UkNgd+SosVQ9h1IhO0QBYvyhzX1/JPVv29mbM
LSs3TD762Aq+Z6hO3H8JUIg8IROtfLDrglCF+HLRAa0R+zL+5834AY0xHWf1bcAl9y+cGrT2BbMs
HyTumI/MZTG813ktt8g7oWka1nqjM+7Y82ypCcg+4Ew8PKVzjm1R9GL8zOPlaGeQ9HNGBcyasdnp
P0ffHjHECRvRth7cD6jsDtGpmYWxFEJkNNdGFUwAwod6X6ol+dj/kAoa7BPlCQoAkKkh9iddeXSt
2YKglwRdSWBwW5+TzsUytv65Zhnard/4ul3mqHc+Wji8PY1x7MaJwngLgIPmuV/dIdCgHlGQ9yCZ
09eI/+y/bKnZ6LT4okpo9nU8vUDNi1aC+h0PXq4Yp6cLGSDMZ9DqLFVDDIEtcN9JOcZvP4PF1m6Q
PkBhbuRuKaG20ctLIR7SQYVz+xDhbyJS7cp/YIi4FD9xgaTyeV3dDiIdHhzXMAF9rzDPvjkKfgG3
fKXrJxM+lTiotcOzAaowxTn19QXWMEpuAyXzxijS6PgUdaI1RQAiRX0oCP8fFjn3QrYdHUo8jkZO
JuHhoonQkgSOjD9ElnfM2KM/tAb5OOGQxUObnG+uMP9YThmgFrapaqQ07ZPlSFTuBRh+qCx7qVA9
DbFPxmLWUNMG9Gp++v7lB08WvocTlJTFflONMCykeKFJ37h1aOBIrjWD5DMQxSEzJZaniNPaD//h
xhJdWjSjt0jIugVacupNsTjmngfyyOQysDYf4lKucM5iMern6+4syIabUjAlGEhjFrKCeC1M8HbM
bBq5+qNRyTTAk4uiQLNObMPvfokyxvQ0EmZ2FGu1LuXtqX4TcGoDyZnWgg4nwXodGK3JG0YHYYys
oLxAZWopQQQ1a//iBCKegA1gGMnqz3d8aJLzACXDtGWo4TOwF1SMjA1V6G/v5bam4tfq+3JdUjYd
RWEeq0yfqadDtQIN5g7UdLBRTtDwXfhBASa6jHMxXeeatDmqxTZlVjKYFGs0bsKtkfR1FBERbEgH
X0qotgQrIAPC2CEkoxSHMknKyqaa1nIBcxc3TnjSdpXI/EP5UZ5/PofEkDHXVGvW7IiNcpuC+0la
wnnAIOMOAfQaXVRcvWmYNQPwIXgr83QRjzqr22CqaEvUWEDBfJKSxh03q5MFwDBDOhhwaKq94s7S
G9mAfKCnCc2w9AtpXoBEPx2vOECLIwWUBNkgFAf+Bc+paUT/6SErhJCIdqow+SH4wHkdi8IyJTPO
SY17YjdtGfrryW6bPGtCkZCa91fCwrrXTg0/YwyAscrd4KB8dzxu3dxendSnHkTdD7U9B7hToRhD
wZVa4h86ISe/OYhgoJRaBzisgKM8ZyOrBYZZMTiO/Nf06PyeLmWqaHI/7Njo/j7kTfBotJSxh30S
W/TyZWYNxk2yOPQH9fF89qXBazbCJrDV/uRaqiy3IJ2SykMW95Y805/sjAQJjOnwIsxcBM0X9mN2
akfEB1KM8eJQYsIOMPMWCjBPvAsxFWahQof8ELl9jXtttvMaGpLyRg9tjkybxf5EsMhVbdojyzYO
Ua7sgImS/o231cI6BlWlMql8uC4s6lOVgj71Oh/MbgLAbeg4Y+JHE8j1GaHllPf8gZvCj7XhaeY/
Qd7j3y1J4RNUG8sx+vAhAb40rt6hHy02ybc685EUN1UT4YleYw2cyxkg8pPae3KD2f5pyUE6q0z4
Z1KFN+wI9nyBqr5qigi3u8kXy0pWrEBt4EFbELUYycnBQ/lYt2YnqW224+9MFeQ/QMIXqxrd08Wd
wLs5wYsHwJ2neR0kZHqFB0TRLjDXfYjIibifEygtj6iSYRhqrY0COqF6S08raJjJt1+kklm4YsCe
+ivH5/5iktYqzTsOM//9CjQNJrgfOWX7Ca9nf7URKcwlcAP3LZUkPT+xVQDsCcUV48e7ulHJ0ZxZ
ErC5ag5MbR2nhklwn6wLU5vrS7F+fT/BtrutTu4iZ6ED82onaOi448ygK/ogQA9JlOP54E7SkuIi
3ecGpxd/Pwyt38N8a9sVAZ8aQOBAHIhHbcXwRQcyBdz7z0jarygRGxmFI5LsI1WdOktEp4VEWvV1
RaM4nKLEMQ8/DG6XWSY5tz8aAIUvCpWj8A8iS6Ij9DliteO8GeSowcil8S8qSH8UMMrAltMe58mi
cD5M1GyTD3U8SEPazSRHVM8nlAl/VOzfay6fc4rg65h8qhgu9SsXZutsRVq+F4sAItiJmZqSndI/
7Uxutslc2G10nJLBHQF+QL7VG4U/de2PNHx4kY5F/30xMGrEpfavjlSQtIwsmZr+i3r8wCH718HJ
Effob7/WZMhkvzttjSsghdTQ1PtchFTAFkeBUtXeDDwcFyOqYE+92/zSJuwZ3HQ+AQc2/n3H3Sop
sdj4U0NddLNlCzf4g7FfrpR1dq0Prl6ZKZLIINgRONvkSn1Fjavj2l2qThU4KK/u1AtVodd6qUpq
xLLrvIPBdwEjRxZMirnND+JKyQ/hIYnCCuhjeQ5EW97o0emxGj0a3md+5v5yphVlGxgVC/KvSMSg
dm9DqqKLzsTjEZgHttIMYk6vzerTUNz48okexhS8U49/h+qQsOReV1PMVskQ/eNXFgflXiXeXAcs
QZDiDuBmFL6SWR5ghHLn6bGWhMRNO1wKzrqVRexV5wOCILDC38NzacosjvyzOXcAkZruTzIiPA6c
CQ0yXPBWn7bA5JeN+hlP5/FoSsSIe/AQnQ35ymWqCn/L9OB65sicT5xDxjGUaknBzT0uREqxvO6i
Wb4hKNPGvuj76nyDkABAd405mp0ASjLQgdYzULke+ZJ9SLfjD8otEy0FDn5optZEpRVbAeQEykmI
QT7Lm8SNRCpTKtIk7VxbCdOqCqoGUsqk7cQ6lm+yolhZz4HfdLq4jOgw+ouVzgnLnP9eg3CAHQtA
ktuK1KarU4iRyU6Y6DvEv4vuMgNzGTMf+bpwloOsLSwjToqyOVhaL8GIhwVYXMjKdfLkb8IfAjqu
fd++rj3vfi3tdRFepDvRcOYi+4C1GixnZN2uY1b3mZJSn2tFcS2ad252tX+bLxsHzyOA0vzrEAvV
y36ss3bpLvVRBDZFfiMRP84mpGsitZ0ALoSvsBMB5KLcWugHc0oeFUV6CzbjAEygnFsFLl6RBeYc
Fy2NmD/RCt4U7IDbF1wK3uVHvMBA6wgfahnA/M65j7Lea/qhNhdEDNHDQeJOnUr1+ZNYo3eloP7H
ZCEEADYnGGPfvFTYBShAXKTAhkQbCo1Z4ELGfh5M+hixSAl6E6ZBti/PbLvrwdoP+7ao6/KOURva
5QRlDDYw6vNzCNjLeHAcIr2iesu6R3FspSRRHNVHpyqIalqR4vo4VdniBa7xheczTyGgjoaZjYwO
5wGO2do5zNxwNx/7D7G+AMtgphP7hgumIcZxaqN6pzCb52EViVllnkxluguE7hUklFPG0hP15K2P
J/TKfCxgxaEBvEOfMpY1OBZxyKRSKJtneTFXaW9BPnkPxGzrUCFcSxhA6tszFWMFrGUVFGmSUn3T
nyfO15Rr+4yWs0axjmzo+2ugaDIdi1FMSeupg96zeMVGD9QDrVYY2LFTfXkx7g0AWi+d9klZ8LJO
VBcrHV0mgrh3Sf4rixiwsovIr5rAaZ3fukT3VfCSbTXTrN72/zx6QPaAh2ZokTvoNySE0J8BD9kq
+mbNQfH81/FOEVRDwm41R73nfitn0E3sopXQfPTy0gj2FrdXed9i+d8qV2J0jzBsVvhXW7DHIfpE
zn+fmn14DYEe8Q9ifdunDi+DhOa2JStPnzM7obLUesnoczLMc1HVQCUlv1qU51Ep9tTo389UsWnj
Hmiyt7NYckcYFLYw/YhUvwT8mvmsA9FHA1xypcsdZurEJLM3PcqwsZZvQf2IJqfvEpzyIUo+SOBP
bJ7ZOeOVgoyiRAbBw1Y2VdaOh1/kHug9mDJ+Cu6U/Oy/WwK6AFEgHAp14pH54Lpfz/vvD2K68OD8
5wcQphD5c58NS3CyXpWDWJbSIfIYbev/wTLqHyLygzbZ0ReiYMJuCgLIdE7fZlg09pJ/XV8ygOHI
HcUh9J90i4kYse2UFc3IpSy8gEnRPt3chrPDO9BuEpzHPBEaQRUzypEt5pkl4ZXCp9xusW2fgyCr
jXf4qsCnxPXD5kwF0/J1iTGUt13TIpWyRC0b7TubTwt/qU8S4+7WxEfWvrSb+e91S1H7OWy4+1Jj
oSEjiiyC2KZkmAEWiAIDPVBGpoyfJ8RqZzvBrfwkgcvTLgauFcCuCU/v8rM8hy2DIpM2C21CFDIo
QjdfrNfm41L3pyFVGPinbtIlopf25RAnJ2xgBPEsXNXgsC8ZYl1scPfrUT3GGLnGzvI3SP1osQBy
zUS/4n7gIWtxUDvLzpVr5s6U10ZtItjH9dO9Gr/TEdpjq1MQ996OG1fjL7sCZp8MBRab6ktH+a7m
cEZIDo6//ah9kasVFjbo0RfJv5UnPQoHulqF70TPYO8UE6/BDM97mmP5PUCE0Hcev6g2TkSkPpC9
ECxGRpnaV/fHKNCXB1dIhROAUse3w+Kh8rR3iq/XuGfp6n1PmkSFQsCflzkYNL/51saj6X0T8xdR
FYhhAeLqgH9REt38eCjJMfS+YY5T2Ce+zRj0GciGmSh1JAWCeKz20qBrBfCiU+KMim9Xf9KDK85S
0G3vviPeNNMsx0KyZ5Cz9jzPrWg6cP8uVZuPHfc0RutXxN8M+NmYhwoVeBdjfoUyDn2SxW7UDphx
cGE/aR5MsAh1ftI364aylOZBe4gWWdbZSNTItucVWpl9w0rsmW/lMZSUZ+EKxceB5wknzFOzag95
5zgPeCccoUabBxpatqxvX5WnckYDCH+dtuv12vX79fshNd4z1Sk+wZKN
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
-- dynshreg_i_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: dynshreg_i_f.vhd
--
-- Description: This module implements a dynamic shift register with clock
-- enable. (Think, for example, of the function of the SRL16E.)
-- The width and depth of the shift register are selectable
-- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY
-- allows the implementation to be tailored to the target
-- FPGA family. An inferred implementation is used if C_FAMILY
-- is "nofamily" (the default) or if synthesis will not produce
-- an optimal implementation. Otherwise, a structural
-- implementation will be generated.
--
-- There is no restriction on the values of C_WIDTH and
-- C_DEPTH and, in particular, the C_DEPTH does not have
-- to be a power of two.
--
-- This version allows the client to specify the initial value
-- of the contents of the shift register, as applied
-- during configuration.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- predecessor value by # clks: "*_p#"
---(
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.TO_INTEGER;
--
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.all;
use lib_pkg_v1_0_2.lib_pkg.clog2;
--------------------------------------------------------------------------------
-- Explanations of generics and ports regarding aspects that may not be obvious.
--
-- C_DWIDTH
--------
-- Theoretically, C_DWIDTH may be set to zero and this could be a more
-- natural or preferrable way of excluding a dynamic shift register
-- in a client than using a VHDL Generate statement. However, this usage is not
-- tested, and the user should expect that some VHDL tools will be deficient
-- with respect to handling this properly.
--
-- C_INIT_VALUE
---------------
-- C_INIT_VALUE can be used to specify the initial values of the elements
-- in the dynamic shift register, i.e. the values to be present after config-
-- uration. C_INIT_VALUE need not be the same size as the dynamic shift
-- register, i.e. C_DWIDTH*C_DEPTH. When smaller, C_INIT_VALUE
-- is replicated as many times as needed (possibly fractionally the last time)
-- to form a full initial value that is the size of the shift register.
-- So, if C_INIT_VALUE is left at its default value--an array of size one
-- whose value is '0'--the shift register will initialize with all bits at
-- all addresses set to '0'. This will also be the case if C_INIT_VALUE is a
-- null (size zero) array.
-- When determined according to the rules outlined above, the full
-- initial value is a std_logic_vector value from (0 to C_DWIDTH*C_DEPTH-1). It
-- is allocated to the addresses of the dynamic shift register in this
-- manner: The first C_DWIDTH values (i.e. 0 to C_CWIDTH-1) assigned to
-- the corresponding indices at address 0, the second C_DWIDTH values
-- assigned to address 1, and so forth.
-- Please note that the shift register is not resettable after configuration.
--
-- Addr
----
-- Addr addresses the elements of the dynamic shift register. Addr=0 causes
-- the most recently shifted-in element to appear at Dout, Addr=1
-- the second most recently shifted in element, etc. If C_DEPTH is not
-- a power of two, then not all of the values of Addr correspond to an
-- element in the shift register. When such an address is applied, the value
-- of Dout is undefined until a valid address is established.
--------------------------------------------------------------------------------
entity dynshreg_i_f is
generic (
C_DEPTH : positive := 32;
C_DWIDTH : natural := 1;
C_INIT_VALUE : bit_vector := "0";
C_FAMILY : string := "nofamily"
);
port (
Clk : in std_logic;
Clken : in std_logic;
Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1);
Din : in std_logic_vector(0 to C_DWIDTH-1);
Dout : out std_logic_vector(0 to C_DWIDTH-1)
);
end dynshreg_i_f;
architecture behavioral of dynshreg_i_f is
constant USE_INFERRED : boolean := true;
type bv2sl_type is array(bit) of std_logic;
constant bv2sl : bv2sl_type := ('0' => '0', '1' => '1');
function min(a, b: natural) return natural is
begin
if a<b then return a; else return b; end if;
end min;
--
------------------------------------------------------------------------------
-- Function used to establish the full initial value. (See the comments for
-- C_INIT_VALUE, above.)
------------------------------------------------------------------------------
function full_initial_value(w : natural; d : positive; v : bit_vector
) return bit_vector is
variable r : bit_vector(0 to w*d-1);
variable i, j : natural;
-- i - the index where filling of r continues
-- j - the amount to fill on the cur. iteration of the while loop
begin
if w = 0 then null; -- Handle the case where the shift reg width is zero
elsif v'length = 0 then r := (others => '0');
else
i := 0;
while i /= r'length loop
j := min(v'length, r'length-i);
r(i to i+j-1) := v(0 to j-1);
i := i+j;
end loop;
end if;
return r;
end full_initial_value;
constant FULL_INIT_VAL : bit_vector(0 to C_DWIDTH*C_DEPTH -1)
:= full_initial_value(C_DWIDTH, C_DEPTH, C_INIT_VALUE);
-- As of I.32, XST is not infering optimal dynamic shift registers for
-- depths not a power of two (by not taking advantage of don't care
-- at output when address not within the range of the depth)
-- or a power of two less than the native SRL depth (by building shift
-- register out of discrete FFs and LUTs instead of SRLs).
----------------------------------------------------------------------------
-- Unisim components declared locally for maximum avoidance of default
-- binding and vcomponents version issues.
----------------------------------------------------------------------------
begin
INFERRED_GEN : if USE_INFERRED = true generate
--
type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1);
--
function fill_data(w: natural; d: positive; v: bit_vector
) return dataType is
variable r : dataType;
begin
for i in 0 to d-1 loop
for j in 0 to w-1 loop
r(i)(j) := bv2sl(v(i*w+j));
end loop;
end loop;
return r;
end fill_data;
signal data: dataType := fill_data(C_DWIDTH, C_DEPTH, FULL_INIT_VAL);
--
begin
process(Clk)
begin
if Clk'event and Clk = '1' then
if Clken = '1' then
data <= Din & data(0 to C_DEPTH-2);
end if;
end if;
end process;
Dout <= data(TO_INTEGER(UNSIGNED(Addr)))
when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH)
else
(others => '-');
end generate INFERRED_GEN;
---)
end behavioral;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc/fft_16_bit/RADIX22FFT_SDNF2_4_block2.vhd
-- Created: 2017-03-27 23:13:58
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF2_4_block2
-- Source Path: fft_16_bit/FFT HDL Optimized/RADIX22FFT_SDNF2_4
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY RADIX22FFT_SDNF2_4_block2 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
rotate_7 : IN std_logic; -- ufix1
dout_6_re : IN std_logic_vector(19 DOWNTO 0); -- sfix20
dout_6_im : IN std_logic_vector(19 DOWNTO 0); -- sfix20
dout_8_re : IN std_logic_vector(19 DOWNTO 0); -- sfix20
dout_8_im : IN std_logic_vector(19 DOWNTO 0); -- sfix20
dout_1_vld : IN std_logic;
softReset : IN std_logic;
dout_7_re : OUT std_logic_vector(20 DOWNTO 0); -- sfix21
dout_7_im : OUT std_logic_vector(20 DOWNTO 0); -- sfix21
dout_8_re_1 : OUT std_logic_vector(20 DOWNTO 0); -- sfix21
dout_8_im_1 : OUT std_logic_vector(20 DOWNTO 0); -- sfix21
dout_4_vld : OUT std_logic
);
END RADIX22FFT_SDNF2_4_block2;
ARCHITECTURE rtl OF RADIX22FFT_SDNF2_4_block2 IS
-- Signals
SIGNAL dout_6_re_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL din1_re : signed(20 DOWNTO 0); -- sfix21
SIGNAL dout_6_im_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL din1_im : signed(20 DOWNTO 0); -- sfix21
SIGNAL dout_8_re_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL din2_re : signed(20 DOWNTO 0); -- sfix21
SIGNAL dout_8_im_signed : signed(19 DOWNTO 0); -- sfix20
SIGNAL din2_im : signed(20 DOWNTO 0); -- sfix21
SIGNAL Radix22ButterflyG2_NF_din_vld_dly : std_logic;
SIGNAL Radix22ButterflyG2_NF_btf1_re_reg : signed(21 DOWNTO 0); -- sfix22
SIGNAL Radix22ButterflyG2_NF_btf1_im_reg : signed(21 DOWNTO 0); -- sfix22
SIGNAL Radix22ButterflyG2_NF_btf2_re_reg : signed(21 DOWNTO 0); -- sfix22
SIGNAL Radix22ButterflyG2_NF_btf2_im_reg : signed(21 DOWNTO 0); -- sfix22
SIGNAL Radix22ButterflyG2_NF_din_vld_dly_next : std_logic;
SIGNAL Radix22ButterflyG2_NF_btf1_re_reg_next : signed(21 DOWNTO 0); -- sfix22
SIGNAL Radix22ButterflyG2_NF_btf1_im_reg_next : signed(21 DOWNTO 0); -- sfix22
SIGNAL Radix22ButterflyG2_NF_btf2_re_reg_next : signed(21 DOWNTO 0); -- sfix22
SIGNAL Radix22ButterflyG2_NF_btf2_im_reg_next : signed(21 DOWNTO 0); -- sfix22
SIGNAL dout_7_re_tmp : signed(20 DOWNTO 0); -- sfix21
SIGNAL dout_7_im_tmp : signed(20 DOWNTO 0); -- sfix21
SIGNAL dout_8_re_tmp : signed(20 DOWNTO 0); -- sfix21
SIGNAL dout_8_im_tmp : signed(20 DOWNTO 0); -- sfix21
BEGIN
dout_6_re_signed <= signed(dout_6_re);
din1_re <= resize(dout_6_re_signed, 21);
dout_6_im_signed <= signed(dout_6_im);
din1_im <= resize(dout_6_im_signed, 21);
dout_8_re_signed <= signed(dout_8_re);
din2_re <= resize(dout_8_re_signed, 21);
dout_8_im_signed <= signed(dout_8_im);
din2_im <= resize(dout_8_im_signed, 21);
-- Radix22ButterflyG2_NF
Radix22ButterflyG2_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG2_NF_din_vld_dly <= '0';
Radix22ButterflyG2_NF_btf1_re_reg <= to_signed(16#000000#, 22);
Radix22ButterflyG2_NF_btf1_im_reg <= to_signed(16#000000#, 22);
Radix22ButterflyG2_NF_btf2_re_reg <= to_signed(16#000000#, 22);
Radix22ButterflyG2_NF_btf2_im_reg <= to_signed(16#000000#, 22);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
Radix22ButterflyG2_NF_din_vld_dly <= Radix22ButterflyG2_NF_din_vld_dly_next;
Radix22ButterflyG2_NF_btf1_re_reg <= Radix22ButterflyG2_NF_btf1_re_reg_next;
Radix22ButterflyG2_NF_btf1_im_reg <= Radix22ButterflyG2_NF_btf1_im_reg_next;
Radix22ButterflyG2_NF_btf2_re_reg <= Radix22ButterflyG2_NF_btf2_re_reg_next;
Radix22ButterflyG2_NF_btf2_im_reg <= Radix22ButterflyG2_NF_btf2_im_reg_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG2_NF_process;
Radix22ButterflyG2_NF_output : PROCESS (Radix22ButterflyG2_NF_din_vld_dly, Radix22ButterflyG2_NF_btf1_re_reg,
Radix22ButterflyG2_NF_btf1_im_reg, Radix22ButterflyG2_NF_btf2_re_reg,
Radix22ButterflyG2_NF_btf2_im_reg, din1_re, din1_im, din2_re, din2_im,
dout_1_vld, rotate_7)
BEGIN
Radix22ButterflyG2_NF_btf1_re_reg_next <= Radix22ButterflyG2_NF_btf1_re_reg;
Radix22ButterflyG2_NF_btf1_im_reg_next <= Radix22ButterflyG2_NF_btf1_im_reg;
Radix22ButterflyG2_NF_btf2_re_reg_next <= Radix22ButterflyG2_NF_btf2_re_reg;
Radix22ButterflyG2_NF_btf2_im_reg_next <= Radix22ButterflyG2_NF_btf2_im_reg;
Radix22ButterflyG2_NF_din_vld_dly_next <= dout_1_vld;
IF rotate_7 /= '0' THEN
IF dout_1_vld = '1' THEN
Radix22ButterflyG2_NF_btf1_re_reg_next <= resize(din1_re, 22) + resize(din2_im, 22);
Radix22ButterflyG2_NF_btf2_re_reg_next <= resize(din1_re, 22) - resize(din2_im, 22);
Radix22ButterflyG2_NF_btf2_im_reg_next <= resize(din1_im, 22) + resize(din2_re, 22);
Radix22ButterflyG2_NF_btf1_im_reg_next <= resize(din1_im, 22) - resize(din2_re, 22);
END IF;
ELSIF dout_1_vld = '1' THEN
Radix22ButterflyG2_NF_btf1_re_reg_next <= resize(din1_re, 22) + resize(din2_re, 22);
Radix22ButterflyG2_NF_btf2_re_reg_next <= resize(din1_re, 22) - resize(din2_re, 22);
Radix22ButterflyG2_NF_btf1_im_reg_next <= resize(din1_im, 22) + resize(din2_im, 22);
Radix22ButterflyG2_NF_btf2_im_reg_next <= resize(din1_im, 22) - resize(din2_im, 22);
END IF;
dout_7_re_tmp <= Radix22ButterflyG2_NF_btf1_re_reg(20 DOWNTO 0);
dout_7_im_tmp <= Radix22ButterflyG2_NF_btf1_im_reg(20 DOWNTO 0);
dout_8_re_tmp <= Radix22ButterflyG2_NF_btf2_re_reg(20 DOWNTO 0);
dout_8_im_tmp <= Radix22ButterflyG2_NF_btf2_im_reg(20 DOWNTO 0);
dout_4_vld <= Radix22ButterflyG2_NF_din_vld_dly;
END PROCESS Radix22ButterflyG2_NF_output;
dout_7_re <= std_logic_vector(dout_7_re_tmp);
dout_7_im <= std_logic_vector(dout_7_im_tmp);
dout_8_re_1 <= std_logic_vector(dout_8_re_tmp);
dout_8_im_1 <= std_logic_vector(dout_8_im_tmp);
END rtl;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block
EiX2NukX2J5+TgyRDb6FpolzAYOQ4QOKtxqTXAq5PT0QYib3mBeRnC0343Lv6mt+UF9OZEGgk+ro
aUIkVSW+1KH0UpbMBBy9Qw3S6Z1g/U7xlk4BWvlLwi9VKw9J/90WXNUROGm+ObPM/p/OxY6Lst+g
gsTV3EOAlyvLcOttsVk1HIyWd7kyGFt2iMDPrpX/InfKT4DMwmxjaT7ho8fX5wV5ETG4AlI5o3E0
tTM9tgC6UeDz5tzorurtddr1FmZMyvtbeWJMVSVbIw9eH7bpX3QLk9Eaf13Xro2SnxpOMPjM1Jhu
PzP1GJdnVjjHfrvEhFrDQ5Cgdaf4ZBF+MpO7lv+nEO0F4jrjuMJVW1VmSQ5U7ajMvrytR/ylHSzE
fC27li09v1vMjplqERNJ+l7b4vuvyzvtJWIWRS2TmySGnjN6MUhfWCSDHdZw83MS/CbRsg/Rzi2z
bwW2cY5h2t6YRwQPFGXwQEwI866VZMC9/ZksYC8p3Bf+CJJw6HVAXVjFyAqaY3lmMW/4WUfDRjuf
FTeorM4Ca+xVNlS0WUsu6cgO81EEEl4g0xk2KtTKJf6HhhUi2VbNanV9F4G+Sb3CggGfghi7yOjq
equKMUyTCx5U6mAqGkp2pg59+OQO5DA5UTwT2T/h4xB+t+3XolGenaVmgYqjB51j2O32ldcpaZIu
svKhfgUZ5gHF3ETtb3fq3C65BnieX0n+3BVZFBwSwXaH39Su8WFh/FlBSv7oAvCjEd17ov3LshYs
eV+ewy6ePobOitV6D+tNQE8zzFrNWMaLe04jFsqyE9tCpU2CdB0fDi6OQkT/r8Hkq10gZdpPA6pg
6Zs0SpeIm+IA0M2wkLZstuCg46OMTJ8MkGBxXaWKRiv20pRgMBpX9pt8x7sZv3CBTdlJIonmFo8U
+lR4fhmcgLj40rAa7Ma6bY5+gMl5T2VdUOlSAg4DA4bhg9hCj4VJAm7ECxnz2KZKUzUp94soPCnX
/sACtazlpy2rFn1jIg8oVrGbPLhuIlLhWZkslpytsbJ/fEGxXys3wYAfKDAGuNCPGSAD/knuKmfF
SwrrhDX2ln5tyeccQjVJ7vpsrEazs//z+mclW4CYdgtRUcF6aD0uLdxc4OnyR2dKaabBTpGFE+W9
kj8ZIXJin3HzWjyZfFzgYuvlvezHHQ96Ls7WXUWGXMiWhCLY9T16GwWKgH0CZP9UZj9Y6YLJ12Wi
dSdJ2Z6lx9e7vASeXySfBcphaON/PEeWFyRpqFoGTomGwWCPVcVI5aHfcZc5r+mZtE3bnnVFQ7Ch
4OP2TLaPVADu438id4xlcDst5IGz1h9B0mGScuEfLEBSWPwDhTAyLpymbZ+R4FAv+Xrgao345BF1
VJb8nOTHJ6XxX6K+a7yG7YmoZZBlobjFqINeBf5rUznufD4dvcwBcRAwqVm80DfoCSIt57FhC4Fa
vQKB0+DpXwMEss7gaJgfJMIoDRADAqCq61XEoSpIXO6B0dqiCvECTqARJ+FJKbEz1/MTuFqrBHCA
ydWTDW36HNq5Az+ekAa8tjcG49f9sd2uAjieZvzMJxqaARQGEdDiEBQioZwB3La9PbB6q+u28GZh
n9knCcgBjV0fYs0+riHOkd+t27FDn2VMgysFCbRpxQ712LH8zTmTNacoBkD05dFCKPmphx4iOyIW
wfVEppQdQRGsFKp9cK2keRDOSlozfSdP4TCk4bl49QXvShLx22CqU4n1OmlmY5CjbT78VrQ/j3jq
Dn/5pUp5FP7FthhSJNjmuLmaTZSU0lKh9xBcUblHY1MBFLj0gPABRiPC95pb674R3liUp7YqmTfd
3pIHIZijNQtofXBTyW3Bdh1+09zAV1rmtQRhmmn2LsR4LXGuHY+3ap+5G36IDmcwCCVtRpOA7t/G
NLYuiaUvAwUWmGW24jvSszCU90iqbwgAOHcZSrE9+kvkofVZa80k1SWI6phA96lh8z3LxfN3r/gH
yQFlXW7FggGdi6SevpeD6L4cc0lV8qZPkfm+EOKn68saSrfpipcyv408sMwe15T7mmByzeHq+G9b
MD8Pe596rl8CPle5b0jzuVqtAdaiZ6EB+m5VSp2akxfePgcIxg+2IwQcSQcVFQuLas+DCMzVN0dl
5bCBlCUWwPFWN6onVEwIorpJbBLhcEm9rxgIJ4LxXQUGZ8fLY9JUSip/i3g/ZOksvh8+JNRbhrJX
fc9cvgt85rQLxnGsy48Fn5Ge8q3EEetVXUI8hBuFiqKeswwoEdXtrseOOZU7CxgmkrmKDWWrujZ5
R09A9tFrWvT+uuAfUHeBfmGrx/6pmAAGA0X6SzVWQYoXcs5EoDQxalGxwz9G/y98B0M8UFGa59PD
cuTBIuvIuFI2/zNpYBaNsOuxBbnm9OJLRhAYFJeIJFdv2SghjXumYdq013JBDgg6HCzJmv3EhPgo
HqgfaMLCQqGxXWJQUp6ftwcGlqaIDnmKCLkqsrwOKdAGTanNGtUVDsg6tnbZjvmX6Okb7f+Pr6TB
UDRAV1lJe7ytokAdgoM2Qp0FCccILYBEAZ2AfJEBvK1HPv3lAgYeU7PlzWrS//GsJVuTnKlUx6Ut
h4zKQCeCsJ2xgtQMi2PA+T9Hlh6GD/TpKhI7k0gEhhkrQWGTyn4msXkWsJVU7vEBO8MtBqByL3cv
FW7tiQEPGdcJ7fZVldn50YgZQYZhxqhdJQ/dhRgcSdyD+A1W2DiHlQbr4+JjHo3IatIpJ9Gnlt38
TK4lFtqDHPRZ5vXw2hf9K5XNtcpMzpOxcCmnWZlZD/agQ23uDtpE81aUvIYLkJT5Bn4xnveWRxu3
4Sa1FgsXEin0n78gen63Pv0I5G3uZDAmrbOIgiQYi2EicMXCL3uVDLafEghhEngHlPMhIX7NPJ0M
f1TS6WHQgfckDkWCbwqcj9HwwnKg8I5ejFINSnSJJ+WLnpZpa5U9qFKyuul1JZqpvNMutu4MiG+F
+IS18j+1nKH6kjhBN3PSWSImEpe5Cs+hlghx6JHzgOIY1CBWTDdZozrPnuV3zgGV2ab649rbPpDu
D1K5dDORJrtrliYscBMTHKconE7vMKuXEwC880+fBfAy2nBn9+ZCZeHqOPkkBthen9V0MyGoxqwd
fotGe+aUUGDqGzNVg+Ix5+N+s7oEA8tJ7sgPoIiyuFqXerk1/uTSImRTEHH5M5LNEsN38veEphIp
vF8wAOXu3sbYFAVoPN+tGj8nvnIa0sORcoswYhEegVMqkQwaxJv5iopeluCq9Znfr4ZOKdgRWbvR
MLEpJGldJLYNSZxCRiGWNQmL7AlPXsOacTL3Ei9OCLmjVL4xB2tz1o66aJEej5hlvG8q3JfbIOtZ
NSSSW907oqcRqkZUK/23uQsBzDcFyD13OWQkG4YNce2dc7CGHkNcyLDjVcgk9jz7vVw5NQe9kZE3
y0XaZmtd3YtUPUV7Ry2T+RhnVAxm5a2sV2EqiF8BPMUuiv+NvFe67FrF34cZjYleAQiNS/GF52rN
w08KoaVP7xDHeLxV5+d8P4s66lDVYKsBxM1tNXlQA7pgzsTVZRDLyyl2FaGeJg2RxRmo5Wb/bKDU
D4VXxjTaNW8ZUvypDo61EMureRbTeJVabz4GrrzRnzwJoiIb+UiE8x211CjuIQv37AU1ej1ulkPO
vW+Y2vIfbeXzZXVIlHA2r/z7WPVl/Mdsn0WbX/+iPuwJ2lsKsdXCEfXteGbMBuoo5d2lk3JV+I39
J/g5hQu+R+aFmDJgPs5rNgNSWpKYUJ7fizqg25gn/QAF0q6Fu05ZTv0dVzz6UDmPJPaGi+8lRTJ2
/L7/iy61wvlSUAD++e8qFs2yxl/kXZDKGv09svb7I8QP4uPcgKYsfD7RjGk/yNvYh/oZzrc4vbQp
ggh2jYC1Q2iSXqyBGhmLzt1Z/d4HVLONYE5CGFxxbF+WXpyl5jTK6kqvwlLF3O5Puu1m2yOrB+VJ
vLGrfaj7cmBJuBLHO/OrFpw0HayacX1NIyFNSszD6tJvLBrRhN3Jo/6hzgIXuvpWtyp/iVl7THgr
d2jv3Ot06aUb2mfyf29K8Yj8ZV2esikjNaTSoULT5LbUv/XSFO/jlWXrzLj4X+rVTbl3vgI4JTJR
5Hu8CjVbAI4M+h59TF5jsNdIPwlNVxllHiaEub0NQMMfpnTt1W1C8g7Qnq765ZzL/JyhndruB7X7
RW4TJWbUYeyZhd4hydITrH2tWf0S2ru8Vz5h2O/raw/gbA0FCt1bKCxKBM5WxoWNv1Gap61YRTIb
g6CnoZ186ZIqcKAKMkAuEfSO43Mm41uZlNmWntdQtG/Q88hKyyezAMMNG4YVrXZiQ+FvmLUp/53m
sqVFUyY9ROo1YVFMhfHAs4ImMSPoDe8jnBqEXnMK1CkSqFQ6lojYhK1xsXDcbMV4p+yPANZLWVkk
jk/z7LhC8Qc40txM36Xa8BfP553uN9vDYbkqdQevVu2b2AH+YgGjDDUJGo/FfOv3U7LLg0vOVIx9
n9XTTIb8a2UbKvpoEMR/DOPDICJpUQu2DMIRCa0U+cO8eg9mr/5SBlouG3INjKyDg6BD4QbieDsY
XdaULVJwSRV0nDgCmucr3gVKCViRUmfVGYwzNBpMK57T77tZkOPM8QCCVHM+4kgW9mYR+sdR4xaN
WfYnZHIQS7iFdGRqo9J6Lq2b8C2pu8wLjnTcTF7aX8dQjaWbjnET73DCEpT5/419FUIuEp4hhaob
HRnC3QEYn6s/MklVaK9qLT+yuO2u7Aqa4F3tojlXQiVyNrip2Cnbp2SHNiPOjtF1DcWPOk39vN/k
si6qLk30iuIxqfP8SjcSnljlYxJcOBh/cYzEYA2io4UW2+sBJ1oxfuTrrKW8r2vJnKwbf671N7/6
m60gvhRlW6hBUmZmYjzCTKbh7/nYvO+hNX+E2vCap/JQsqjqKFt+WcQMdiVFTV0Qw1NPWlqAk4uM
9Jo0v7Fs4kqgs2DJQtmp8NjaXmAbZwzYkY1MYST9mguUeto0E8NggfvQ81DgTo6keeCMQmg3xF/M
HNAf1kbwQ3vqWNKDVKo8BeqaAg45zBgDsWNE0N9uMAmMH6q9/0CaU8K7Jd7JZbg5MWRQOM8QifTK
tEdqLRSFUUtTCoJ88Nvyy2oX/S7/o1mvid8ddGjoRH7QNBPehYCL6hPXXB488wMJgzrCCBHP8fSy
UQlz/uQUNrff1GFlfSA7bZyYufv6Ds+ftUYa89xeY9CYrKuLDWVhV58BTo9xxS3qGqsjtjKfLK1h
bUD1NH/tpKSFnNWp2fu7uMHzh4lRArXeQoxkqOZndEWPnMp/aHXFNAQhPscOOwFXcLlwfRv3C6Zb
mnBMVfsbJRJ+1Itg/pDLtveucpm3eZlLYXerxtrY4Cs8CLVGdPztQ2OwhLaW7MkKR2dTyyCsh0Td
2VAw6RFRsq13ii7k2aiLB5qreHeA4p1AWPda0ymNggk8dDzVQZl3ENSvXDNpUEzkAEq1pT8UII53
LvrgykDqk0KfO/7K0FFuHSJpojv0ndQ8c/H7QAomN7ajnGh6wQ6v3fBBrRVaHLn/DBoRCUQn2gq5
TuPvpda27quCS5hsUj4eA8CwgqM/4WmtH5h/oMh78tL6vJvRsjK1WfYdGok4qFlt3X6fSJw7vHvw
EsRoDCnb1eL1h5jqDDZerScZb8SMpICDY321ZxedViwPI4Dx9KOd6GcQ2v3XQzQkBQ6DvP2TV4+z
0wb96e7gfsf5IhuP+VqlTRmBJ/QEgV649Gwl6NHiBi9Wf1OhkMI4gtsI6wRSsNt4DYv97ZptDBwF
Kby3zGsNBr4DyC0kF/q6rvh/9vF8k2Fo3HQgDRlgqG3ms2EEfACkIKn7xNzpGY4DBq1ghM68b7Vk
JQu4exBmsnt683u3Hi5H7TBGKMa9fZATNOlIsUjjasjU/cO1J4fSO6CcEk72OCknmqjb3CZH1gFV
cgrTS4N7lAgRySBFi7gj79CrV0ZilHokAyJnxdB40hbfX36fbxz6MddO+NF+DgvTUdxm0R/skhIl
epAWG6eoRk7MUO9rCe9EJo9E6PSEcnJoYsCCfRz9qyj/kRem1O0FFBPu416AyWEgpvKe+fc+lyI+
ZhOQpwIkLwfBI6T2MYOohqrwNgYVUPdaGSE10dkF1+MvvjejNWK8oTSOsljEb/Dla+7VTV4KKHpP
gegFmcVzU+cHhd3V3Z8xno4GhToznN6hKDzirpPZlImVn6q4N5PiSY3GfAvepdB5SONiZ3GPailD
E5x5G6wYDdTkAfizvZnKqUTmjB0OPVeGOMIVNNOW1kZVN9ClNL9OXhmkF4e7k346UYMRyZdkbvR9
uUNSbtsDqoCe/WVLT7eOdIrRNn02l/U2vCtQMtrx1Iq4e5sP1MJJ6sT1mk+zaddRc+eA9MJ4WKxY
6ij4NNe+mJYuzhWJLmpXhdxCEdRPAbaWIZKVsRzxJISc1tKXO0eYLBvO+MUe+CHJuS2iItFukw3w
lmhkuJCy6hDY8J/Iob0pDzIdaUN2EyVAdf8I5vGzW9w033q2afVaILW55ykVkSNJ93p6SW0Swwir
R/a4d9Nux3fhsqnmgZ6XeErznu+C6/KWklP3jhZmtWdponpCeyCfTTzsCY2hoNyyzSS0J/v01/Y3
kl9iOKZPxjNAEPwIpn3Oy1H2UC4J9FGBBWq7s0a/3dn5FWs6oYYI6Yvqf5y2rb8knJZ687CHTUEd
h0aSuyoyaBLP8nAILDXn8YsymzWUrR/H08d8G9EQ2KaJIjsdArm4rmop0O/KiWqCxPS0W2zkNQbT
XSgsepjdBFTJxZJuUtcamG+l1aJBcfagj4/rFdRtbsDAnzI44ubLIxKIUJ/ziIVxi1fwyT6rBjG/
hXSaBSg3JIvNLDjv0kFRuX1kcw5VjznXn8tBK75DMvVaBtyPvq2bxaT4smCgPQluLU5bLklJs2ly
Zxzly2VMmIjoV53mQEyyL+Z50I/XXFQsxwZW/sH/oOy5fzLsTO6oWjMYHKMKNQmf/ljvo5L5j8Fe
uXL2+M0pwOKmphx48vDxC1vEbgWPg++UJcEP9BuXI9mQzbAtmbFROMPwy14rwO7asHO35TLaDfV8
q0hCk1fzn84/+RLHbJXnRHAqCy73h8TOzSrI8GHjy0zqJ8FVFvF8K9Gsiru1Q950duKYR7KU6Elu
GJWAI53l35Pegdxau6UU5TQ2ZrS3fmh9nQ1ZKtMRjDYsZtPN+Bpr6WRy0oNZrs5cePwhxf7pzwEZ
JFLzVykgOLavs6nsUrCcEL1QvuiDzPEJfdBsIwK7Ux1xJtLGTcRSsp9Dgf2XUJeHxhW7PNvhF0vQ
ZmqHvCcT1bRHuvqa24NarAVh1WE8SLJXt7VVTcMz3YlBzzoq9IZCytELPks+FjKPO760yisDunGT
cdDmAQKIpqWhsMMrfnLLxgmZ88bBcjYr6xjdvO9Br/JEvP1xteLuGYsblwkLYuhHPzyUVkKnZ88t
d377JG2xMyLuaL2wfSxSZU01+ftbwLrtJpvkC2kPdM+gp4eB0brcPI4Cv/wLNtkUVuL8V+UcnmsP
PAnlKoYuOVWSjDy9QCvQHrDgd06BmVhFLvfA0qx8IeKhWPrkqGxU492nhHxRRB6LQI1NynPDkmv6
9KbRdhBm9nz+4tTxSlZPhGPDeS2AVRRdbW89f4oppYLbVKx6JgTaMfmHyd8BSEoWDddKp34MsQDj
ubYJ0/w+yolvDwYy2t2OP7WIigRhK9yYhgCKWqBoAfTWQ1IpJdwVu9PtBScYLRTpvfaRzibNQ+Pn
wLl0Dyf61Krbzqn0M/lmJdiX1tGkGSiSj1NcJVrLLS6UDndn9brSwMQFmj4NR91t0/FfWGdMefjv
qdfxu/E9cuS1fwjguvOvLSfGQ8QJBENrC1A6qyRN9cBPdyFcWXV2hvc9ZcCi2Uv4AY8YvxDNPbGZ
CuRXNF/ELd2rUK+8/lX1lm8Ostu3898Vnor8hUfD1EZKY9wBO0N3BWIsXuLN0l8vibshBd5SdWta
9+muydnaYf5Uczx9pceUddVLr6Db2st229IERPGZrJvEnkGUAHVqaAm+EiIVzGOvnD3hxqvlvqML
5+lMDy3BZxjzbJH9WOd82/pglYvDTnO5fDu/qdN2HLAegkGHVolEgzyoMvwYcNDyQ0ryk20H2Gbq
SaI/S3Ctkoe5mpNbs24N12FYboXYQ1KK7iDgBlBsuatp/x8blFDkJv4+GeSLJweL6CL0eV+WDURZ
onOX+jjKYTc7RCn96Hv+eZIflg4tuUfA+zZAAtCHCpvAKcINm6m/1Jl/xmVauXmrqwDhxi3RNmXT
ecLn3ASOAOvlrr9/Qe2ImsfQtSgbmoCoNtckTxuTWDycQQ6IRN8ZyTt96XdN6H6VHnS7HXDNujt2
vcoNZFfa6yi6LV1t6EmRpDCD5jNgSyfJZRlZLfkxkhtwxL7lP5kmUcPNqUmpJih0TRss6mZRZtPN
kXbzxz6+/iD3d7b/S9VYw7fTsqGHi3jXtJ/i/mdVglH2ZD/iCVExRz8ABjo4uJHRMBJLgeCdi/ZD
LP2afiNk8x+mJNFEbQGbzizjn2wccFlixaYIq0kKbbCyTRgKxx3G6piJIMZTBOoGvizGl+BEZyzW
Qo7B/rcu3mhifXgbzvcdWtUYZwW01/HjcdmeW2pL+wwvlG1zBHtEHGrTzpEmjGTo7L5C19e0O0FM
VJaw5PABm8d1DmMugzTW48UQuiOW35a+zrN7xelYJTvIlORajKutvyJTShsym7V+Mg73B/JbR/dZ
eDq92YEF3ExDN1wZ6IrW+NYXNFKopg4RpVqxdcJjMUQbhzCGol4XpN29XaDZxT2FrmDLyum+eGBb
FRg/gG2IlQXEh9iuXw8tmqHe/1aoTWhlsWTfsOJWhN3rjQp10K3lNGua/PqGH8Q+FF53Hrkr0BoQ
VsnIGLS/mfjnNIpuHLKo9XzVS9Is1MFnlVPXycERJnVsN4GY+c2MhVHl65/XKn2CschStVHxaCdo
p9FqadGD7EKL2mgdj945O2OH2YhHVYvDz0KzC3KIG7euuBUk5rIrGrNsi9eNBbpseWLYfgKMmr9e
kJb6JPalDX8sbFYpAJMsu2nHWfmcN3MWviEDB6EsjlBtMGrsjCsikw2JlVu7sX/Je9aMKjW+5IKn
SPwkeZSqDHiPIkv2p7AK52I1BRSziz5N5zHRa16EtJneTDe28YM/dF4QMYSqdIY0187fJ/sQq6S7
Q7V6cSwmyIUNHnZXrLmVs0Ud/BC93/wR0dj7Trd/ZimYW96Uo+Et73OK1d7gfgGZP4nnVgNALnDL
JMGn3ph4IquGUAZLovuTxjMjEyLUKaoyLNl2lda+z+/WFbN7ZrAEmlmtfmX2BFx3Gt2W0rgL004Q
M37sgYO/rNWqxQmecIIdJ/2KKf8Mcbec0Grjd/BNZA9ASUV17qYet5oRdoQeH09cj+srQUmOv7Et
YvQ8mEkfKPWfn3RS6dxVGCDGNoso1BTyc8fRSyRJHzeJPn1XRRoRYrQouJ0gJmOEjsxIFRNm+/kO
8f/atOq1tjj0XX74rRJbU3tYiHB5d829cWolm/qIg69qRv6OGqpwOv6kDqze/jLd+XVEo5uP8uyL
tcbnYZk3ZsX58OheO9RSInWebUJeDR532fVwUEQM3o+WfpYrlE4qIcfwplTFFa/gcggz+/IDjHwg
DbPQC0yn4PGpMa5h5TN8lkUB5D2P3VT183D/tO0+EHJstmhf+hmIqHneEoTe+rmveYHMhNmSR/Qe
sPIyW1EQanfwa50FIRTIVwZ3Qg28LCIgLBd8rq8TMUBeRayDevDsmpeKB2bNhxYRyq1w0QzR6krm
g7WDr2o34aGMmkZfOuCwik+ykLxZoCvIpAZArCtae3rYmawgPqn3JvUM3q8PDZIR0JMT/76CBhsm
pdINNRyQkClN2g5XHXui5txkJWc5zF1062euIJc6Sy5FDYrdbSRMZAiMSZyQo8KPCimve2siFb5l
lT6228AAr7ojWF1ACRk9jGvl3Vyj3OKc+SvTF+OYHYm7wjylPXRyPGOF7nF1V0ksHJNLFx6/OeXN
BB9LOK34wf4VVzezVF+etdfvye5K0WZsMIVtI4RqrFelaBNHOaJb8TNRmtcIOtDXNZ3FDmf5XdZl
f/w1DvD9VdejCe9O09KKkX2EPNMhVGEz6KqcSrBTi1rhe01qBEOJI9sktloegCxb632Lhv9ftLM4
+AP5QaA58tfdobpWGYQitubVM3e9RbfqZiH86kaR8SWiV6Dtcpu7N6ynIrM1gjIwL9u/3fPu9hcN
47HA8bFIaYa7ir1ZCj+1R8yP6fGdLQQaRIywFyt4zzGvJ84afj3NeMKPu8JUsBEPYEq3wS2OHUfB
g75UiN75z+wF00GGy1hlJ6GDWRkV/UAI5Ftrif3kTdQXUfymzSbjptmKPdD1o1mNaR5tkj+/ZOFL
QrM0wdjUHW3Xv9mphJ39eaT/EgX3gu6ajrcJ+jF/Zth4/BMW3QPGv8GisRbA+fry9xdR80AurInR
WF1T3JdmmvzOfXmijSJMQLfu5017twcCZTyLfGLtO5ST8UYmXUh0hmIEo91eZrw266sZNSdQ6ihv
UQFt9tt3YX+8Fl7phRk2zQsWOJwsBjD52YAHJXzrfxVXFjltnDdbn56fiDyU1YgDHxn+cZKHS4Vd
wqbQJL2URAuXZr2KzKwv49omikSnJAuCMv/l1vos8+vLvKisYgVlloyqctLywO1saAURVjeAr79S
JjFqCz4FM4OV7dywhQW4sbiX6AaItx5SxMqUnw+6F+N0KGskEvh/fRF9PtOUag/lwNbpEEw93V3j
SHhtqAuUsV8YNJoYqWcNjjwT+NL96CXH0pHeoxFItMUXUXWa4kkbLafARY8dX48hx5wo+M4xwWFg
mikUPjkEclPdA2g6/r+JxPSMnb9MQToPzQg7ZSCEqJm6Wm0EvyqE+yQe9J6F6lbl0OwH2aEj12qA
8iU42GT1NG+kqD6aMPu+KUfUskaAsmHKvhIoFI4d8eE/ElUu90sN3/Wbp6VNn7nPSvi4tgt0G7lL
muHA8QcKFOH3Yxk+RjYGdPJmgbsBxnPXkrU3ffwoIDqMowtU5o45iuzz+RVBLHjxc0HzQkOOBuFn
zaYM/PS//rvDtdPpoFcmIURhfuYx9o5ORp/tEy8KHjJKGYT5jmwOO/HeDnxr5nWvuEePhhq5vTFj
jHHYhaCTQCbIYeoF2+WDkBhfXDXvpUffvOgaQqg41cfbrIS9j9mJASY9jHR+CPFAgqcki+6RXAZR
eCIUyA8QFjX2rs3dG9yroLFY0673f0InM5WkyFFySDp3y1Imp4WNNmiiQKH4B3OrAmlnnh9pzGOu
7hA3IsAopAze5whXyMhdpJqq2QpaEjpfQcnxeurbCwrjoX8eEbOPH2Tmyl3ZgY22Z7uLT1FBCE0t
eG6VExKlT+rH5/FuW4zcvDEbl5KHJRxzl4S5sqQpxupoPKZ5mNYtYUygDujNJhUiMQKnixtKLs/i
utpROZDyXroLUWdTPYYQMdBd8crvwJFfmMYEnyg/c3NIOzbp27DdL966EkWIC7rhbH3PPYBGuiAm
OzobNP9YEqvNbguA5hZWP/NQDx7GrXxv+TTfhyNBu+uxAa6Etu7ygiIPUFnbHcTuuu61JUY6YjTe
doNExv3mY3Pm0SEN71duhd2mhLRaiZty9M5a39dMeSPX9KJ63E5UzsABTykFFE5GZjdMk+RoKbMP
Ni2pkvpskDMWTg3MUf98IWDNUpcrYJ8lDDUHMIGsSTDjwouV9OXUsmy+027NiZAaBfFkbOTKY3LB
BvOYm8uaBqqyyshqn2FX7VfvPCn9y1uYrdhfk05goG9wZs6QiaH/3AxCKqzRaTf/s43SISZC3ySW
BwmWyTDiKnBiF4AeZ5+3bQPJEmT1ou9WE2aNokRX4UBkM0+7WDj711EblNKjxj27uIs1iGe8LD2/
z6LXlFluJF8MSEvay7SaUXSbWT+F7nOFI6ZJ6uaiJE/j8IeZ00G8kdJILDftT8tPyEGXYZ1RcfxJ
PgLdP0XMIDAwUaTZ4rXBgr5Zgv7j9SsZjLP8ZH//xy3X+sVeI2WiSRRa1qngyiytp3IeEUKE912m
6ZW9BDGI8WhFTg9sU0n4ITAqmhgUjDQQK7cRCVzdp7GEVQSGYyzTH9R0/zzPMB1xuZg/5B2dfcg1
zGjSUxJIIUSqXioKfPapaq0M+lRkO4rHGujrrH+4Nipr9ttXN7/8frs1x/4BagiafxkeWJenEdAO
xJzzxaHuIoo2BX1CJzcLLKqyP7vYvwEsbr1E+wt0XVEBMIKKsvCqksQoWgpvKBm2f7Xs5OufWb7t
OVaErrav7jx7+MuhnK1gOGigf1wZU86LfOxGABSbUC4oLS6F6HBLwi41UbiE03uzRmoZMdu7EReC
ScVwEYeZgWwcqDOCtN5zSEBZ1QHK1tMc4dvtUQwLv2pejhkHps6EWVsWxakvaOJPQe1jQyAVa6Wy
2PnG5sjgFfviieiMc06V5IWX0tCD2CFntHnoTV1M2Zc6Q0ywrK5lJnfaXFYzWxHNBhicuyHAFpia
Sb0rbmJSCwPrXYo8fMn/4IrLyGHolhqf7+hbVzQUSU5oCptoXyFQ5Lzw+wL0v4+6XuTkcXSwO/8T
RYwN2TSWMnn/EmTaMhtTAlOnVqQq9roDnqj+aSQe7Zr5fQKKBlFAxWp/mN0vY4HCAqHw7EzjfAFP
KDRZObCGPqZB+6I7quWMbLKPrxnaaJk9LVPPKt9n4G6UIth8SQl6P2dpObi7q74X4ZHbieVdMC+/
s2npN/z2X499iQO86dDKymqoXaFx9tuEIF9+Hb8lYhlidKeDHVFTrJ+Icfh3tKiTpveeFJRIIlP3
4APjIj15bg2g28yA/pwEYs2muqPmiue5FmQKSLfPwJf5JCFr+DgToXiKf4JX62/p3Ftz1Yu5f1GX
ugSlx82FEe6JbZOk1NPrKDD651NrA3B04pdlMrc8Cwniq7MbMNpoFmPwpmzrlCGx6Y3Z5XsmH4Rc
KtNGIcMZsaPFPc9oBDIVdyfQaRUNLuTLjKCI/sOzkiQeKEnDRJYdVGbMaDyMkWBXZySKOK9SB8tl
GMBGJFa3dX3X1iTPd3Rr4EeZ4VN5obqHPGTXBvzM5wEr/dBnPEZZqhUWlJjy3mqeT5nL08DQKLOP
1gMB3FEJdOGmL3A4/yYS9IufhxJIdhcwbnLUGcGP+dd0FMnVtRHRzdc+KkG5Zk1PmrMqXbdoipa4
L/mH+OLWqhlQ2VQMb6N9zxikjld36Lu+JIcyescJ+gjWqfA6TVj3fZ9AYUFvfBf/m6KsfvxTP4Ie
P+MNckAy2EX1IFRPDvmwU5NXdx6PFBQc8WNCdlcxakFqBQzyKw7Qm+PxC43nRB7W8Rge2prbU4fB
mhb4MDGNcSCEk538hPSOy1w+mT5SD1v6jMgU/E+w6pQQvbCycEHydpa/1f8ScWduKgRY/UXSAcv9
6WUr33p1qql9Uz3XIg+mxkIRVKIJ+vynaYKwgGhc7LfMbcIMJdk3JRAcsJq/XNq4UD/wRc6U2XyQ
PXNoKvMFxBrG1oac5VtajJtabeCehjvESB/AHlOqpHpnb1+9eXgcesfnDPrTOZAXNfdSFFZc6mTi
psruCLcmgk5vhmvuykAyfWWTI4NZderSMF3j0/Vtr/h+Wm3OnS1Nd1/UCGx5S2NDwTFFOUY4Jj+x
O0i8b+a8N4JYnMMrw9sgVs5wlLiFeC0E/YKOAT73W3UDsdBP76zZbfGRcRU4klVLo+A1pJgnQhvx
wME1kUD91U1MEK9yw8IP+JAAEei+qYezcNfF4WUJnqQtv1tiwxvngzU8XquxCCFW/4sQzsUKJvXi
0QtwwDWDEKyDK0L4EQtfJVxi6D0xHAqM2ZPaHOcNsRoKmCbWnnrsEMXaS2zvkozjPRr/Cf2yEnsw
JQ8IthD2h/ayoNPk1OuYY6vDsTK76iaY/XvQ6yZ1X/ctdxx6GUExqhx7We+ePg801mK4dWK6w8cP
/Xnjc06VWVbDC6+Xh2OY4/vpTd597+M1yEmREJ9RpR//PI4bEiEbpXpzQsyvZyRhQbJnvhJ03427
S98vfIqW56VKisfqVlnkD6AYEOHjtUPaK1hlU8hUteSuffeUazqCGzKGAl0Okc9CIbxf2Vw6CRCq
lzRTbvQoPAEVfO4ps5tbiGKVsQDvcDJDVyZx9XvZI2vA86ckEJjWyUCOl5cqMzeBFdhyrJylZ4En
0fOmFzoUPj+P8k+BaqVZ5ulFgPrX9TKRgeqSTBlMSzjLg1Pt+mGqm8lgityh6d/zkzn/8HtD1I2G
/NhWYHepjMBRw53hveK8A74i42eiCRxK3XXD+hQKw6izI7G725ulH5werO5yyzCk2Cd54BqWIOx8
mY2tiSh3/fW47V4VQem12ddRrKsAOxPaHgRyC5k4hbwCgkQKrJSB3u2vmwPrHqiOANpU93mur6H8
WORzgzcXPibKmoDO6vt2f91vwMBLXBpRlFrbALnZNLUVIQoBHfZfShaF6LaW+jsVZPBJDpdvFAGz
j4j43CCzLoZ+kEXE3TSbHgtg6jiuwTo2aj1rvVxgI+n5jdDWd9SnOj9zxqmDv0xhdH9DG21HKnlZ
krE+c6xKF5VmTLK6oM56sAoiTEt24FcMNkEd/zD/UVhl68ayTLGSm33wxsDPvG5btAogKj/zx2HS
f08hSYdtPDhsSBx7gjhO+HHxRP8Dh15JVrI5OoqFRXlsXzN4fuPEmqq297YqNXiCkZ547B3IIU3O
JES8Cnj2SJ/72XaRddLrtg1m2ePS1jka/+3HvNXebQmBIDeAHhIkhAvU2uprmX00v3Uls59TX8ia
MIwT99GDtYIyvmdNccrKNGzkirfLIEkxi2eKr3SCIWaBXvLy57/oZkIl0c5PvOvppwIpl5nDTnI2
5lGQEZIoMABWSs+y3SI1mS8GjIy1jCrUz4LVV2IbgPEmDjrAqRG8FFDYSBCGINvjFBJG6VyJLB1O
zrzao1U9paiyvUzRlwyJfUDFQFB/r3cvj3ifDTU7QVh+KAiGREx5vgXMKQDBs7fmwd/JWIGXaVYy
uoKYogoKwcyjFfsNaFArws5+bJmJAZRIy0dFVOuQkIhY5sQ9vyicNpJDzesOfjF80SrDphZse7RM
UfISNWhA/NmTbJXshjZ45GiytNlImEg8oZLBQ9nfotDiK77qKWSMEWmcAkfevas03++UVZrc1QtT
Hz5Ge51TJ/as16xxXAynJFGNgRegcKB21Yia6OFXNXcnXabX4kfAJQXDYwIob9C1IfYcS2qXhtLd
tvwsMxrJf4ex3jYUO9Wss3YEVdK7uiPdnGPeiamyw1TZk3lSRHEZy6VjA48aTZX/7Nkh52UvgrOA
GIRfJ/xcfA2sGnj8HUtSPNROwc5se+4mzB/4qznZ56v3HIIVqU63ZcHu/TtkF4r4MkEUsBmaaVnd
WE5cUsxQ4YJWyQXmGEW+Tn8rNqzfEX1oNACTDbtdZ7FgMA+kiyzdoyEcDyJQT33LJUmeSrgv2r8/
qdViUOBRIx3YEXL5nzb8/mYCvPFGS668wxZrjRWVCgxMfstT4CpSOmTHR2zJuq0t95stcMcMEpYO
veJlRD/XeKfrF+Ne/XkU6eadhYSM4vrF/aawKIs062nstGt2R153OCFkskM6Pr9K/wMJBZBwTMZT
DQMTB3Yy4oX/0awdn/yNqQRVGPwSc8aMC+VuhyDpmul3FpHIp3jHpnmwATLqRbW52pQ/Ezcn4Wef
4HKuUXW8a6GU58tyVkvTexKoSrazl63f54a8h5MMEXgd7w4B7Nt2ZTvvhitkm2TbgYsNovs0K6oj
50FcKyTSR3YAwVjmaAc9GXiiWxcTpkXuAepDazDRq4yMjnECzpiVzVTCK6yUI9E/pyhFYfLugouY
2dmljD7baHAitY9k9vAbOHsBx97GQajnO8R3OqtipEiLllNnT2HZGVDN0wKrhoEnxyjHUtnsXnkM
i5C4dm3KZKOdyk71Vhrqg0Ibs8nG2tbkuyF6UCyWcrTkhdJEKB6Bq7aiIUEhulsG+UYzf49RuO32
kw/I7NAcu70RQiANt7TxKM7K6KGCXNbVR2m6aJxrZ5rJULEGHaiziN8kNaWBhnA6xNVtfzHt67g8
Kf6uyvhNiTGBlGS+e42Rn6zxdLwHmRdUW6U0rWRom0WclCePo06GZ4iOJh1v4YxifW62VnowELLW
CXt64U/9hIzFt1HcafcBtGBKoAhZIvEqfVdWX83YUPSujrqE5SND3pZcOc2+t7hQ5K5vA5PDNgMA
8I4rIjgS209mb7PHkfUpoQLZrvz4SX1KCx8IHiOgZuPkyQROBXjuhoWPgIkKpNcLKDP+XlwLPc2p
GYIhg0XX8jA60j8gyrgNQ8B/5rf/spcNAeEFdq/CZVaeE1BIn2yYv6ylzv8FfKrTzftJMytpI+ZY
9zxAg+1ksvbEwv7zp1tvKdxa/6UUQGFNiXHjBTUaNu4m4GFpK/zKCnjd4XilVpWM8i3/gvxwtJxM
PrmTi7PTXR5NeD0NgPBYmVNEHC+nloD3cp3xL5GDD5eeszvIBPSgYfGrx61Xs2kQpCW5+Mr7Z7ok
WyNjBUjMr6wFFbmtgTyzd5xEjAWU6SwNdwCLG2JVhDgGoCLn2TuAbr0+qyNHVSwBEbm52rwfDRan
yHnWWbky5jrr4uAIyC1rdeZ4jYuvedFCXogm+FFzCRyv0I2gZq1tjqPFo/UUWhAC9XZbLH5W/rhM
lFtICdRR1DStQxC9ZWS3Kg8adLEA4Fmqol6V5yETTWzNfkKHLxFvWFwFlwy7yQosHZv9gAXfcDsT
kDfKVwndnvojbEht82Xad6XDjTBODhdpGYZ1I1yKwv3Iy7UsRKvZDznLz5j3wPeTIt0e4yjHTJ3C
hGWatxcU+XNjfM6htAvX+yQYLT9JuuTYWjx8W8jneXZ3gW6YXAScwbeUIii2TiZnPyd8RvoWkshj
rvAVXHOpFdQ2Agi4OCnsdm7k2as/pcRpjMmuCZxOh9xupFU5c5X9QFE7iV1hIj9vFLp6L7HptDbe
iV1ubtS9hTnlRxYRcdL83oppjbrKAYYNHK4J2PvPwMDYNgocASkoydgYjhgqaxKtA5GN7ouZ0dVJ
OfdoRItoWZw2wFwhl8jjQygWIvCUF+yZbQeNnk2KA8Thw25u0qKTA8uJDTR+wMtLHD5FHyUkdTTA
Tia7I1bZ/sI0uAXp5dWT9CqZwMMv7dz4HMs9xESQ+FTdCFNC50XYlPv2EKHHdAwyyhPreoCNf7hV
h5RtepEPqVqNZYNyKxlLibrDLIAaWTYaW3kMFKe6QjNYjTsmTZa2YTYfsbmDaKlC+d6r4wkZApDw
bixqPs8COmYJu3pF9d3frec/md0FdxoZ9t8rdlZk2Y+hRul42D3HBsuIzIVpT8FoiwJfhGPBsBqw
+eJRolmxwiR+FSuCTtowD+tvC7cAU3BZI+L4ZIqxfKwWP0KolTwJFZLX+HOqxurYn+/bGAijJBuL
VjH7sXCjO458uxNqLA8RE8KsdQWVge0grU9SZJ5zgyWU8fepwe9mn4uA+1n6IfYNt48bTfQSQ5uu
7JKitGjN2WEg8bA4LIe0POGJk8Ox5om2J1iBfw5zttcBzzR0DV6F+MgRbFvHDO1Mp4JcKjM4Q6ZC
nJztKMiVuBWCAr6sWst+OwFCgTKDkHOnhPZDzOhWKO1QFnF0vuq5c5lASQy7Zj/zXTTXEIWr8T2A
HQsJ4gfwC2URoD5JD3L3+8kYcR2gCUiHV8oq4S4+12HGPT86UJXw+92epCFwvVnYJS1BLrBuOztG
fe4LzjgRHfIm+cnxcu3dZFuYg6zFy/tcQqMttMLvg7CNv6ER94xhGozvSP95Olq9zDQ5ooMjoxUJ
PWiYh7smtQ8Z9GJZc5ZT4lo+wVhWpuYaS+f+8gGDL7I9DBwaNaQHfUuJTLLOJyiBcljceZM+XAQz
X14aa9H09DtzfQ03Vus5ml6g15ZNKPXJa7+KfjkaE7uTknl0eDnlrBjRFG4jxQdHJhiOWBq34q9H
xqGP/KwCjKbtXrFFGuhtOpuF0aJMomZpLyIi7a0lMdnP1Amv3PGaWqaBAp7XOLl4oZ4uy8TGbvv5
SFIcALX9K6pb3HFZ+g+6ve0d7W5GLlr9EVUrooaCqkHx5gjurPNgHtbgR3+JjBv39aZWOM1iYLu3
he62v9H6yR+uUAPS35IswYvyqjr+5JtAXNor6wIVr3tGwn3o8AM862ToxyC36Mh5cKLWDZiyqldE
LCFHPBme/kK6kfYXHBARFwmEFgFhSOh8VYoOXSOpIwZt4Scc4OKB7yFPIIOpmP3R
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block
EiX2NukX2J5+TgyRDb6FpolzAYOQ4QOKtxqTXAq5PT0QYib3mBeRnC0343Lv6mt+UF9OZEGgk+ro
aUIkVSW+1KH0UpbMBBy9Qw3S6Z1g/U7xlk4BWvlLwi9VKw9J/90WXNUROGm+ObPM/p/OxY6Lst+g
gsTV3EOAlyvLcOttsVk1HIyWd7kyGFt2iMDPrpX/InfKT4DMwmxjaT7ho8fX5wV5ETG4AlI5o3E0
tTM9tgC6UeDz5tzorurtddr1FmZMyvtbeWJMVSVbIw9eH7bpX3QLk9Eaf13Xro2SnxpOMPjM1Jhu
PzP1GJdnVjjHfrvEhFrDQ5Cgdaf4ZBF+MpO7lv+nEO0F4jrjuMJVW1VmSQ5U7ajMvrytR/ylHSzE
fC27li09v1vMjplqERNJ+l7b4vuvyzvtJWIWRS2TmySGnjN6MUhfWCSDHdZw83MS/CbRsg/Rzi2z
bwW2cY5h2t6YRwQPFGXwQEwI866VZMC9/ZksYC8p3Bf+CJJw6HVAXVjFyAqaY3lmMW/4WUfDRjuf
FTeorM4Ca+xVNlS0WUsu6cgO81EEEl4g0xk2KtTKJf6HhhUi2VbNanV9F4G+Sb3CggGfghi7yOjq
equKMUyTCx5U6mAqGkp2pg59+OQO5DA5UTwT2T/h4xB+t+3XolGenaVmgYqjB51j2O32ldcpaZIu
svKhfgUZ5gHF3ETtb3fq3C65BnieX0n+3BVZFBwSwXaH39Su8WFh/FlBSv7oAvCjEd17ov3LshYs
eV+ewy6ePobOitV6D+tNQE8zzFrNWMaLe04jFsqyE9tCpU2CdB0fDi6OQkT/r8Hkq10gZdpPA6pg
6Zs0SpeIm+IA0M2wkLZstuCg46OMTJ8MkGBxXaWKRiv20pRgMBpX9pt8x7sZv3CBTdlJIonmFo8U
+lR4fhmcgLj40rAa7Ma6bY5+gMl5T2VdUOlSAg4DA4bhg9hCj4VJAm7ECxnz2KZKUzUp94soPCnX
/sACtazlpy2rFn1jIg8oVrGbPLhuIlLhWZkslpytsbJ/fEGxXys3wYAfKDAGuNCPGSAD/knuKmfF
SwrrhDX2ln5tyeccQjVJ7vpsrEazs//z+mclW4CYdgtRUcF6aD0uLdxc4OnyR2dKaabBTpGFE+W9
kj8ZIXJin3HzWjyZfFzgYuvlvezHHQ96Ls7WXUWGXMiWhCLY9T16GwWKgH0CZP9UZj9Y6YLJ12Wi
dSdJ2Z6lx9e7vASeXySfBcphaON/PEeWFyRpqFoGTomGwWCPVcVI5aHfcZc5r+mZtE3bnnVFQ7Ch
4OP2TLaPVADu438id4xlcDst5IGz1h9B0mGScuEfLEBSWPwDhTAyLpymbZ+R4FAv+Xrgao345BF1
VJb8nOTHJ6XxX6K+a7yG7YmoZZBlobjFqINeBf5rUznufD4dvcwBcRAwqVm80DfoCSIt57FhC4Fa
vQKB0+DpXwMEss7gaJgfJMIoDRADAqCq61XEoSpIXO6B0dqiCvECTqARJ+FJKbEz1/MTuFqrBHCA
ydWTDW36HNq5Az+ekAa8tjcG49f9sd2uAjieZvzMJxqaARQGEdDiEBQioZwB3La9PbB6q+u28GZh
n9knCcgBjV0fYs0+riHOkd+t27FDn2VMgysFCbRpxQ712LH8zTmTNacoBkD05dFCKPmphx4iOyIW
wfVEppQdQRGsFKp9cK2keRDOSlozfSdP4TCk4bl49QXvShLx22CqU4n1OmlmY5CjbT78VrQ/j3jq
Dn/5pUp5FP7FthhSJNjmuLmaTZSU0lKh9xBcUblHY1MBFLj0gPABRiPC95pb674R3liUp7YqmTfd
3pIHIZijNQtofXBTyW3Bdh1+09zAV1rmtQRhmmn2LsR4LXGuHY+3ap+5G36IDmcwCCVtRpOA7t/G
NLYuiaUvAwUWmGW24jvSszCU90iqbwgAOHcZSrE9+kvkofVZa80k1SWI6phA96lh8z3LxfN3r/gH
yQFlXW7FggGdi6SevpeD6L4cc0lV8qZPkfm+EOKn68saSrfpipcyv408sMwe15T7mmByzeHq+G9b
MD8Pe596rl8CPle5b0jzuVqtAdaiZ6EB+m5VSp2akxfePgcIxg+2IwQcSQcVFQuLas+DCMzVN0dl
5bCBlCUWwPFWN6onVEwIorpJbBLhcEm9rxgIJ4LxXQUGZ8fLY9JUSip/i3g/ZOksvh8+JNRbhrJX
fc9cvgt85rQLxnGsy48Fn5Ge8q3EEetVXUI8hBuFiqKeswwoEdXtrseOOZU7CxgmkrmKDWWrujZ5
R09A9tFrWvT+uuAfUHeBfmGrx/6pmAAGA0X6SzVWQYoXcs5EoDQxalGxwz9G/y98B0M8UFGa59PD
cuTBIuvIuFI2/zNpYBaNsOuxBbnm9OJLRhAYFJeIJFdv2SghjXumYdq013JBDgg6HCzJmv3EhPgo
HqgfaMLCQqGxXWJQUp6ftwcGlqaIDnmKCLkqsrwOKdAGTanNGtUVDsg6tnbZjvmX6Okb7f+Pr6TB
UDRAV1lJe7ytokAdgoM2Qp0FCccILYBEAZ2AfJEBvK1HPv3lAgYeU7PlzWrS//GsJVuTnKlUx6Ut
h4zKQCeCsJ2xgtQMi2PA+T9Hlh6GD/TpKhI7k0gEhhkrQWGTyn4msXkWsJVU7vEBO8MtBqByL3cv
FW7tiQEPGdcJ7fZVldn50YgZQYZhxqhdJQ/dhRgcSdyD+A1W2DiHlQbr4+JjHo3IatIpJ9Gnlt38
TK4lFtqDHPRZ5vXw2hf9K5XNtcpMzpOxcCmnWZlZD/agQ23uDtpE81aUvIYLkJT5Bn4xnveWRxu3
4Sa1FgsXEin0n78gen63Pv0I5G3uZDAmrbOIgiQYi2EicMXCL3uVDLafEghhEngHlPMhIX7NPJ0M
f1TS6WHQgfckDkWCbwqcj9HwwnKg8I5ejFINSnSJJ+WLnpZpa5U9qFKyuul1JZqpvNMutu4MiG+F
+IS18j+1nKH6kjhBN3PSWSImEpe5Cs+hlghx6JHzgOIY1CBWTDdZozrPnuV3zgGV2ab649rbPpDu
D1K5dDORJrtrliYscBMTHKconE7vMKuXEwC880+fBfAy2nBn9+ZCZeHqOPkkBthen9V0MyGoxqwd
fotGe+aUUGDqGzNVg+Ix5+N+s7oEA8tJ7sgPoIiyuFqXerk1/uTSImRTEHH5M5LNEsN38veEphIp
vF8wAOXu3sbYFAVoPN+tGj8nvnIa0sORcoswYhEegVMqkQwaxJv5iopeluCq9Znfr4ZOKdgRWbvR
MLEpJGldJLYNSZxCRiGWNQmL7AlPXsOacTL3Ei9OCLmjVL4xB2tz1o66aJEej5hlvG8q3JfbIOtZ
NSSSW907oqcRqkZUK/23uQsBzDcFyD13OWQkG4YNce2dc7CGHkNcyLDjVcgk9jz7vVw5NQe9kZE3
y0XaZmtd3YtUPUV7Ry2T+RhnVAxm5a2sV2EqiF8BPMUuiv+NvFe67FrF34cZjYleAQiNS/GF52rN
w08KoaVP7xDHeLxV5+d8P4s66lDVYKsBxM1tNXlQA7pgzsTVZRDLyyl2FaGeJg2RxRmo5Wb/bKDU
D4VXxjTaNW8ZUvypDo61EMureRbTeJVabz4GrrzRnzwJoiIb+UiE8x211CjuIQv37AU1ej1ulkPO
vW+Y2vIfbeXzZXVIlHA2r/z7WPVl/Mdsn0WbX/+iPuwJ2lsKsdXCEfXteGbMBuoo5d2lk3JV+I39
J/g5hQu+R+aFmDJgPs5rNgNSWpKYUJ7fizqg25gn/QAF0q6Fu05ZTv0dVzz6UDmPJPaGi+8lRTJ2
/L7/iy61wvlSUAD++e8qFs2yxl/kXZDKGv09svb7I8QP4uPcgKYsfD7RjGk/yNvYh/oZzrc4vbQp
ggh2jYC1Q2iSXqyBGhmLzt1Z/d4HVLONYE5CGFxxbF+WXpyl5jTK6kqvwlLF3O5Puu1m2yOrB+VJ
vLGrfaj7cmBJuBLHO/OrFpw0HayacX1NIyFNSszD6tJvLBrRhN3Jo/6hzgIXuvpWtyp/iVl7THgr
d2jv3Ot06aUb2mfyf29K8Yj8ZV2esikjNaTSoULT5LbUv/XSFO/jlWXrzLj4X+rVTbl3vgI4JTJR
5Hu8CjVbAI4M+h59TF5jsNdIPwlNVxllHiaEub0NQMMfpnTt1W1C8g7Qnq765ZzL/JyhndruB7X7
RW4TJWbUYeyZhd4hydITrH2tWf0S2ru8Vz5h2O/raw/gbA0FCt1bKCxKBM5WxoWNv1Gap61YRTIb
g6CnoZ186ZIqcKAKMkAuEfSO43Mm41uZlNmWntdQtG/Q88hKyyezAMMNG4YVrXZiQ+FvmLUp/53m
sqVFUyY9ROo1YVFMhfHAs4ImMSPoDe8jnBqEXnMK1CkSqFQ6lojYhK1xsXDcbMV4p+yPANZLWVkk
jk/z7LhC8Qc40txM36Xa8BfP553uN9vDYbkqdQevVu2b2AH+YgGjDDUJGo/FfOv3U7LLg0vOVIx9
n9XTTIb8a2UbKvpoEMR/DOPDICJpUQu2DMIRCa0U+cO8eg9mr/5SBlouG3INjKyDg6BD4QbieDsY
XdaULVJwSRV0nDgCmucr3gVKCViRUmfVGYwzNBpMK57T77tZkOPM8QCCVHM+4kgW9mYR+sdR4xaN
WfYnZHIQS7iFdGRqo9J6Lq2b8C2pu8wLjnTcTF7aX8dQjaWbjnET73DCEpT5/419FUIuEp4hhaob
HRnC3QEYn6s/MklVaK9qLT+yuO2u7Aqa4F3tojlXQiVyNrip2Cnbp2SHNiPOjtF1DcWPOk39vN/k
si6qLk30iuIxqfP8SjcSnljlYxJcOBh/cYzEYA2io4UW2+sBJ1oxfuTrrKW8r2vJnKwbf671N7/6
m60gvhRlW6hBUmZmYjzCTKbh7/nYvO+hNX+E2vCap/JQsqjqKFt+WcQMdiVFTV0Qw1NPWlqAk4uM
9Jo0v7Fs4kqgs2DJQtmp8NjaXmAbZwzYkY1MYST9mguUeto0E8NggfvQ81DgTo6keeCMQmg3xF/M
HNAf1kbwQ3vqWNKDVKo8BeqaAg45zBgDsWNE0N9uMAmMH6q9/0CaU8K7Jd7JZbg5MWRQOM8QifTK
tEdqLRSFUUtTCoJ88Nvyy2oX/S7/o1mvid8ddGjoRH7QNBPehYCL6hPXXB488wMJgzrCCBHP8fSy
UQlz/uQUNrff1GFlfSA7bZyYufv6Ds+ftUYa89xeY9CYrKuLDWVhV58BTo9xxS3qGqsjtjKfLK1h
bUD1NH/tpKSFnNWp2fu7uMHzh4lRArXeQoxkqOZndEWPnMp/aHXFNAQhPscOOwFXcLlwfRv3C6Zb
mnBMVfsbJRJ+1Itg/pDLtveucpm3eZlLYXerxtrY4Cs8CLVGdPztQ2OwhLaW7MkKR2dTyyCsh0Td
2VAw6RFRsq13ii7k2aiLB5qreHeA4p1AWPda0ymNggk8dDzVQZl3ENSvXDNpUEzkAEq1pT8UII53
LvrgykDqk0KfO/7K0FFuHSJpojv0ndQ8c/H7QAomN7ajnGh6wQ6v3fBBrRVaHLn/DBoRCUQn2gq5
TuPvpda27quCS5hsUj4eA8CwgqM/4WmtH5h/oMh78tL6vJvRsjK1WfYdGok4qFlt3X6fSJw7vHvw
EsRoDCnb1eL1h5jqDDZerScZb8SMpICDY321ZxedViwPI4Dx9KOd6GcQ2v3XQzQkBQ6DvP2TV4+z
0wb96e7gfsf5IhuP+VqlTRmBJ/QEgV649Gwl6NHiBi9Wf1OhkMI4gtsI6wRSsNt4DYv97ZptDBwF
Kby3zGsNBr4DyC0kF/q6rvh/9vF8k2Fo3HQgDRlgqG3ms2EEfACkIKn7xNzpGY4DBq1ghM68b7Vk
JQu4exBmsnt683u3Hi5H7TBGKMa9fZATNOlIsUjjasjU/cO1J4fSO6CcEk72OCknmqjb3CZH1gFV
cgrTS4N7lAgRySBFi7gj79CrV0ZilHokAyJnxdB40hbfX36fbxz6MddO+NF+DgvTUdxm0R/skhIl
epAWG6eoRk7MUO9rCe9EJo9E6PSEcnJoYsCCfRz9qyj/kRem1O0FFBPu416AyWEgpvKe+fc+lyI+
ZhOQpwIkLwfBI6T2MYOohqrwNgYVUPdaGSE10dkF1+MvvjejNWK8oTSOsljEb/Dla+7VTV4KKHpP
gegFmcVzU+cHhd3V3Z8xno4GhToznN6hKDzirpPZlImVn6q4N5PiSY3GfAvepdB5SONiZ3GPailD
E5x5G6wYDdTkAfizvZnKqUTmjB0OPVeGOMIVNNOW1kZVN9ClNL9OXhmkF4e7k346UYMRyZdkbvR9
uUNSbtsDqoCe/WVLT7eOdIrRNn02l/U2vCtQMtrx1Iq4e5sP1MJJ6sT1mk+zaddRc+eA9MJ4WKxY
6ij4NNe+mJYuzhWJLmpXhdxCEdRPAbaWIZKVsRzxJISc1tKXO0eYLBvO+MUe+CHJuS2iItFukw3w
lmhkuJCy6hDY8J/Iob0pDzIdaUN2EyVAdf8I5vGzW9w033q2afVaILW55ykVkSNJ93p6SW0Swwir
R/a4d9Nux3fhsqnmgZ6XeErznu+C6/KWklP3jhZmtWdponpCeyCfTTzsCY2hoNyyzSS0J/v01/Y3
kl9iOKZPxjNAEPwIpn3Oy1H2UC4J9FGBBWq7s0a/3dn5FWs6oYYI6Yvqf5y2rb8knJZ687CHTUEd
h0aSuyoyaBLP8nAILDXn8YsymzWUrR/H08d8G9EQ2KaJIjsdArm4rmop0O/KiWqCxPS0W2zkNQbT
XSgsepjdBFTJxZJuUtcamG+l1aJBcfagj4/rFdRtbsDAnzI44ubLIxKIUJ/ziIVxi1fwyT6rBjG/
hXSaBSg3JIvNLDjv0kFRuX1kcw5VjznXn8tBK75DMvVaBtyPvq2bxaT4smCgPQluLU5bLklJs2ly
Zxzly2VMmIjoV53mQEyyL+Z50I/XXFQsxwZW/sH/oOy5fzLsTO6oWjMYHKMKNQmf/ljvo5L5j8Fe
uXL2+M0pwOKmphx48vDxC1vEbgWPg++UJcEP9BuXI9mQzbAtmbFROMPwy14rwO7asHO35TLaDfV8
q0hCk1fzn84/+RLHbJXnRHAqCy73h8TOzSrI8GHjy0zqJ8FVFvF8K9Gsiru1Q950duKYR7KU6Elu
GJWAI53l35Pegdxau6UU5TQ2ZrS3fmh9nQ1ZKtMRjDYsZtPN+Bpr6WRy0oNZrs5cePwhxf7pzwEZ
JFLzVykgOLavs6nsUrCcEL1QvuiDzPEJfdBsIwK7Ux1xJtLGTcRSsp9Dgf2XUJeHxhW7PNvhF0vQ
ZmqHvCcT1bRHuvqa24NarAVh1WE8SLJXt7VVTcMz3YlBzzoq9IZCytELPks+FjKPO760yisDunGT
cdDmAQKIpqWhsMMrfnLLxgmZ88bBcjYr6xjdvO9Br/JEvP1xteLuGYsblwkLYuhHPzyUVkKnZ88t
d377JG2xMyLuaL2wfSxSZU01+ftbwLrtJpvkC2kPdM+gp4eB0brcPI4Cv/wLNtkUVuL8V+UcnmsP
PAnlKoYuOVWSjDy9QCvQHrDgd06BmVhFLvfA0qx8IeKhWPrkqGxU492nhHxRRB6LQI1NynPDkmv6
9KbRdhBm9nz+4tTxSlZPhGPDeS2AVRRdbW89f4oppYLbVKx6JgTaMfmHyd8BSEoWDddKp34MsQDj
ubYJ0/w+yolvDwYy2t2OP7WIigRhK9yYhgCKWqBoAfTWQ1IpJdwVu9PtBScYLRTpvfaRzibNQ+Pn
wLl0Dyf61Krbzqn0M/lmJdiX1tGkGSiSj1NcJVrLLS6UDndn9brSwMQFmj4NR91t0/FfWGdMefjv
qdfxu/E9cuS1fwjguvOvLSfGQ8QJBENrC1A6qyRN9cBPdyFcWXV2hvc9ZcCi2Uv4AY8YvxDNPbGZ
CuRXNF/ELd2rUK+8/lX1lm8Ostu3898Vnor8hUfD1EZKY9wBO0N3BWIsXuLN0l8vibshBd5SdWta
9+muydnaYf5Uczx9pceUddVLr6Db2st229IERPGZrJvEnkGUAHVqaAm+EiIVzGOvnD3hxqvlvqML
5+lMDy3BZxjzbJH9WOd82/pglYvDTnO5fDu/qdN2HLAegkGHVolEgzyoMvwYcNDyQ0ryk20H2Gbq
SaI/S3Ctkoe5mpNbs24N12FYboXYQ1KK7iDgBlBsuatp/x8blFDkJv4+GeSLJweL6CL0eV+WDURZ
onOX+jjKYTc7RCn96Hv+eZIflg4tuUfA+zZAAtCHCpvAKcINm6m/1Jl/xmVauXmrqwDhxi3RNmXT
ecLn3ASOAOvlrr9/Qe2ImsfQtSgbmoCoNtckTxuTWDycQQ6IRN8ZyTt96XdN6H6VHnS7HXDNujt2
vcoNZFfa6yi6LV1t6EmRpDCD5jNgSyfJZRlZLfkxkhtwxL7lP5kmUcPNqUmpJih0TRss6mZRZtPN
kXbzxz6+/iD3d7b/S9VYw7fTsqGHi3jXtJ/i/mdVglH2ZD/iCVExRz8ABjo4uJHRMBJLgeCdi/ZD
LP2afiNk8x+mJNFEbQGbzizjn2wccFlixaYIq0kKbbCyTRgKxx3G6piJIMZTBOoGvizGl+BEZyzW
Qo7B/rcu3mhifXgbzvcdWtUYZwW01/HjcdmeW2pL+wwvlG1zBHtEHGrTzpEmjGTo7L5C19e0O0FM
VJaw5PABm8d1DmMugzTW48UQuiOW35a+zrN7xelYJTvIlORajKutvyJTShsym7V+Mg73B/JbR/dZ
eDq92YEF3ExDN1wZ6IrW+NYXNFKopg4RpVqxdcJjMUQbhzCGol4XpN29XaDZxT2FrmDLyum+eGBb
FRg/gG2IlQXEh9iuXw8tmqHe/1aoTWhlsWTfsOJWhN3rjQp10K3lNGua/PqGH8Q+FF53Hrkr0BoQ
VsnIGLS/mfjnNIpuHLKo9XzVS9Is1MFnlVPXycERJnVsN4GY+c2MhVHl65/XKn2CschStVHxaCdo
p9FqadGD7EKL2mgdj945O2OH2YhHVYvDz0KzC3KIG7euuBUk5rIrGrNsi9eNBbpseWLYfgKMmr9e
kJb6JPalDX8sbFYpAJMsu2nHWfmcN3MWviEDB6EsjlBtMGrsjCsikw2JlVu7sX/Je9aMKjW+5IKn
SPwkeZSqDHiPIkv2p7AK52I1BRSziz5N5zHRa16EtJneTDe28YM/dF4QMYSqdIY0187fJ/sQq6S7
Q7V6cSwmyIUNHnZXrLmVs0Ud/BC93/wR0dj7Trd/ZimYW96Uo+Et73OK1d7gfgGZP4nnVgNALnDL
JMGn3ph4IquGUAZLovuTxjMjEyLUKaoyLNl2lda+z+/WFbN7ZrAEmlmtfmX2BFx3Gt2W0rgL004Q
M37sgYO/rNWqxQmecIIdJ/2KKf8Mcbec0Grjd/BNZA9ASUV17qYet5oRdoQeH09cj+srQUmOv7Et
YvQ8mEkfKPWfn3RS6dxVGCDGNoso1BTyc8fRSyRJHzeJPn1XRRoRYrQouJ0gJmOEjsxIFRNm+/kO
8f/atOq1tjj0XX74rRJbU3tYiHB5d829cWolm/qIg69qRv6OGqpwOv6kDqze/jLd+XVEo5uP8uyL
tcbnYZk3ZsX58OheO9RSInWebUJeDR532fVwUEQM3o+WfpYrlE4qIcfwplTFFa/gcggz+/IDjHwg
DbPQC0yn4PGpMa5h5TN8lkUB5D2P3VT183D/tO0+EHJstmhf+hmIqHneEoTe+rmveYHMhNmSR/Qe
sPIyW1EQanfwa50FIRTIVwZ3Qg28LCIgLBd8rq8TMUBeRayDevDsmpeKB2bNhxYRyq1w0QzR6krm
g7WDr2o34aGMmkZfOuCwik+ykLxZoCvIpAZArCtae3rYmawgPqn3JvUM3q8PDZIR0JMT/76CBhsm
pdINNRyQkClN2g5XHXui5txkJWc5zF1062euIJc6Sy5FDYrdbSRMZAiMSZyQo8KPCimve2siFb5l
lT6228AAr7ojWF1ACRk9jGvl3Vyj3OKc+SvTF+OYHYm7wjylPXRyPGOF7nF1V0ksHJNLFx6/OeXN
BB9LOK34wf4VVzezVF+etdfvye5K0WZsMIVtI4RqrFelaBNHOaJb8TNRmtcIOtDXNZ3FDmf5XdZl
f/w1DvD9VdejCe9O09KKkX2EPNMhVGEz6KqcSrBTi1rhe01qBEOJI9sktloegCxb632Lhv9ftLM4
+AP5QaA58tfdobpWGYQitubVM3e9RbfqZiH86kaR8SWiV6Dtcpu7N6ynIrM1gjIwL9u/3fPu9hcN
47HA8bFIaYa7ir1ZCj+1R8yP6fGdLQQaRIywFyt4zzGvJ84afj3NeMKPu8JUsBEPYEq3wS2OHUfB
g75UiN75z+wF00GGy1hlJ6GDWRkV/UAI5Ftrif3kTdQXUfymzSbjptmKPdD1o1mNaR5tkj+/ZOFL
QrM0wdjUHW3Xv9mphJ39eaT/EgX3gu6ajrcJ+jF/Zth4/BMW3QPGv8GisRbA+fry9xdR80AurInR
WF1T3JdmmvzOfXmijSJMQLfu5017twcCZTyLfGLtO5ST8UYmXUh0hmIEo91eZrw266sZNSdQ6ihv
UQFt9tt3YX+8Fl7phRk2zQsWOJwsBjD52YAHJXzrfxVXFjltnDdbn56fiDyU1YgDHxn+cZKHS4Vd
wqbQJL2URAuXZr2KzKwv49omikSnJAuCMv/l1vos8+vLvKisYgVlloyqctLywO1saAURVjeAr79S
JjFqCz4FM4OV7dywhQW4sbiX6AaItx5SxMqUnw+6F+N0KGskEvh/fRF9PtOUag/lwNbpEEw93V3j
SHhtqAuUsV8YNJoYqWcNjjwT+NL96CXH0pHeoxFItMUXUXWa4kkbLafARY8dX48hx5wo+M4xwWFg
mikUPjkEclPdA2g6/r+JxPSMnb9MQToPzQg7ZSCEqJm6Wm0EvyqE+yQe9J6F6lbl0OwH2aEj12qA
8iU42GT1NG+kqD6aMPu+KUfUskaAsmHKvhIoFI4d8eE/ElUu90sN3/Wbp6VNn7nPSvi4tgt0G7lL
muHA8QcKFOH3Yxk+RjYGdPJmgbsBxnPXkrU3ffwoIDqMowtU5o45iuzz+RVBLHjxc0HzQkOOBuFn
zaYM/PS//rvDtdPpoFcmIURhfuYx9o5ORp/tEy8KHjJKGYT5jmwOO/HeDnxr5nWvuEePhhq5vTFj
jHHYhaCTQCbIYeoF2+WDkBhfXDXvpUffvOgaQqg41cfbrIS9j9mJASY9jHR+CPFAgqcki+6RXAZR
eCIUyA8QFjX2rs3dG9yroLFY0673f0InM5WkyFFySDp3y1Imp4WNNmiiQKH4B3OrAmlnnh9pzGOu
7hA3IsAopAze5whXyMhdpJqq2QpaEjpfQcnxeurbCwrjoX8eEbOPH2Tmyl3ZgY22Z7uLT1FBCE0t
eG6VExKlT+rH5/FuW4zcvDEbl5KHJRxzl4S5sqQpxupoPKZ5mNYtYUygDujNJhUiMQKnixtKLs/i
utpROZDyXroLUWdTPYYQMdBd8crvwJFfmMYEnyg/c3NIOzbp27DdL966EkWIC7rhbH3PPYBGuiAm
OzobNP9YEqvNbguA5hZWP/NQDx7GrXxv+TTfhyNBu+uxAa6Etu7ygiIPUFnbHcTuuu61JUY6YjTe
doNExv3mY3Pm0SEN71duhd2mhLRaiZty9M5a39dMeSPX9KJ63E5UzsABTykFFE5GZjdMk+RoKbMP
Ni2pkvpskDMWTg3MUf98IWDNUpcrYJ8lDDUHMIGsSTDjwouV9OXUsmy+027NiZAaBfFkbOTKY3LB
BvOYm8uaBqqyyshqn2FX7VfvPCn9y1uYrdhfk05goG9wZs6QiaH/3AxCKqzRaTf/s43SISZC3ySW
BwmWyTDiKnBiF4AeZ5+3bQPJEmT1ou9WE2aNokRX4UBkM0+7WDj711EblNKjxj27uIs1iGe8LD2/
z6LXlFluJF8MSEvay7SaUXSbWT+F7nOFI6ZJ6uaiJE/j8IeZ00G8kdJILDftT8tPyEGXYZ1RcfxJ
PgLdP0XMIDAwUaTZ4rXBgr5Zgv7j9SsZjLP8ZH//xy3X+sVeI2WiSRRa1qngyiytp3IeEUKE912m
6ZW9BDGI8WhFTg9sU0n4ITAqmhgUjDQQK7cRCVzdp7GEVQSGYyzTH9R0/zzPMB1xuZg/5B2dfcg1
zGjSUxJIIUSqXioKfPapaq0M+lRkO4rHGujrrH+4Nipr9ttXN7/8frs1x/4BagiafxkeWJenEdAO
xJzzxaHuIoo2BX1CJzcLLKqyP7vYvwEsbr1E+wt0XVEBMIKKsvCqksQoWgpvKBm2f7Xs5OufWb7t
OVaErrav7jx7+MuhnK1gOGigf1wZU86LfOxGABSbUC4oLS6F6HBLwi41UbiE03uzRmoZMdu7EReC
ScVwEYeZgWwcqDOCtN5zSEBZ1QHK1tMc4dvtUQwLv2pejhkHps6EWVsWxakvaOJPQe1jQyAVa6Wy
2PnG5sjgFfviieiMc06V5IWX0tCD2CFntHnoTV1M2Zc6Q0ywrK5lJnfaXFYzWxHNBhicuyHAFpia
Sb0rbmJSCwPrXYo8fMn/4IrLyGHolhqf7+hbVzQUSU5oCptoXyFQ5Lzw+wL0v4+6XuTkcXSwO/8T
RYwN2TSWMnn/EmTaMhtTAlOnVqQq9roDnqj+aSQe7Zr5fQKKBlFAxWp/mN0vY4HCAqHw7EzjfAFP
KDRZObCGPqZB+6I7quWMbLKPrxnaaJk9LVPPKt9n4G6UIth8SQl6P2dpObi7q74X4ZHbieVdMC+/
s2npN/z2X499iQO86dDKymqoXaFx9tuEIF9+Hb8lYhlidKeDHVFTrJ+Icfh3tKiTpveeFJRIIlP3
4APjIj15bg2g28yA/pwEYs2muqPmiue5FmQKSLfPwJf5JCFr+DgToXiKf4JX62/p3Ftz1Yu5f1GX
ugSlx82FEe6JbZOk1NPrKDD651NrA3B04pdlMrc8Cwniq7MbMNpoFmPwpmzrlCGx6Y3Z5XsmH4Rc
KtNGIcMZsaPFPc9oBDIVdyfQaRUNLuTLjKCI/sOzkiQeKEnDRJYdVGbMaDyMkWBXZySKOK9SB8tl
GMBGJFa3dX3X1iTPd3Rr4EeZ4VN5obqHPGTXBvzM5wEr/dBnPEZZqhUWlJjy3mqeT5nL08DQKLOP
1gMB3FEJdOGmL3A4/yYS9IufhxJIdhcwbnLUGcGP+dd0FMnVtRHRzdc+KkG5Zk1PmrMqXbdoipa4
L/mH+OLWqhlQ2VQMb6N9zxikjld36Lu+JIcyescJ+gjWqfA6TVj3fZ9AYUFvfBf/m6KsfvxTP4Ie
P+MNckAy2EX1IFRPDvmwU5NXdx6PFBQc8WNCdlcxakFqBQzyKw7Qm+PxC43nRB7W8Rge2prbU4fB
mhb4MDGNcSCEk538hPSOy1w+mT5SD1v6jMgU/E+w6pQQvbCycEHydpa/1f8ScWduKgRY/UXSAcv9
6WUr33p1qql9Uz3XIg+mxkIRVKIJ+vynaYKwgGhc7LfMbcIMJdk3JRAcsJq/XNq4UD/wRc6U2XyQ
PXNoKvMFxBrG1oac5VtajJtabeCehjvESB/AHlOqpHpnb1+9eXgcesfnDPrTOZAXNfdSFFZc6mTi
psruCLcmgk5vhmvuykAyfWWTI4NZderSMF3j0/Vtr/h+Wm3OnS1Nd1/UCGx5S2NDwTFFOUY4Jj+x
O0i8b+a8N4JYnMMrw9sgVs5wlLiFeC0E/YKOAT73W3UDsdBP76zZbfGRcRU4klVLo+A1pJgnQhvx
wME1kUD91U1MEK9yw8IP+JAAEei+qYezcNfF4WUJnqQtv1tiwxvngzU8XquxCCFW/4sQzsUKJvXi
0QtwwDWDEKyDK0L4EQtfJVxi6D0xHAqM2ZPaHOcNsRoKmCbWnnrsEMXaS2zvkozjPRr/Cf2yEnsw
JQ8IthD2h/ayoNPk1OuYY6vDsTK76iaY/XvQ6yZ1X/ctdxx6GUExqhx7We+ePg801mK4dWK6w8cP
/Xnjc06VWVbDC6+Xh2OY4/vpTd597+M1yEmREJ9RpR//PI4bEiEbpXpzQsyvZyRhQbJnvhJ03427
S98vfIqW56VKisfqVlnkD6AYEOHjtUPaK1hlU8hUteSuffeUazqCGzKGAl0Okc9CIbxf2Vw6CRCq
lzRTbvQoPAEVfO4ps5tbiGKVsQDvcDJDVyZx9XvZI2vA86ckEJjWyUCOl5cqMzeBFdhyrJylZ4En
0fOmFzoUPj+P8k+BaqVZ5ulFgPrX9TKRgeqSTBlMSzjLg1Pt+mGqm8lgityh6d/zkzn/8HtD1I2G
/NhWYHepjMBRw53hveK8A74i42eiCRxK3XXD+hQKw6izI7G725ulH5werO5yyzCk2Cd54BqWIOx8
mY2tiSh3/fW47V4VQem12ddRrKsAOxPaHgRyC5k4hbwCgkQKrJSB3u2vmwPrHqiOANpU93mur6H8
WORzgzcXPibKmoDO6vt2f91vwMBLXBpRlFrbALnZNLUVIQoBHfZfShaF6LaW+jsVZPBJDpdvFAGz
j4j43CCzLoZ+kEXE3TSbHgtg6jiuwTo2aj1rvVxgI+n5jdDWd9SnOj9zxqmDv0xhdH9DG21HKnlZ
krE+c6xKF5VmTLK6oM56sAoiTEt24FcMNkEd/zD/UVhl68ayTLGSm33wxsDPvG5btAogKj/zx2HS
f08hSYdtPDhsSBx7gjhO+HHxRP8Dh15JVrI5OoqFRXlsXzN4fuPEmqq297YqNXiCkZ547B3IIU3O
JES8Cnj2SJ/72XaRddLrtg1m2ePS1jka/+3HvNXebQmBIDeAHhIkhAvU2uprmX00v3Uls59TX8ia
MIwT99GDtYIyvmdNccrKNGzkirfLIEkxi2eKr3SCIWaBXvLy57/oZkIl0c5PvOvppwIpl5nDTnI2
5lGQEZIoMABWSs+y3SI1mS8GjIy1jCrUz4LVV2IbgPEmDjrAqRG8FFDYSBCGINvjFBJG6VyJLB1O
zrzao1U9paiyvUzRlwyJfUDFQFB/r3cvj3ifDTU7QVh+KAiGREx5vgXMKQDBs7fmwd/JWIGXaVYy
uoKYogoKwcyjFfsNaFArws5+bJmJAZRIy0dFVOuQkIhY5sQ9vyicNpJDzesOfjF80SrDphZse7RM
UfISNWhA/NmTbJXshjZ45GiytNlImEg8oZLBQ9nfotDiK77qKWSMEWmcAkfevas03++UVZrc1QtT
Hz5Ge51TJ/as16xxXAynJFGNgRegcKB21Yia6OFXNXcnXabX4kfAJQXDYwIob9C1IfYcS2qXhtLd
tvwsMxrJf4ex3jYUO9Wss3YEVdK7uiPdnGPeiamyw1TZk3lSRHEZy6VjA48aTZX/7Nkh52UvgrOA
GIRfJ/xcfA2sGnj8HUtSPNROwc5se+4mzB/4qznZ56v3HIIVqU63ZcHu/TtkF4r4MkEUsBmaaVnd
WE5cUsxQ4YJWyQXmGEW+Tn8rNqzfEX1oNACTDbtdZ7FgMA+kiyzdoyEcDyJQT33LJUmeSrgv2r8/
qdViUOBRIx3YEXL5nzb8/mYCvPFGS668wxZrjRWVCgxMfstT4CpSOmTHR2zJuq0t95stcMcMEpYO
veJlRD/XeKfrF+Ne/XkU6eadhYSM4vrF/aawKIs062nstGt2R153OCFkskM6Pr9K/wMJBZBwTMZT
DQMTB3Yy4oX/0awdn/yNqQRVGPwSc8aMC+VuhyDpmul3FpHIp3jHpnmwATLqRbW52pQ/Ezcn4Wef
4HKuUXW8a6GU58tyVkvTexKoSrazl63f54a8h5MMEXgd7w4B7Nt2ZTvvhitkm2TbgYsNovs0K6oj
50FcKyTSR3YAwVjmaAc9GXiiWxcTpkXuAepDazDRq4yMjnECzpiVzVTCK6yUI9E/pyhFYfLugouY
2dmljD7baHAitY9k9vAbOHsBx97GQajnO8R3OqtipEiLllNnT2HZGVDN0wKrhoEnxyjHUtnsXnkM
i5C4dm3KZKOdyk71Vhrqg0Ibs8nG2tbkuyF6UCyWcrTkhdJEKB6Bq7aiIUEhulsG+UYzf49RuO32
kw/I7NAcu70RQiANt7TxKM7K6KGCXNbVR2m6aJxrZ5rJULEGHaiziN8kNaWBhnA6xNVtfzHt67g8
Kf6uyvhNiTGBlGS+e42Rn6zxdLwHmRdUW6U0rWRom0WclCePo06GZ4iOJh1v4YxifW62VnowELLW
CXt64U/9hIzFt1HcafcBtGBKoAhZIvEqfVdWX83YUPSujrqE5SND3pZcOc2+t7hQ5K5vA5PDNgMA
8I4rIjgS209mb7PHkfUpoQLZrvz4SX1KCx8IHiOgZuPkyQROBXjuhoWPgIkKpNcLKDP+XlwLPc2p
GYIhg0XX8jA60j8gyrgNQ8B/5rf/spcNAeEFdq/CZVaeE1BIn2yYv6ylzv8FfKrTzftJMytpI+ZY
9zxAg+1ksvbEwv7zp1tvKdxa/6UUQGFNiXHjBTUaNu4m4GFpK/zKCnjd4XilVpWM8i3/gvxwtJxM
PrmTi7PTXR5NeD0NgPBYmVNEHC+nloD3cp3xL5GDD5eeszvIBPSgYfGrx61Xs2kQpCW5+Mr7Z7ok
WyNjBUjMr6wFFbmtgTyzd5xEjAWU6SwNdwCLG2JVhDgGoCLn2TuAbr0+qyNHVSwBEbm52rwfDRan
yHnWWbky5jrr4uAIyC1rdeZ4jYuvedFCXogm+FFzCRyv0I2gZq1tjqPFo/UUWhAC9XZbLH5W/rhM
lFtICdRR1DStQxC9ZWS3Kg8adLEA4Fmqol6V5yETTWzNfkKHLxFvWFwFlwy7yQosHZv9gAXfcDsT
kDfKVwndnvojbEht82Xad6XDjTBODhdpGYZ1I1yKwv3Iy7UsRKvZDznLz5j3wPeTIt0e4yjHTJ3C
hGWatxcU+XNjfM6htAvX+yQYLT9JuuTYWjx8W8jneXZ3gW6YXAScwbeUIii2TiZnPyd8RvoWkshj
rvAVXHOpFdQ2Agi4OCnsdm7k2as/pcRpjMmuCZxOh9xupFU5c5X9QFE7iV1hIj9vFLp6L7HptDbe
iV1ubtS9hTnlRxYRcdL83oppjbrKAYYNHK4J2PvPwMDYNgocASkoydgYjhgqaxKtA5GN7ouZ0dVJ
OfdoRItoWZw2wFwhl8jjQygWIvCUF+yZbQeNnk2KA8Thw25u0qKTA8uJDTR+wMtLHD5FHyUkdTTA
Tia7I1bZ/sI0uAXp5dWT9CqZwMMv7dz4HMs9xESQ+FTdCFNC50XYlPv2EKHHdAwyyhPreoCNf7hV
h5RtepEPqVqNZYNyKxlLibrDLIAaWTYaW3kMFKe6QjNYjTsmTZa2YTYfsbmDaKlC+d6r4wkZApDw
bixqPs8COmYJu3pF9d3frec/md0FdxoZ9t8rdlZk2Y+hRul42D3HBsuIzIVpT8FoiwJfhGPBsBqw
+eJRolmxwiR+FSuCTtowD+tvC7cAU3BZI+L4ZIqxfKwWP0KolTwJFZLX+HOqxurYn+/bGAijJBuL
VjH7sXCjO458uxNqLA8RE8KsdQWVge0grU9SZJ5zgyWU8fepwe9mn4uA+1n6IfYNt48bTfQSQ5uu
7JKitGjN2WEg8bA4LIe0POGJk8Ox5om2J1iBfw5zttcBzzR0DV6F+MgRbFvHDO1Mp4JcKjM4Q6ZC
nJztKMiVuBWCAr6sWst+OwFCgTKDkHOnhPZDzOhWKO1QFnF0vuq5c5lASQy7Zj/zXTTXEIWr8T2A
HQsJ4gfwC2URoD5JD3L3+8kYcR2gCUiHV8oq4S4+12HGPT86UJXw+92epCFwvVnYJS1BLrBuOztG
fe4LzjgRHfIm+cnxcu3dZFuYg6zFy/tcQqMttMLvg7CNv6ER94xhGozvSP95Olq9zDQ5ooMjoxUJ
PWiYh7smtQ8Z9GJZc5ZT4lo+wVhWpuYaS+f+8gGDL7I9DBwaNaQHfUuJTLLOJyiBcljceZM+XAQz
X14aa9H09DtzfQ03Vus5ml6g15ZNKPXJa7+KfjkaE7uTknl0eDnlrBjRFG4jxQdHJhiOWBq34q9H
xqGP/KwCjKbtXrFFGuhtOpuF0aJMomZpLyIi7a0lMdnP1Amv3PGaWqaBAp7XOLl4oZ4uy8TGbvv5
SFIcALX9K6pb3HFZ+g+6ve0d7W5GLlr9EVUrooaCqkHx5gjurPNgHtbgR3+JjBv39aZWOM1iYLu3
he62v9H6yR+uUAPS35IswYvyqjr+5JtAXNor6wIVr3tGwn3o8AM862ToxyC36Mh5cKLWDZiyqldE
LCFHPBme/kK6kfYXHBARFwmEFgFhSOh8VYoOXSOpIwZt4Scc4OKB7yFPIIOpmP3R
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block
EiX2NukX2J5+TgyRDb6FpolzAYOQ4QOKtxqTXAq5PT0QYib3mBeRnC0343Lv6mt+UF9OZEGgk+ro
aUIkVSW+1KH0UpbMBBy9Qw3S6Z1g/U7xlk4BWvlLwi9VKw9J/90WXNUROGm+ObPM/p/OxY6Lst+g
gsTV3EOAlyvLcOttsVk1HIyWd7kyGFt2iMDPrpX/InfKT4DMwmxjaT7ho8fX5wV5ETG4AlI5o3E0
tTM9tgC6UeDz5tzorurtddr1FmZMyvtbeWJMVSVbIw9eH7bpX3QLk9Eaf13Xro2SnxpOMPjM1Jhu
PzP1GJdnVjjHfrvEhFrDQ5Cgdaf4ZBF+MpO7lv+nEO0F4jrjuMJVW1VmSQ5U7ajMvrytR/ylHSzE
fC27li09v1vMjplqERNJ+l7b4vuvyzvtJWIWRS2TmySGnjN6MUhfWCSDHdZw83MS/CbRsg/Rzi2z
bwW2cY5h2t6YRwQPFGXwQEwI866VZMC9/ZksYC8p3Bf+CJJw6HVAXVjFyAqaY3lmMW/4WUfDRjuf
FTeorM4Ca+xVNlS0WUsu6cgO81EEEl4g0xk2KtTKJf6HhhUi2VbNanV9F4G+Sb3CggGfghi7yOjq
equKMUyTCx5U6mAqGkp2pg59+OQO5DA5UTwT2T/h4xB+t+3XolGenaVmgYqjB51j2O32ldcpaZIu
svKhfgUZ5gHF3ETtb3fq3C65BnieX0n+3BVZFBwSwXaH39Su8WFh/FlBSv7oAvCjEd17ov3LshYs
eV+ewy6ePobOitV6D+tNQE8zzFrNWMaLe04jFsqyE9tCpU2CdB0fDi6OQkT/r8Hkq10gZdpPA6pg
6Zs0SpeIm+IA0M2wkLZstuCg46OMTJ8MkGBxXaWKRiv20pRgMBpX9pt8x7sZv3CBTdlJIonmFo8U
+lR4fhmcgLj40rAa7Ma6bY5+gMl5T2VdUOlSAg4DA4bhg9hCj4VJAm7ECxnz2KZKUzUp94soPCnX
/sACtazlpy2rFn1jIg8oVrGbPLhuIlLhWZkslpytsbJ/fEGxXys3wYAfKDAGuNCPGSAD/knuKmfF
SwrrhDX2ln5tyeccQjVJ7vpsrEazs//z+mclW4CYdgtRUcF6aD0uLdxc4OnyR2dKaabBTpGFE+W9
kj8ZIXJin3HzWjyZfFzgYuvlvezHHQ96Ls7WXUWGXMiWhCLY9T16GwWKgH0CZP9UZj9Y6YLJ12Wi
dSdJ2Z6lx9e7vASeXySfBcphaON/PEeWFyRpqFoGTomGwWCPVcVI5aHfcZc5r+mZtE3bnnVFQ7Ch
4OP2TLaPVADu438id4xlcDst5IGz1h9B0mGScuEfLEBSWPwDhTAyLpymbZ+R4FAv+Xrgao345BF1
VJb8nOTHJ6XxX6K+a7yG7YmoZZBlobjFqINeBf5rUznufD4dvcwBcRAwqVm80DfoCSIt57FhC4Fa
vQKB0+DpXwMEss7gaJgfJMIoDRADAqCq61XEoSpIXO6B0dqiCvECTqARJ+FJKbEz1/MTuFqrBHCA
ydWTDW36HNq5Az+ekAa8tjcG49f9sd2uAjieZvzMJxqaARQGEdDiEBQioZwB3La9PbB6q+u28GZh
n9knCcgBjV0fYs0+riHOkd+t27FDn2VMgysFCbRpxQ712LH8zTmTNacoBkD05dFCKPmphx4iOyIW
wfVEppQdQRGsFKp9cK2keRDOSlozfSdP4TCk4bl49QXvShLx22CqU4n1OmlmY5CjbT78VrQ/j3jq
Dn/5pUp5FP7FthhSJNjmuLmaTZSU0lKh9xBcUblHY1MBFLj0gPABRiPC95pb674R3liUp7YqmTfd
3pIHIZijNQtofXBTyW3Bdh1+09zAV1rmtQRhmmn2LsR4LXGuHY+3ap+5G36IDmcwCCVtRpOA7t/G
NLYuiaUvAwUWmGW24jvSszCU90iqbwgAOHcZSrE9+kvkofVZa80k1SWI6phA96lh8z3LxfN3r/gH
yQFlXW7FggGdi6SevpeD6L4cc0lV8qZPkfm+EOKn68saSrfpipcyv408sMwe15T7mmByzeHq+G9b
MD8Pe596rl8CPle5b0jzuVqtAdaiZ6EB+m5VSp2akxfePgcIxg+2IwQcSQcVFQuLas+DCMzVN0dl
5bCBlCUWwPFWN6onVEwIorpJbBLhcEm9rxgIJ4LxXQUGZ8fLY9JUSip/i3g/ZOksvh8+JNRbhrJX
fc9cvgt85rQLxnGsy48Fn5Ge8q3EEetVXUI8hBuFiqKeswwoEdXtrseOOZU7CxgmkrmKDWWrujZ5
R09A9tFrWvT+uuAfUHeBfmGrx/6pmAAGA0X6SzVWQYoXcs5EoDQxalGxwz9G/y98B0M8UFGa59PD
cuTBIuvIuFI2/zNpYBaNsOuxBbnm9OJLRhAYFJeIJFdv2SghjXumYdq013JBDgg6HCzJmv3EhPgo
HqgfaMLCQqGxXWJQUp6ftwcGlqaIDnmKCLkqsrwOKdAGTanNGtUVDsg6tnbZjvmX6Okb7f+Pr6TB
UDRAV1lJe7ytokAdgoM2Qp0FCccILYBEAZ2AfJEBvK1HPv3lAgYeU7PlzWrS//GsJVuTnKlUx6Ut
h4zKQCeCsJ2xgtQMi2PA+T9Hlh6GD/TpKhI7k0gEhhkrQWGTyn4msXkWsJVU7vEBO8MtBqByL3cv
FW7tiQEPGdcJ7fZVldn50YgZQYZhxqhdJQ/dhRgcSdyD+A1W2DiHlQbr4+JjHo3IatIpJ9Gnlt38
TK4lFtqDHPRZ5vXw2hf9K5XNtcpMzpOxcCmnWZlZD/agQ23uDtpE81aUvIYLkJT5Bn4xnveWRxu3
4Sa1FgsXEin0n78gen63Pv0I5G3uZDAmrbOIgiQYi2EicMXCL3uVDLafEghhEngHlPMhIX7NPJ0M
f1TS6WHQgfckDkWCbwqcj9HwwnKg8I5ejFINSnSJJ+WLnpZpa5U9qFKyuul1JZqpvNMutu4MiG+F
+IS18j+1nKH6kjhBN3PSWSImEpe5Cs+hlghx6JHzgOIY1CBWTDdZozrPnuV3zgGV2ab649rbPpDu
D1K5dDORJrtrliYscBMTHKconE7vMKuXEwC880+fBfAy2nBn9+ZCZeHqOPkkBthen9V0MyGoxqwd
fotGe+aUUGDqGzNVg+Ix5+N+s7oEA8tJ7sgPoIiyuFqXerk1/uTSImRTEHH5M5LNEsN38veEphIp
vF8wAOXu3sbYFAVoPN+tGj8nvnIa0sORcoswYhEegVMqkQwaxJv5iopeluCq9Znfr4ZOKdgRWbvR
MLEpJGldJLYNSZxCRiGWNQmL7AlPXsOacTL3Ei9OCLmjVL4xB2tz1o66aJEej5hlvG8q3JfbIOtZ
NSSSW907oqcRqkZUK/23uQsBzDcFyD13OWQkG4YNce2dc7CGHkNcyLDjVcgk9jz7vVw5NQe9kZE3
y0XaZmtd3YtUPUV7Ry2T+RhnVAxm5a2sV2EqiF8BPMUuiv+NvFe67FrF34cZjYleAQiNS/GF52rN
w08KoaVP7xDHeLxV5+d8P4s66lDVYKsBxM1tNXlQA7pgzsTVZRDLyyl2FaGeJg2RxRmo5Wb/bKDU
D4VXxjTaNW8ZUvypDo61EMureRbTeJVabz4GrrzRnzwJoiIb+UiE8x211CjuIQv37AU1ej1ulkPO
vW+Y2vIfbeXzZXVIlHA2r/z7WPVl/Mdsn0WbX/+iPuwJ2lsKsdXCEfXteGbMBuoo5d2lk3JV+I39
J/g5hQu+R+aFmDJgPs5rNgNSWpKYUJ7fizqg25gn/QAF0q6Fu05ZTv0dVzz6UDmPJPaGi+8lRTJ2
/L7/iy61wvlSUAD++e8qFs2yxl/kXZDKGv09svb7I8QP4uPcgKYsfD7RjGk/yNvYh/oZzrc4vbQp
ggh2jYC1Q2iSXqyBGhmLzt1Z/d4HVLONYE5CGFxxbF+WXpyl5jTK6kqvwlLF3O5Puu1m2yOrB+VJ
vLGrfaj7cmBJuBLHO/OrFpw0HayacX1NIyFNSszD6tJvLBrRhN3Jo/6hzgIXuvpWtyp/iVl7THgr
d2jv3Ot06aUb2mfyf29K8Yj8ZV2esikjNaTSoULT5LbUv/XSFO/jlWXrzLj4X+rVTbl3vgI4JTJR
5Hu8CjVbAI4M+h59TF5jsNdIPwlNVxllHiaEub0NQMMfpnTt1W1C8g7Qnq765ZzL/JyhndruB7X7
RW4TJWbUYeyZhd4hydITrH2tWf0S2ru8Vz5h2O/raw/gbA0FCt1bKCxKBM5WxoWNv1Gap61YRTIb
g6CnoZ186ZIqcKAKMkAuEfSO43Mm41uZlNmWntdQtG/Q88hKyyezAMMNG4YVrXZiQ+FvmLUp/53m
sqVFUyY9ROo1YVFMhfHAs4ImMSPoDe8jnBqEXnMK1CkSqFQ6lojYhK1xsXDcbMV4p+yPANZLWVkk
jk/z7LhC8Qc40txM36Xa8BfP553uN9vDYbkqdQevVu2b2AH+YgGjDDUJGo/FfOv3U7LLg0vOVIx9
n9XTTIb8a2UbKvpoEMR/DOPDICJpUQu2DMIRCa0U+cO8eg9mr/5SBlouG3INjKyDg6BD4QbieDsY
XdaULVJwSRV0nDgCmucr3gVKCViRUmfVGYwzNBpMK57T77tZkOPM8QCCVHM+4kgW9mYR+sdR4xaN
WfYnZHIQS7iFdGRqo9J6Lq2b8C2pu8wLjnTcTF7aX8dQjaWbjnET73DCEpT5/419FUIuEp4hhaob
HRnC3QEYn6s/MklVaK9qLT+yuO2u7Aqa4F3tojlXQiVyNrip2Cnbp2SHNiPOjtF1DcWPOk39vN/k
si6qLk30iuIxqfP8SjcSnljlYxJcOBh/cYzEYA2io4UW2+sBJ1oxfuTrrKW8r2vJnKwbf671N7/6
m60gvhRlW6hBUmZmYjzCTKbh7/nYvO+hNX+E2vCap/JQsqjqKFt+WcQMdiVFTV0Qw1NPWlqAk4uM
9Jo0v7Fs4kqgs2DJQtmp8NjaXmAbZwzYkY1MYST9mguUeto0E8NggfvQ81DgTo6keeCMQmg3xF/M
HNAf1kbwQ3vqWNKDVKo8BeqaAg45zBgDsWNE0N9uMAmMH6q9/0CaU8K7Jd7JZbg5MWRQOM8QifTK
tEdqLRSFUUtTCoJ88Nvyy2oX/S7/o1mvid8ddGjoRH7QNBPehYCL6hPXXB488wMJgzrCCBHP8fSy
UQlz/uQUNrff1GFlfSA7bZyYufv6Ds+ftUYa89xeY9CYrKuLDWVhV58BTo9xxS3qGqsjtjKfLK1h
bUD1NH/tpKSFnNWp2fu7uMHzh4lRArXeQoxkqOZndEWPnMp/aHXFNAQhPscOOwFXcLlwfRv3C6Zb
mnBMVfsbJRJ+1Itg/pDLtveucpm3eZlLYXerxtrY4Cs8CLVGdPztQ2OwhLaW7MkKR2dTyyCsh0Td
2VAw6RFRsq13ii7k2aiLB5qreHeA4p1AWPda0ymNggk8dDzVQZl3ENSvXDNpUEzkAEq1pT8UII53
LvrgykDqk0KfO/7K0FFuHSJpojv0ndQ8c/H7QAomN7ajnGh6wQ6v3fBBrRVaHLn/DBoRCUQn2gq5
TuPvpda27quCS5hsUj4eA8CwgqM/4WmtH5h/oMh78tL6vJvRsjK1WfYdGok4qFlt3X6fSJw7vHvw
EsRoDCnb1eL1h5jqDDZerScZb8SMpICDY321ZxedViwPI4Dx9KOd6GcQ2v3XQzQkBQ6DvP2TV4+z
0wb96e7gfsf5IhuP+VqlTRmBJ/QEgV649Gwl6NHiBi9Wf1OhkMI4gtsI6wRSsNt4DYv97ZptDBwF
Kby3zGsNBr4DyC0kF/q6rvh/9vF8k2Fo3HQgDRlgqG3ms2EEfACkIKn7xNzpGY4DBq1ghM68b7Vk
JQu4exBmsnt683u3Hi5H7TBGKMa9fZATNOlIsUjjasjU/cO1J4fSO6CcEk72OCknmqjb3CZH1gFV
cgrTS4N7lAgRySBFi7gj79CrV0ZilHokAyJnxdB40hbfX36fbxz6MddO+NF+DgvTUdxm0R/skhIl
epAWG6eoRk7MUO9rCe9EJo9E6PSEcnJoYsCCfRz9qyj/kRem1O0FFBPu416AyWEgpvKe+fc+lyI+
ZhOQpwIkLwfBI6T2MYOohqrwNgYVUPdaGSE10dkF1+MvvjejNWK8oTSOsljEb/Dla+7VTV4KKHpP
gegFmcVzU+cHhd3V3Z8xno4GhToznN6hKDzirpPZlImVn6q4N5PiSY3GfAvepdB5SONiZ3GPailD
E5x5G6wYDdTkAfizvZnKqUTmjB0OPVeGOMIVNNOW1kZVN9ClNL9OXhmkF4e7k346UYMRyZdkbvR9
uUNSbtsDqoCe/WVLT7eOdIrRNn02l/U2vCtQMtrx1Iq4e5sP1MJJ6sT1mk+zaddRc+eA9MJ4WKxY
6ij4NNe+mJYuzhWJLmpXhdxCEdRPAbaWIZKVsRzxJISc1tKXO0eYLBvO+MUe+CHJuS2iItFukw3w
lmhkuJCy6hDY8J/Iob0pDzIdaUN2EyVAdf8I5vGzW9w033q2afVaILW55ykVkSNJ93p6SW0Swwir
R/a4d9Nux3fhsqnmgZ6XeErznu+C6/KWklP3jhZmtWdponpCeyCfTTzsCY2hoNyyzSS0J/v01/Y3
kl9iOKZPxjNAEPwIpn3Oy1H2UC4J9FGBBWq7s0a/3dn5FWs6oYYI6Yvqf5y2rb8knJZ687CHTUEd
h0aSuyoyaBLP8nAILDXn8YsymzWUrR/H08d8G9EQ2KaJIjsdArm4rmop0O/KiWqCxPS0W2zkNQbT
XSgsepjdBFTJxZJuUtcamG+l1aJBcfagj4/rFdRtbsDAnzI44ubLIxKIUJ/ziIVxi1fwyT6rBjG/
hXSaBSg3JIvNLDjv0kFRuX1kcw5VjznXn8tBK75DMvVaBtyPvq2bxaT4smCgPQluLU5bLklJs2ly
Zxzly2VMmIjoV53mQEyyL+Z50I/XXFQsxwZW/sH/oOy5fzLsTO6oWjMYHKMKNQmf/ljvo5L5j8Fe
uXL2+M0pwOKmphx48vDxC1vEbgWPg++UJcEP9BuXI9mQzbAtmbFROMPwy14rwO7asHO35TLaDfV8
q0hCk1fzn84/+RLHbJXnRHAqCy73h8TOzSrI8GHjy0zqJ8FVFvF8K9Gsiru1Q950duKYR7KU6Elu
GJWAI53l35Pegdxau6UU5TQ2ZrS3fmh9nQ1ZKtMRjDYsZtPN+Bpr6WRy0oNZrs5cePwhxf7pzwEZ
JFLzVykgOLavs6nsUrCcEL1QvuiDzPEJfdBsIwK7Ux1xJtLGTcRSsp9Dgf2XUJeHxhW7PNvhF0vQ
ZmqHvCcT1bRHuvqa24NarAVh1WE8SLJXt7VVTcMz3YlBzzoq9IZCytELPks+FjKPO760yisDunGT
cdDmAQKIpqWhsMMrfnLLxgmZ88bBcjYr6xjdvO9Br/JEvP1xteLuGYsblwkLYuhHPzyUVkKnZ88t
d377JG2xMyLuaL2wfSxSZU01+ftbwLrtJpvkC2kPdM+gp4eB0brcPI4Cv/wLNtkUVuL8V+UcnmsP
PAnlKoYuOVWSjDy9QCvQHrDgd06BmVhFLvfA0qx8IeKhWPrkqGxU492nhHxRRB6LQI1NynPDkmv6
9KbRdhBm9nz+4tTxSlZPhGPDeS2AVRRdbW89f4oppYLbVKx6JgTaMfmHyd8BSEoWDddKp34MsQDj
ubYJ0/w+yolvDwYy2t2OP7WIigRhK9yYhgCKWqBoAfTWQ1IpJdwVu9PtBScYLRTpvfaRzibNQ+Pn
wLl0Dyf61Krbzqn0M/lmJdiX1tGkGSiSj1NcJVrLLS6UDndn9brSwMQFmj4NR91t0/FfWGdMefjv
qdfxu/E9cuS1fwjguvOvLSfGQ8QJBENrC1A6qyRN9cBPdyFcWXV2hvc9ZcCi2Uv4AY8YvxDNPbGZ
CuRXNF/ELd2rUK+8/lX1lm8Ostu3898Vnor8hUfD1EZKY9wBO0N3BWIsXuLN0l8vibshBd5SdWta
9+muydnaYf5Uczx9pceUddVLr6Db2st229IERPGZrJvEnkGUAHVqaAm+EiIVzGOvnD3hxqvlvqML
5+lMDy3BZxjzbJH9WOd82/pglYvDTnO5fDu/qdN2HLAegkGHVolEgzyoMvwYcNDyQ0ryk20H2Gbq
SaI/S3Ctkoe5mpNbs24N12FYboXYQ1KK7iDgBlBsuatp/x8blFDkJv4+GeSLJweL6CL0eV+WDURZ
onOX+jjKYTc7RCn96Hv+eZIflg4tuUfA+zZAAtCHCpvAKcINm6m/1Jl/xmVauXmrqwDhxi3RNmXT
ecLn3ASOAOvlrr9/Qe2ImsfQtSgbmoCoNtckTxuTWDycQQ6IRN8ZyTt96XdN6H6VHnS7HXDNujt2
vcoNZFfa6yi6LV1t6EmRpDCD5jNgSyfJZRlZLfkxkhtwxL7lP5kmUcPNqUmpJih0TRss6mZRZtPN
kXbzxz6+/iD3d7b/S9VYw7fTsqGHi3jXtJ/i/mdVglH2ZD/iCVExRz8ABjo4uJHRMBJLgeCdi/ZD
LP2afiNk8x+mJNFEbQGbzizjn2wccFlixaYIq0kKbbCyTRgKxx3G6piJIMZTBOoGvizGl+BEZyzW
Qo7B/rcu3mhifXgbzvcdWtUYZwW01/HjcdmeW2pL+wwvlG1zBHtEHGrTzpEmjGTo7L5C19e0O0FM
VJaw5PABm8d1DmMugzTW48UQuiOW35a+zrN7xelYJTvIlORajKutvyJTShsym7V+Mg73B/JbR/dZ
eDq92YEF3ExDN1wZ6IrW+NYXNFKopg4RpVqxdcJjMUQbhzCGol4XpN29XaDZxT2FrmDLyum+eGBb
FRg/gG2IlQXEh9iuXw8tmqHe/1aoTWhlsWTfsOJWhN3rjQp10K3lNGua/PqGH8Q+FF53Hrkr0BoQ
VsnIGLS/mfjnNIpuHLKo9XzVS9Is1MFnlVPXycERJnVsN4GY+c2MhVHl65/XKn2CschStVHxaCdo
p9FqadGD7EKL2mgdj945O2OH2YhHVYvDz0KzC3KIG7euuBUk5rIrGrNsi9eNBbpseWLYfgKMmr9e
kJb6JPalDX8sbFYpAJMsu2nHWfmcN3MWviEDB6EsjlBtMGrsjCsikw2JlVu7sX/Je9aMKjW+5IKn
SPwkeZSqDHiPIkv2p7AK52I1BRSziz5N5zHRa16EtJneTDe28YM/dF4QMYSqdIY0187fJ/sQq6S7
Q7V6cSwmyIUNHnZXrLmVs0Ud/BC93/wR0dj7Trd/ZimYW96Uo+Et73OK1d7gfgGZP4nnVgNALnDL
JMGn3ph4IquGUAZLovuTxjMjEyLUKaoyLNl2lda+z+/WFbN7ZrAEmlmtfmX2BFx3Gt2W0rgL004Q
M37sgYO/rNWqxQmecIIdJ/2KKf8Mcbec0Grjd/BNZA9ASUV17qYet5oRdoQeH09cj+srQUmOv7Et
YvQ8mEkfKPWfn3RS6dxVGCDGNoso1BTyc8fRSyRJHzeJPn1XRRoRYrQouJ0gJmOEjsxIFRNm+/kO
8f/atOq1tjj0XX74rRJbU3tYiHB5d829cWolm/qIg69qRv6OGqpwOv6kDqze/jLd+XVEo5uP8uyL
tcbnYZk3ZsX58OheO9RSInWebUJeDR532fVwUEQM3o+WfpYrlE4qIcfwplTFFa/gcggz+/IDjHwg
DbPQC0yn4PGpMa5h5TN8lkUB5D2P3VT183D/tO0+EHJstmhf+hmIqHneEoTe+rmveYHMhNmSR/Qe
sPIyW1EQanfwa50FIRTIVwZ3Qg28LCIgLBd8rq8TMUBeRayDevDsmpeKB2bNhxYRyq1w0QzR6krm
g7WDr2o34aGMmkZfOuCwik+ykLxZoCvIpAZArCtae3rYmawgPqn3JvUM3q8PDZIR0JMT/76CBhsm
pdINNRyQkClN2g5XHXui5txkJWc5zF1062euIJc6Sy5FDYrdbSRMZAiMSZyQo8KPCimve2siFb5l
lT6228AAr7ojWF1ACRk9jGvl3Vyj3OKc+SvTF+OYHYm7wjylPXRyPGOF7nF1V0ksHJNLFx6/OeXN
BB9LOK34wf4VVzezVF+etdfvye5K0WZsMIVtI4RqrFelaBNHOaJb8TNRmtcIOtDXNZ3FDmf5XdZl
f/w1DvD9VdejCe9O09KKkX2EPNMhVGEz6KqcSrBTi1rhe01qBEOJI9sktloegCxb632Lhv9ftLM4
+AP5QaA58tfdobpWGYQitubVM3e9RbfqZiH86kaR8SWiV6Dtcpu7N6ynIrM1gjIwL9u/3fPu9hcN
47HA8bFIaYa7ir1ZCj+1R8yP6fGdLQQaRIywFyt4zzGvJ84afj3NeMKPu8JUsBEPYEq3wS2OHUfB
g75UiN75z+wF00GGy1hlJ6GDWRkV/UAI5Ftrif3kTdQXUfymzSbjptmKPdD1o1mNaR5tkj+/ZOFL
QrM0wdjUHW3Xv9mphJ39eaT/EgX3gu6ajrcJ+jF/Zth4/BMW3QPGv8GisRbA+fry9xdR80AurInR
WF1T3JdmmvzOfXmijSJMQLfu5017twcCZTyLfGLtO5ST8UYmXUh0hmIEo91eZrw266sZNSdQ6ihv
UQFt9tt3YX+8Fl7phRk2zQsWOJwsBjD52YAHJXzrfxVXFjltnDdbn56fiDyU1YgDHxn+cZKHS4Vd
wqbQJL2URAuXZr2KzKwv49omikSnJAuCMv/l1vos8+vLvKisYgVlloyqctLywO1saAURVjeAr79S
JjFqCz4FM4OV7dywhQW4sbiX6AaItx5SxMqUnw+6F+N0KGskEvh/fRF9PtOUag/lwNbpEEw93V3j
SHhtqAuUsV8YNJoYqWcNjjwT+NL96CXH0pHeoxFItMUXUXWa4kkbLafARY8dX48hx5wo+M4xwWFg
mikUPjkEclPdA2g6/r+JxPSMnb9MQToPzQg7ZSCEqJm6Wm0EvyqE+yQe9J6F6lbl0OwH2aEj12qA
8iU42GT1NG+kqD6aMPu+KUfUskaAsmHKvhIoFI4d8eE/ElUu90sN3/Wbp6VNn7nPSvi4tgt0G7lL
muHA8QcKFOH3Yxk+RjYGdPJmgbsBxnPXkrU3ffwoIDqMowtU5o45iuzz+RVBLHjxc0HzQkOOBuFn
zaYM/PS//rvDtdPpoFcmIURhfuYx9o5ORp/tEy8KHjJKGYT5jmwOO/HeDnxr5nWvuEePhhq5vTFj
jHHYhaCTQCbIYeoF2+WDkBhfXDXvpUffvOgaQqg41cfbrIS9j9mJASY9jHR+CPFAgqcki+6RXAZR
eCIUyA8QFjX2rs3dG9yroLFY0673f0InM5WkyFFySDp3y1Imp4WNNmiiQKH4B3OrAmlnnh9pzGOu
7hA3IsAopAze5whXyMhdpJqq2QpaEjpfQcnxeurbCwrjoX8eEbOPH2Tmyl3ZgY22Z7uLT1FBCE0t
eG6VExKlT+rH5/FuW4zcvDEbl5KHJRxzl4S5sqQpxupoPKZ5mNYtYUygDujNJhUiMQKnixtKLs/i
utpROZDyXroLUWdTPYYQMdBd8crvwJFfmMYEnyg/c3NIOzbp27DdL966EkWIC7rhbH3PPYBGuiAm
OzobNP9YEqvNbguA5hZWP/NQDx7GrXxv+TTfhyNBu+uxAa6Etu7ygiIPUFnbHcTuuu61JUY6YjTe
doNExv3mY3Pm0SEN71duhd2mhLRaiZty9M5a39dMeSPX9KJ63E5UzsABTykFFE5GZjdMk+RoKbMP
Ni2pkvpskDMWTg3MUf98IWDNUpcrYJ8lDDUHMIGsSTDjwouV9OXUsmy+027NiZAaBfFkbOTKY3LB
BvOYm8uaBqqyyshqn2FX7VfvPCn9y1uYrdhfk05goG9wZs6QiaH/3AxCKqzRaTf/s43SISZC3ySW
BwmWyTDiKnBiF4AeZ5+3bQPJEmT1ou9WE2aNokRX4UBkM0+7WDj711EblNKjxj27uIs1iGe8LD2/
z6LXlFluJF8MSEvay7SaUXSbWT+F7nOFI6ZJ6uaiJE/j8IeZ00G8kdJILDftT8tPyEGXYZ1RcfxJ
PgLdP0XMIDAwUaTZ4rXBgr5Zgv7j9SsZjLP8ZH//xy3X+sVeI2WiSRRa1qngyiytp3IeEUKE912m
6ZW9BDGI8WhFTg9sU0n4ITAqmhgUjDQQK7cRCVzdp7GEVQSGYyzTH9R0/zzPMB1xuZg/5B2dfcg1
zGjSUxJIIUSqXioKfPapaq0M+lRkO4rHGujrrH+4Nipr9ttXN7/8frs1x/4BagiafxkeWJenEdAO
xJzzxaHuIoo2BX1CJzcLLKqyP7vYvwEsbr1E+wt0XVEBMIKKsvCqksQoWgpvKBm2f7Xs5OufWb7t
OVaErrav7jx7+MuhnK1gOGigf1wZU86LfOxGABSbUC4oLS6F6HBLwi41UbiE03uzRmoZMdu7EReC
ScVwEYeZgWwcqDOCtN5zSEBZ1QHK1tMc4dvtUQwLv2pejhkHps6EWVsWxakvaOJPQe1jQyAVa6Wy
2PnG5sjgFfviieiMc06V5IWX0tCD2CFntHnoTV1M2Zc6Q0ywrK5lJnfaXFYzWxHNBhicuyHAFpia
Sb0rbmJSCwPrXYo8fMn/4IrLyGHolhqf7+hbVzQUSU5oCptoXyFQ5Lzw+wL0v4+6XuTkcXSwO/8T
RYwN2TSWMnn/EmTaMhtTAlOnVqQq9roDnqj+aSQe7Zr5fQKKBlFAxWp/mN0vY4HCAqHw7EzjfAFP
KDRZObCGPqZB+6I7quWMbLKPrxnaaJk9LVPPKt9n4G6UIth8SQl6P2dpObi7q74X4ZHbieVdMC+/
s2npN/z2X499iQO86dDKymqoXaFx9tuEIF9+Hb8lYhlidKeDHVFTrJ+Icfh3tKiTpveeFJRIIlP3
4APjIj15bg2g28yA/pwEYs2muqPmiue5FmQKSLfPwJf5JCFr+DgToXiKf4JX62/p3Ftz1Yu5f1GX
ugSlx82FEe6JbZOk1NPrKDD651NrA3B04pdlMrc8Cwniq7MbMNpoFmPwpmzrlCGx6Y3Z5XsmH4Rc
KtNGIcMZsaPFPc9oBDIVdyfQaRUNLuTLjKCI/sOzkiQeKEnDRJYdVGbMaDyMkWBXZySKOK9SB8tl
GMBGJFa3dX3X1iTPd3Rr4EeZ4VN5obqHPGTXBvzM5wEr/dBnPEZZqhUWlJjy3mqeT5nL08DQKLOP
1gMB3FEJdOGmL3A4/yYS9IufhxJIdhcwbnLUGcGP+dd0FMnVtRHRzdc+KkG5Zk1PmrMqXbdoipa4
L/mH+OLWqhlQ2VQMb6N9zxikjld36Lu+JIcyescJ+gjWqfA6TVj3fZ9AYUFvfBf/m6KsfvxTP4Ie
P+MNckAy2EX1IFRPDvmwU5NXdx6PFBQc8WNCdlcxakFqBQzyKw7Qm+PxC43nRB7W8Rge2prbU4fB
mhb4MDGNcSCEk538hPSOy1w+mT5SD1v6jMgU/E+w6pQQvbCycEHydpa/1f8ScWduKgRY/UXSAcv9
6WUr33p1qql9Uz3XIg+mxkIRVKIJ+vynaYKwgGhc7LfMbcIMJdk3JRAcsJq/XNq4UD/wRc6U2XyQ
PXNoKvMFxBrG1oac5VtajJtabeCehjvESB/AHlOqpHpnb1+9eXgcesfnDPrTOZAXNfdSFFZc6mTi
psruCLcmgk5vhmvuykAyfWWTI4NZderSMF3j0/Vtr/h+Wm3OnS1Nd1/UCGx5S2NDwTFFOUY4Jj+x
O0i8b+a8N4JYnMMrw9sgVs5wlLiFeC0E/YKOAT73W3UDsdBP76zZbfGRcRU4klVLo+A1pJgnQhvx
wME1kUD91U1MEK9yw8IP+JAAEei+qYezcNfF4WUJnqQtv1tiwxvngzU8XquxCCFW/4sQzsUKJvXi
0QtwwDWDEKyDK0L4EQtfJVxi6D0xHAqM2ZPaHOcNsRoKmCbWnnrsEMXaS2zvkozjPRr/Cf2yEnsw
JQ8IthD2h/ayoNPk1OuYY6vDsTK76iaY/XvQ6yZ1X/ctdxx6GUExqhx7We+ePg801mK4dWK6w8cP
/Xnjc06VWVbDC6+Xh2OY4/vpTd597+M1yEmREJ9RpR//PI4bEiEbpXpzQsyvZyRhQbJnvhJ03427
S98vfIqW56VKisfqVlnkD6AYEOHjtUPaK1hlU8hUteSuffeUazqCGzKGAl0Okc9CIbxf2Vw6CRCq
lzRTbvQoPAEVfO4ps5tbiGKVsQDvcDJDVyZx9XvZI2vA86ckEJjWyUCOl5cqMzeBFdhyrJylZ4En
0fOmFzoUPj+P8k+BaqVZ5ulFgPrX9TKRgeqSTBlMSzjLg1Pt+mGqm8lgityh6d/zkzn/8HtD1I2G
/NhWYHepjMBRw53hveK8A74i42eiCRxK3XXD+hQKw6izI7G725ulH5werO5yyzCk2Cd54BqWIOx8
mY2tiSh3/fW47V4VQem12ddRrKsAOxPaHgRyC5k4hbwCgkQKrJSB3u2vmwPrHqiOANpU93mur6H8
WORzgzcXPibKmoDO6vt2f91vwMBLXBpRlFrbALnZNLUVIQoBHfZfShaF6LaW+jsVZPBJDpdvFAGz
j4j43CCzLoZ+kEXE3TSbHgtg6jiuwTo2aj1rvVxgI+n5jdDWd9SnOj9zxqmDv0xhdH9DG21HKnlZ
krE+c6xKF5VmTLK6oM56sAoiTEt24FcMNkEd/zD/UVhl68ayTLGSm33wxsDPvG5btAogKj/zx2HS
f08hSYdtPDhsSBx7gjhO+HHxRP8Dh15JVrI5OoqFRXlsXzN4fuPEmqq297YqNXiCkZ547B3IIU3O
JES8Cnj2SJ/72XaRddLrtg1m2ePS1jka/+3HvNXebQmBIDeAHhIkhAvU2uprmX00v3Uls59TX8ia
MIwT99GDtYIyvmdNccrKNGzkirfLIEkxi2eKr3SCIWaBXvLy57/oZkIl0c5PvOvppwIpl5nDTnI2
5lGQEZIoMABWSs+y3SI1mS8GjIy1jCrUz4LVV2IbgPEmDjrAqRG8FFDYSBCGINvjFBJG6VyJLB1O
zrzao1U9paiyvUzRlwyJfUDFQFB/r3cvj3ifDTU7QVh+KAiGREx5vgXMKQDBs7fmwd/JWIGXaVYy
uoKYogoKwcyjFfsNaFArws5+bJmJAZRIy0dFVOuQkIhY5sQ9vyicNpJDzesOfjF80SrDphZse7RM
UfISNWhA/NmTbJXshjZ45GiytNlImEg8oZLBQ9nfotDiK77qKWSMEWmcAkfevas03++UVZrc1QtT
Hz5Ge51TJ/as16xxXAynJFGNgRegcKB21Yia6OFXNXcnXabX4kfAJQXDYwIob9C1IfYcS2qXhtLd
tvwsMxrJf4ex3jYUO9Wss3YEVdK7uiPdnGPeiamyw1TZk3lSRHEZy6VjA48aTZX/7Nkh52UvgrOA
GIRfJ/xcfA2sGnj8HUtSPNROwc5se+4mzB/4qznZ56v3HIIVqU63ZcHu/TtkF4r4MkEUsBmaaVnd
WE5cUsxQ4YJWyQXmGEW+Tn8rNqzfEX1oNACTDbtdZ7FgMA+kiyzdoyEcDyJQT33LJUmeSrgv2r8/
qdViUOBRIx3YEXL5nzb8/mYCvPFGS668wxZrjRWVCgxMfstT4CpSOmTHR2zJuq0t95stcMcMEpYO
veJlRD/XeKfrF+Ne/XkU6eadhYSM4vrF/aawKIs062nstGt2R153OCFkskM6Pr9K/wMJBZBwTMZT
DQMTB3Yy4oX/0awdn/yNqQRVGPwSc8aMC+VuhyDpmul3FpHIp3jHpnmwATLqRbW52pQ/Ezcn4Wef
4HKuUXW8a6GU58tyVkvTexKoSrazl63f54a8h5MMEXgd7w4B7Nt2ZTvvhitkm2TbgYsNovs0K6oj
50FcKyTSR3YAwVjmaAc9GXiiWxcTpkXuAepDazDRq4yMjnECzpiVzVTCK6yUI9E/pyhFYfLugouY
2dmljD7baHAitY9k9vAbOHsBx97GQajnO8R3OqtipEiLllNnT2HZGVDN0wKrhoEnxyjHUtnsXnkM
i5C4dm3KZKOdyk71Vhrqg0Ibs8nG2tbkuyF6UCyWcrTkhdJEKB6Bq7aiIUEhulsG+UYzf49RuO32
kw/I7NAcu70RQiANt7TxKM7K6KGCXNbVR2m6aJxrZ5rJULEGHaiziN8kNaWBhnA6xNVtfzHt67g8
Kf6uyvhNiTGBlGS+e42Rn6zxdLwHmRdUW6U0rWRom0WclCePo06GZ4iOJh1v4YxifW62VnowELLW
CXt64U/9hIzFt1HcafcBtGBKoAhZIvEqfVdWX83YUPSujrqE5SND3pZcOc2+t7hQ5K5vA5PDNgMA
8I4rIjgS209mb7PHkfUpoQLZrvz4SX1KCx8IHiOgZuPkyQROBXjuhoWPgIkKpNcLKDP+XlwLPc2p
GYIhg0XX8jA60j8gyrgNQ8B/5rf/spcNAeEFdq/CZVaeE1BIn2yYv6ylzv8FfKrTzftJMytpI+ZY
9zxAg+1ksvbEwv7zp1tvKdxa/6UUQGFNiXHjBTUaNu4m4GFpK/zKCnjd4XilVpWM8i3/gvxwtJxM
PrmTi7PTXR5NeD0NgPBYmVNEHC+nloD3cp3xL5GDD5eeszvIBPSgYfGrx61Xs2kQpCW5+Mr7Z7ok
WyNjBUjMr6wFFbmtgTyzd5xEjAWU6SwNdwCLG2JVhDgGoCLn2TuAbr0+qyNHVSwBEbm52rwfDRan
yHnWWbky5jrr4uAIyC1rdeZ4jYuvedFCXogm+FFzCRyv0I2gZq1tjqPFo/UUWhAC9XZbLH5W/rhM
lFtICdRR1DStQxC9ZWS3Kg8adLEA4Fmqol6V5yETTWzNfkKHLxFvWFwFlwy7yQosHZv9gAXfcDsT
kDfKVwndnvojbEht82Xad6XDjTBODhdpGYZ1I1yKwv3Iy7UsRKvZDznLz5j3wPeTIt0e4yjHTJ3C
hGWatxcU+XNjfM6htAvX+yQYLT9JuuTYWjx8W8jneXZ3gW6YXAScwbeUIii2TiZnPyd8RvoWkshj
rvAVXHOpFdQ2Agi4OCnsdm7k2as/pcRpjMmuCZxOh9xupFU5c5X9QFE7iV1hIj9vFLp6L7HptDbe
iV1ubtS9hTnlRxYRcdL83oppjbrKAYYNHK4J2PvPwMDYNgocASkoydgYjhgqaxKtA5GN7ouZ0dVJ
OfdoRItoWZw2wFwhl8jjQygWIvCUF+yZbQeNnk2KA8Thw25u0qKTA8uJDTR+wMtLHD5FHyUkdTTA
Tia7I1bZ/sI0uAXp5dWT9CqZwMMv7dz4HMs9xESQ+FTdCFNC50XYlPv2EKHHdAwyyhPreoCNf7hV
h5RtepEPqVqNZYNyKxlLibrDLIAaWTYaW3kMFKe6QjNYjTsmTZa2YTYfsbmDaKlC+d6r4wkZApDw
bixqPs8COmYJu3pF9d3frec/md0FdxoZ9t8rdlZk2Y+hRul42D3HBsuIzIVpT8FoiwJfhGPBsBqw
+eJRolmxwiR+FSuCTtowD+tvC7cAU3BZI+L4ZIqxfKwWP0KolTwJFZLX+HOqxurYn+/bGAijJBuL
VjH7sXCjO458uxNqLA8RE8KsdQWVge0grU9SZJ5zgyWU8fepwe9mn4uA+1n6IfYNt48bTfQSQ5uu
7JKitGjN2WEg8bA4LIe0POGJk8Ox5om2J1iBfw5zttcBzzR0DV6F+MgRbFvHDO1Mp4JcKjM4Q6ZC
nJztKMiVuBWCAr6sWst+OwFCgTKDkHOnhPZDzOhWKO1QFnF0vuq5c5lASQy7Zj/zXTTXEIWr8T2A
HQsJ4gfwC2URoD5JD3L3+8kYcR2gCUiHV8oq4S4+12HGPT86UJXw+92epCFwvVnYJS1BLrBuOztG
fe4LzjgRHfIm+cnxcu3dZFuYg6zFy/tcQqMttMLvg7CNv6ER94xhGozvSP95Olq9zDQ5ooMjoxUJ
PWiYh7smtQ8Z9GJZc5ZT4lo+wVhWpuYaS+f+8gGDL7I9DBwaNaQHfUuJTLLOJyiBcljceZM+XAQz
X14aa9H09DtzfQ03Vus5ml6g15ZNKPXJa7+KfjkaE7uTknl0eDnlrBjRFG4jxQdHJhiOWBq34q9H
xqGP/KwCjKbtXrFFGuhtOpuF0aJMomZpLyIi7a0lMdnP1Amv3PGaWqaBAp7XOLl4oZ4uy8TGbvv5
SFIcALX9K6pb3HFZ+g+6ve0d7W5GLlr9EVUrooaCqkHx5gjurPNgHtbgR3+JjBv39aZWOM1iYLu3
he62v9H6yR+uUAPS35IswYvyqjr+5JtAXNor6wIVr3tGwn3o8AM862ToxyC36Mh5cKLWDZiyqldE
LCFHPBme/kK6kfYXHBARFwmEFgFhSOh8VYoOXSOpIwZt4Scc4OKB7yFPIIOpmP3R
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
-- -----------------------------------------------------------------------
--
-- Syntiac VHDL support files.
--
-- -----------------------------------------------------------------------
-- Copyright 2005-2018 by Peter Wendrich ([email protected])
-- http://www.syntiac.com
--
-- This source file is free software: you can redistribute it and/or modify
-- it under the terms of the GNU Lesser General Public License as published
-- by the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This source file is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- -----------------------------------------------------------------------
-- 3 to 8 line demultiplexer
-- -----------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.ALL;
use work.ttl_pkg.all;
-- -----------------------------------------------------------------------
entity ttl_74138 is
generic (
latency : integer := 2
);
port (
emuclk : in std_logic;
p1 : in ttl_t; -- S0
p2 : in ttl_t; -- S1
p3 : in ttl_t; -- S2
p4 : in ttl_t; -- nE1
p5 : in ttl_t; -- nE2
p6 : in ttl_t; -- E3
p15 : out ttl_t; -- nY0
p14 : out ttl_t; -- nY1
p13 : out ttl_t; -- nY2
p12 : out ttl_t; -- nY3
p11 : out ttl_t; -- nY4
p10 : out ttl_t; -- nY5
p9 : out ttl_t; -- nY6
p7 : out ttl_t -- nY7
);
end entity;
architecture rtl of ttl_74138 is
signal p7_loc : ttl_t;
signal p9_loc : ttl_t;
signal p10_loc : ttl_t;
signal p11_loc : ttl_t;
signal p12_loc : ttl_t;
signal p13_loc : ttl_t;
signal p14_loc : ttl_t;
signal p15_loc : ttl_t;
begin
p7_latency_inst : entity work.ttl_latency
generic map (latency => latency)
port map (clk => emuclk, d => p7_loc, q => p7);
p9_latency_inst : entity work.ttl_latency
generic map (latency => latency)
port map (clk => emuclk, d => p9_loc, q => p9);
p10_latency_inst : entity work.ttl_latency
generic map (latency => latency)
port map (clk => emuclk, d => p10_loc, q => p10);
p11_latency_inst : entity work.ttl_latency
generic map (latency => latency)
port map (clk => emuclk, d => p11_loc, q => p11);
p12_latency_inst : entity work.ttl_latency
generic map (latency => latency)
port map (clk => emuclk, d => p12_loc, q => p12);
p13_latency_inst : entity work.ttl_latency
generic map (latency => latency)
port map (clk => emuclk, d => p13_loc, q => p13);
p14_latency_inst : entity work.ttl_latency
generic map (latency => latency)
port map (clk => emuclk, d => p14_loc, q => p14);
p15_latency_inst : entity work.ttl_latency
generic map (latency => latency)
port map (clk => emuclk, d => p15_loc, q => p15);
p7_loc <= ZERO when is_high(p1) and is_high(p2) and is_high(p3) and is_low(p4) and is_low(p5) and is_high(p6) else ONE;
p9_loc <= ZERO when is_low(p1) and is_high(p2) and is_high(p3) and is_low(p4) and is_low(p5) and is_high(p6) else ONE;
p10_loc <= ZERO when is_high(p1) and is_low(p2) and is_high(p3) and is_low(p4) and is_low(p5) and is_high(p6) else ONE;
p11_loc <= ZERO when is_low(p1) and is_low(p2) and is_high(p3) and is_low(p4) and is_low(p5) and is_high(p6) else ONE;
p12_loc <= ZERO when is_high(p1) and is_high(p2) and is_low(p3) and is_low(p4) and is_low(p5) and is_high(p6) else ONE;
p13_loc <= ZERO when is_low(p1) and is_high(p2) and is_low(p3) and is_low(p4) and is_low(p5) and is_high(p6) else ONE;
p14_loc <= ZERO when is_high(p1) and is_low(p2) and is_low(p3) and is_low(p4) and is_low(p5) and is_high(p6) else ONE;
p15_loc <= ZERO when is_low(p1) and is_low(p2) and is_low(p3) and is_low(p4) and is_low(p5) and is_high(p6) else ONE;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
-- print messages.
use std.textio.all;
use ieee.std_logic_textio.all;
entity <<ENTITY_NAME>>_tb is
end <<ENTITY_NAME>>_tb;
architecture <<ARCH_TYPE>> of <<ENTITY_NAME>>_tb is
-- Component declaration.
component <<ENTITY_NAME>>
port (<<IN_P>> <<OUT_P>>);
end component;
-- Specifies the entity which is linked with the component. (Especifica qual a entidade está vinculada com o componente).
for <<ENTITY_NAME>>_0: <<ENTITY_NAME>> use entity work.<<ENTITY_NAME>>;
<<DECL_TEST_SIGNALS>>
<<PROC_PRINT_MSGS>>
begin
-- Component instantiation.
-- port map (<<p_in_1>> => <<s_t_in_1>>)
<<ENTITY_NAME>>_0: <<ENTITY_NAME>> port map (<<port_map_entity_tb>>);
-- Process that works.
process
-- line to print.
variable line_out: line;
-- A record is created with the inputs and outputs of the entity.
-- (<<entrada1>>, <<entradaN>>, <<saida1>>, <<saidaN>>)
<<RECORD_PATTERN_TYPE_DECLARATION>>
-- The input patterns are applied (injected) to the inputs of the entity under test.
type pattern_array is array (natural range <>) of pattern_type;
-- Test cases.
constant patterns : pattern_array :=
(
<<TESTCASES_DECLARATION>>
);
begin
-- Message starting...
write(line_out, string'("Running testbench: <<ENTITY_NAME>>_tb."));
writeline(output, line_out);
write(line_out, string'(" Testing entity: <<ENTITY_NAME>>."));
writeline(output, line_out);
-- Injects the inputs and check thte outputs.
for i in patterns'range loop
-- Injects the inputs.
<<input_signals_injection>>
-- wait for results.
wait for 1 ns;
-- Checks the result with the expected output in the pattern.
<<PRINT_MESSAGE_CALL>>
<<asserts_vars>>
end loop;
write(line_out, string'("Execution of <<ENTITY_NAME>>_tb finished."));
writeline(output, line_out);
assert false report "End of test." severity note;
-- Wait forever; Isto finaliza a simulação.
wait;
end process;
end <<ARCH_TYPE>>;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc397.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x01p06n02i00397ent IS
END c03s02b01x01p06n02i00397ent;
ARCHITECTURE c03s02b01x01p06n02i00397arch OF c03s02b01x01p06n02i00397ent IS
type I1 is range 1 to 5;
type M1 is array (positive range 1 to 6) of integer;
type M2 is array (I1'(1) to I1'(2)) of M1; -- No_failure_here
BEGIN
TESTING: PROCESS
variable k : M2;
BEGIN
k(1) := (1,2,3,4,5,6);
k(2) := (7,8,9,10,11,12);
assert NOT(k(1)=(1,2,3,4,5,6) and k(2)=(7,8,9,10,11,12))
report "***PASSED TEST: c03s02b01x01p06n02i00397"
severity NOTE;
assert (k(1)=(1,2,3,4,5,6) and k(2)=(7,8,9,10,11,12))
report "***FAILED TEST: c03s02b01x01p06n02i00397 - Array element cannot be an unconstrained array."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p06n02i00397arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc397.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x01p06n02i00397ent IS
END c03s02b01x01p06n02i00397ent;
ARCHITECTURE c03s02b01x01p06n02i00397arch OF c03s02b01x01p06n02i00397ent IS
type I1 is range 1 to 5;
type M1 is array (positive range 1 to 6) of integer;
type M2 is array (I1'(1) to I1'(2)) of M1; -- No_failure_here
BEGIN
TESTING: PROCESS
variable k : M2;
BEGIN
k(1) := (1,2,3,4,5,6);
k(2) := (7,8,9,10,11,12);
assert NOT(k(1)=(1,2,3,4,5,6) and k(2)=(7,8,9,10,11,12))
report "***PASSED TEST: c03s02b01x01p06n02i00397"
severity NOTE;
assert (k(1)=(1,2,3,4,5,6) and k(2)=(7,8,9,10,11,12))
report "***FAILED TEST: c03s02b01x01p06n02i00397 - Array element cannot be an unconstrained array."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p06n02i00397arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc397.vhd,v 1.2 2001-10-26 16:29:53 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s02b01x01p06n02i00397ent IS
END c03s02b01x01p06n02i00397ent;
ARCHITECTURE c03s02b01x01p06n02i00397arch OF c03s02b01x01p06n02i00397ent IS
type I1 is range 1 to 5;
type M1 is array (positive range 1 to 6) of integer;
type M2 is array (I1'(1) to I1'(2)) of M1; -- No_failure_here
BEGIN
TESTING: PROCESS
variable k : M2;
BEGIN
k(1) := (1,2,3,4,5,6);
k(2) := (7,8,9,10,11,12);
assert NOT(k(1)=(1,2,3,4,5,6) and k(2)=(7,8,9,10,11,12))
report "***PASSED TEST: c03s02b01x01p06n02i00397"
severity NOTE;
assert (k(1)=(1,2,3,4,5,6) and k(2)=(7,8,9,10,11,12))
report "***FAILED TEST: c03s02b01x01p06n02i00397 - Array element cannot be an unconstrained array."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s02b01x01p06n02i00397arch;
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2017.3
-- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity convolve_kernel_fcud is
generic (
ID : integer := 40;
NUM_STAGE : integer := 8;
din0_WIDTH : integer := 32;
din1_WIDTH : integer := 32;
dout_WIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of convolve_kernel_fcud is
--------------------- Component ---------------------
component convolve_kernel_ap_fmul_6_max_dsp_32 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(31 downto 0);
s_axis_b_tvalid : in std_logic;
s_axis_b_tdata : in std_logic_vector(31 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(31 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(31 downto 0);
signal b_tvalid : std_logic;
signal b_tdata : std_logic_vector(31 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(31 downto 0);
signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
signal ce_r : std_logic;
signal dout_i : std_logic_vector(dout_WIDTH-1 downto 0);
signal dout_r : std_logic_vector(dout_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
convolve_kernel_ap_fmul_6_max_dsp_32_u : component convolve_kernel_ap_fmul_6_max_dsp_32
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
s_axis_b_tvalid => b_tvalid,
s_axis_b_tdata => b_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce_r;
a_tvalid <= '1';
a_tdata <= din0_buf1;
b_tvalid <= '1';
b_tdata <= din1_buf1;
dout_i <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din0_buf1 <= din0;
din1_buf1 <= din1;
end if;
end if;
end process;
process (clk) begin
if clk'event and clk = '1' then
ce_r <= ce;
end if;
end process;
process (clk) begin
if clk'event and clk = '1' then
if ce_r = '1' then
dout_r <= dout_i;
end if;
end if;
end process;
dout <= dout_i when ce_r = '1' else dout_r;
end architecture;
|
--------------------------------------------------------------------------------
-- PS2 Keyboard Controller - German Keyboard Layout --
--------------------------------------------------------------------------------
-- Copyright (C)2011 Mathias Hörtnagl <[email protected]> --
-- --
-- This program is free software: you can redistribute it and/or modify --
-- it under the terms of the GNU General Public License as published by --
-- the Free Software Foundation, either version 3 of the License, or --
-- (at your option) any later version. --
-- --
-- This program is distributed in the hope that it will be useful, --
-- but WITHOUT ANY WARRANTY; without even the implied warranty of --
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --
-- GNU General Public License for more details. --
-- --
-- You should have received a copy of the GNU General Public License --
-- along with this program. If not, see <http://www.gnu.org/licenses/>. --
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ascii is
port(
clk : in std_logic;
shft : in std_logic;
altgr : in std_logic;
code : in std_logic_vector(7 downto 0);
char : out std_logic_vector(7 downto 0)
);
end ascii;
architecture rtl of ascii is
begin
conv : process(clk)
begin
if rising_edge(clk) then
case code is
--------------------------------------------------------------------
-- Keys that are independend of the state of SHFT and ALTGR. --
--------------------------------------------------------------------
when X"66" => char <= X"08"; -- BS (BACKSPACE)
when X"0d" => char <= X"09"; -- HT (TAB)
when X"5a" => char <= X"0d"; -- CR (ENTER)
when X"76" => char <= X"1b"; -- ESC (ESCAPE)
when X"29" => char <= X"20"; -- SP (SPACE)
when X"71" => char <= X"7f"; -- DEL (DELETE)
when X"7e" => char <= X"80"; -- SCROLL
when X"75" => char <= X"f0"; -- UP ARROW
when X"6b" => char <= X"f1"; -- LEFT ARROW
when X"72" => char <= X"f2"; -- DOWN ARROW
when X"74" => char <= X"f3"; -- RIGHT ARROW
--when X"" => char <= X""
when others =>
case (altgr & shft & code) is
--------------------------------------------------------------
-- SHFT and ALTGR not pressed. --
--------------------------------------------------------------
when "00" & X"0e" => char <= X"5e"; -- ^
when "00" & X"15" => char <= X"71"; -- q
when "00" & X"16" => char <= X"31"; -- 1
when "00" & X"1a" => char <= X"79"; -- y
when "00" & X"1b" => char <= X"73"; -- s
when "00" & X"1c" => char <= X"61"; -- a
when "00" & X"1d" => char <= X"77"; -- w
when "00" & X"1e" => char <= X"32"; -- 2
when "00" & X"21" => char <= X"63"; -- c
when "00" & X"22" => char <= X"78"; -- x
when "00" & X"23" => char <= X"64"; -- d
when "00" & X"24" => char <= X"65"; -- e
when "00" & X"25" => char <= X"34"; -- 4
when "00" & X"26" => char <= X"33"; -- 3
when "00" & X"2a" => char <= X"76"; -- v
when "00" & X"2b" => char <= X"66"; -- f
when "00" & X"2c" => char <= X"74"; -- t
when "00" & X"2d" => char <= X"72"; -- r
when "00" & X"2e" => char <= X"35"; -- 5
when "00" & X"31" => char <= X"6e"; -- n
when "00" & X"32" => char <= X"62"; -- b
when "00" & X"33" => char <= X"68"; -- h
when "00" & X"34" => char <= X"67"; -- g
when "00" & X"35" => char <= X"7a"; -- z
when "00" & X"36" => char <= X"36"; -- 6
when "00" & X"3a" => char <= X"6d"; -- m
when "00" & X"3b" => char <= X"6a"; -- j
when "00" & X"3c" => char <= X"75"; -- u
when "00" & X"3d" => char <= X"37"; -- 7
when "00" & X"3e" => char <= X"38"; -- 8
when "00" & X"41" => char <= X"2c"; -- ,
when "00" & X"42" => char <= X"6b"; -- k
when "00" & X"43" => char <= X"69"; -- i
when "00" & X"44" => char <= X"6f"; -- o
when "00" & X"45" => char <= X"30"; -- 0
when "00" & X"46" => char <= X"39"; -- 9
when "00" & X"49" => char <= X"2e"; -- .
when "00" & X"4a" => char <= X"2d"; -- -
when "00" & X"4b" => char <= X"6c"; -- l
when "00" & X"4d" => char <= X"70"; -- p
when "00" & X"5b" => char <= X"2b"; -- +
when "00" & X"5d" => char <= X"23"; -- #
when "00" & X"61" => char <= X"3c"; -- <
--------------------------------------------------------------
-- SHFT pressed. --
--------------------------------------------------------------
when "01" & X"15" => char <= X"51"; -- Q
when "01" & X"16" => char <= X"21"; -- !
when "01" & X"1a" => char <= X"59"; -- Y
when "01" & X"1b" => char <= X"53"; -- S
when "01" & X"1c" => char <= X"41"; -- A
when "01" & X"1d" => char <= X"57"; -- W
when "01" & X"1e" => char <= X"22"; -- "
when "01" & X"21" => char <= X"43"; -- C
when "01" & X"22" => char <= X"58"; -- X
when "01" & X"23" => char <= X"44"; -- D
when "01" & X"24" => char <= X"45"; -- E
when "01" & X"25" => char <= X"24"; -- $
when "01" & X"2a" => char <= X"56"; -- V
when "01" & X"2b" => char <= X"46"; -- F
when "01" & X"2c" => char <= X"54"; -- T
when "01" & X"2d" => char <= X"52"; -- R
when "01" & X"2e" => char <= X"25"; -- %
when "01" & X"31" => char <= X"4e"; -- N
when "01" & X"32" => char <= X"42"; -- B
when "01" & X"33" => char <= X"48"; -- H
when "01" & X"34" => char <= X"47"; -- G
when "01" & X"35" => char <= X"5a"; -- Z
when "01" & X"36" => char <= X"26"; -- &
when "01" & X"3a" => char <= X"4d"; -- M
when "01" & X"3b" => char <= X"4a"; -- J
when "01" & X"3c" => char <= X"55"; -- U
when "01" & X"3d" => char <= X"2f"; -- /
when "01" & X"3e" => char <= X"28"; -- (
when "01" & X"41" => char <= X"3b"; -- ;
when "01" & X"42" => char <= X"4b"; -- K
when "01" & X"43" => char <= X"49"; -- I
when "01" & X"44" => char <= X"4f"; -- O
when "01" & X"45" => char <= X"3d"; -- =
when "01" & X"46" => char <= X"29"; -- )
when "01" & X"49" => char <= X"3a"; -- :
when "01" & X"4a" => char <= X"5f"; -- _
when "01" & X"4b" => char <= X"4c"; -- L
when "01" & X"4d" => char <= X"50"; -- P
when "01" & X"4e" => char <= X"3f"; -- ?
when "01" & X"55" => char <= X"60"; -- `
when "01" & X"5b" => char <= X"2a"; -- *
when "01" & X"5d" => char <= X"27"; -- '
when "01" & X"61" => char <= X"3e"; -- >
--------------------------------------------------------------
-- ALTGR pressed. --
--------------------------------------------------------------
when "10" & X"15" => char <= X"40"; -- @
when "10" & X"3d" => char <= X"7b"; -- {
when "10" & X"3e" => char <= X"5b"; -- [
when "10" & X"45" => char <= X"7d"; -- }
when "10" & X"46" => char <= X"5d"; -- ]
when "10" & X"4e" => char <= X"5c"; -- \
when "10" & X"5b" => char <= X"7e"; -- ~
when "10" & X"61" => char <= X"7c"; -- |
--------------------------------------------------------------
-- SHFT and ALTGR pressed. --
--------------------------------------------------------------
--------------------------------------------------------------
-- Everything else returns the empty key X"00". --
--------------------------------------------------------------
when others => char <= X"00";
end case;
end case;
end if;
end process;
end rtl; |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U2lh2czMwz5cgyQuIsr1wR/63L3TEC5oz8P2NvJeArlLCZBnEL2/+W9TsGPIUpN99PUf5yI4w+sp
EG3OfLWs4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LuBtK9tw2eWQ6XMoo+SEPc/UGQFiN7l/d0hgbocgeex+VwhW68gOA1RDE17Drzt6y0s386GmboBy
PkwPpGBXb2C3ubjDI2lPWMBIFc1bfYsIKyE2Hzd9d1RN+VLvALDtRSfz4PIdjMFpwRxqQ4X4KxhP
KAFn8T9lsVxdLjIdpt0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fye9pWBMoXZcufNkdBpV0z9ut4aO/YYEVUStw6SI9ADSx5+Vy4Ot7Q8E2q0ytzALu/i1QTMFG2rB
7Fw2NMa/hcrz84vfcMOxdPDZC+heazpjXQ02d0ZSKfyuknehxk9Ynk2AFZzcyaKW12ClfanfFY+q
fHYn0jeH1BNgDvKg5WjHznTuh14IcjalZNl1Lk6JCnW4HGY/cnqraHQ79vI+ZCQEPYyeBr7Ivvy+
2q1ukr07VkSMaPB3sDZrHzrQwlQd/iNbedWdsjZTVWr7mxEpMdd7HY8VyaGng8fx26sA6iafskta
aLfZfUIcJ/ONBenrsLieCzh5D4K6jsYLUnhzlg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
et7r1zeGSK4ujbl7k6bUkZDdIWS9X54YqrRyYBAEXKFzwqFXv+vIe5Nn78aOpR/PwFOPSa5QYN/c
M75iTnH/U6ztgv0bp1g7MYMPbBxgEa7LzHYah9LQOIOaj+buLEPq1LctI+v1dkD73GgpV0hTZidE
739sP+kS2Ke1QDpTT5E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dfaJHftD+DMmze5wF5XmnCxxxi6HQTizks+DU1KbgbsRwHGIAEqp3xp/KlZcm7bfmmc7KNpb9mBT
OKIEIOWkj6WRhQHJzWVvGjW+W6YNXqKgDOOcYqFtrBkjeoDWWv9LbbFHqlZk8FFTs1bYqRxz8n6G
5eC2o5mYFQpwcLDEb6p87Z6q3L0YSkNDPkBWrCi4Eg8CJyr/QZAj+gYSEyDCd2QAq3EphsyEaWVV
iTyfhmzFrFVmRLgXevx+CN1bODL4nKfEcRNKfPgueTxXIQELs/2oPA91LsK9l5bwKq4pOGO/dKcP
FGEot0Nxm3jbuyEZ4cVvHBXAn48gF9mMVJKlxQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17232)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U2lh2czMwz5cgyQuIsr1wR/63L3TEC5oz8P2NvJeArlLCZBnEL2/+W9TsGPIUpN99PUf5yI4w+sp
EG3OfLWs4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LuBtK9tw2eWQ6XMoo+SEPc/UGQFiN7l/d0hgbocgeex+VwhW68gOA1RDE17Drzt6y0s386GmboBy
PkwPpGBXb2C3ubjDI2lPWMBIFc1bfYsIKyE2Hzd9d1RN+VLvALDtRSfz4PIdjMFpwRxqQ4X4KxhP
KAFn8T9lsVxdLjIdpt0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fye9pWBMoXZcufNkdBpV0z9ut4aO/YYEVUStw6SI9ADSx5+Vy4Ot7Q8E2q0ytzALu/i1QTMFG2rB
7Fw2NMa/hcrz84vfcMOxdPDZC+heazpjXQ02d0ZSKfyuknehxk9Ynk2AFZzcyaKW12ClfanfFY+q
fHYn0jeH1BNgDvKg5WjHznTuh14IcjalZNl1Lk6JCnW4HGY/cnqraHQ79vI+ZCQEPYyeBr7Ivvy+
2q1ukr07VkSMaPB3sDZrHzrQwlQd/iNbedWdsjZTVWr7mxEpMdd7HY8VyaGng8fx26sA6iafskta
aLfZfUIcJ/ONBenrsLieCzh5D4K6jsYLUnhzlg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
et7r1zeGSK4ujbl7k6bUkZDdIWS9X54YqrRyYBAEXKFzwqFXv+vIe5Nn78aOpR/PwFOPSa5QYN/c
M75iTnH/U6ztgv0bp1g7MYMPbBxgEa7LzHYah9LQOIOaj+buLEPq1LctI+v1dkD73GgpV0hTZidE
739sP+kS2Ke1QDpTT5E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dfaJHftD+DMmze5wF5XmnCxxxi6HQTizks+DU1KbgbsRwHGIAEqp3xp/KlZcm7bfmmc7KNpb9mBT
OKIEIOWkj6WRhQHJzWVvGjW+W6YNXqKgDOOcYqFtrBkjeoDWWv9LbbFHqlZk8FFTs1bYqRxz8n6G
5eC2o5mYFQpwcLDEb6p87Z6q3L0YSkNDPkBWrCi4Eg8CJyr/QZAj+gYSEyDCd2QAq3EphsyEaWVV
iTyfhmzFrFVmRLgXevx+CN1bODL4nKfEcRNKfPgueTxXIQELs/2oPA91LsK9l5bwKq4pOGO/dKcP
FGEot0Nxm3jbuyEZ4cVvHBXAn48gF9mMVJKlxQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17232)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U2lh2czMwz5cgyQuIsr1wR/63L3TEC5oz8P2NvJeArlLCZBnEL2/+W9TsGPIUpN99PUf5yI4w+sp
EG3OfLWs4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LuBtK9tw2eWQ6XMoo+SEPc/UGQFiN7l/d0hgbocgeex+VwhW68gOA1RDE17Drzt6y0s386GmboBy
PkwPpGBXb2C3ubjDI2lPWMBIFc1bfYsIKyE2Hzd9d1RN+VLvALDtRSfz4PIdjMFpwRxqQ4X4KxhP
KAFn8T9lsVxdLjIdpt0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fye9pWBMoXZcufNkdBpV0z9ut4aO/YYEVUStw6SI9ADSx5+Vy4Ot7Q8E2q0ytzALu/i1QTMFG2rB
7Fw2NMa/hcrz84vfcMOxdPDZC+heazpjXQ02d0ZSKfyuknehxk9Ynk2AFZzcyaKW12ClfanfFY+q
fHYn0jeH1BNgDvKg5WjHznTuh14IcjalZNl1Lk6JCnW4HGY/cnqraHQ79vI+ZCQEPYyeBr7Ivvy+
2q1ukr07VkSMaPB3sDZrHzrQwlQd/iNbedWdsjZTVWr7mxEpMdd7HY8VyaGng8fx26sA6iafskta
aLfZfUIcJ/ONBenrsLieCzh5D4K6jsYLUnhzlg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
et7r1zeGSK4ujbl7k6bUkZDdIWS9X54YqrRyYBAEXKFzwqFXv+vIe5Nn78aOpR/PwFOPSa5QYN/c
M75iTnH/U6ztgv0bp1g7MYMPbBxgEa7LzHYah9LQOIOaj+buLEPq1LctI+v1dkD73GgpV0hTZidE
739sP+kS2Ke1QDpTT5E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dfaJHftD+DMmze5wF5XmnCxxxi6HQTizks+DU1KbgbsRwHGIAEqp3xp/KlZcm7bfmmc7KNpb9mBT
OKIEIOWkj6WRhQHJzWVvGjW+W6YNXqKgDOOcYqFtrBkjeoDWWv9LbbFHqlZk8FFTs1bYqRxz8n6G
5eC2o5mYFQpwcLDEb6p87Z6q3L0YSkNDPkBWrCi4Eg8CJyr/QZAj+gYSEyDCd2QAq3EphsyEaWVV
iTyfhmzFrFVmRLgXevx+CN1bODL4nKfEcRNKfPgueTxXIQELs/2oPA91LsK9l5bwKq4pOGO/dKcP
FGEot0Nxm3jbuyEZ4cVvHBXAn48gF9mMVJKlxQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17232)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U2lh2czMwz5cgyQuIsr1wR/63L3TEC5oz8P2NvJeArlLCZBnEL2/+W9TsGPIUpN99PUf5yI4w+sp
EG3OfLWs4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LuBtK9tw2eWQ6XMoo+SEPc/UGQFiN7l/d0hgbocgeex+VwhW68gOA1RDE17Drzt6y0s386GmboBy
PkwPpGBXb2C3ubjDI2lPWMBIFc1bfYsIKyE2Hzd9d1RN+VLvALDtRSfz4PIdjMFpwRxqQ4X4KxhP
KAFn8T9lsVxdLjIdpt0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fye9pWBMoXZcufNkdBpV0z9ut4aO/YYEVUStw6SI9ADSx5+Vy4Ot7Q8E2q0ytzALu/i1QTMFG2rB
7Fw2NMa/hcrz84vfcMOxdPDZC+heazpjXQ02d0ZSKfyuknehxk9Ynk2AFZzcyaKW12ClfanfFY+q
fHYn0jeH1BNgDvKg5WjHznTuh14IcjalZNl1Lk6JCnW4HGY/cnqraHQ79vI+ZCQEPYyeBr7Ivvy+
2q1ukr07VkSMaPB3sDZrHzrQwlQd/iNbedWdsjZTVWr7mxEpMdd7HY8VyaGng8fx26sA6iafskta
aLfZfUIcJ/ONBenrsLieCzh5D4K6jsYLUnhzlg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
et7r1zeGSK4ujbl7k6bUkZDdIWS9X54YqrRyYBAEXKFzwqFXv+vIe5Nn78aOpR/PwFOPSa5QYN/c
M75iTnH/U6ztgv0bp1g7MYMPbBxgEa7LzHYah9LQOIOaj+buLEPq1LctI+v1dkD73GgpV0hTZidE
739sP+kS2Ke1QDpTT5E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dfaJHftD+DMmze5wF5XmnCxxxi6HQTizks+DU1KbgbsRwHGIAEqp3xp/KlZcm7bfmmc7KNpb9mBT
OKIEIOWkj6WRhQHJzWVvGjW+W6YNXqKgDOOcYqFtrBkjeoDWWv9LbbFHqlZk8FFTs1bYqRxz8n6G
5eC2o5mYFQpwcLDEb6p87Z6q3L0YSkNDPkBWrCi4Eg8CJyr/QZAj+gYSEyDCd2QAq3EphsyEaWVV
iTyfhmzFrFVmRLgXevx+CN1bODL4nKfEcRNKfPgueTxXIQELs/2oPA91LsK9l5bwKq4pOGO/dKcP
FGEot0Nxm3jbuyEZ4cVvHBXAn48gF9mMVJKlxQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17232)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U2lh2czMwz5cgyQuIsr1wR/63L3TEC5oz8P2NvJeArlLCZBnEL2/+W9TsGPIUpN99PUf5yI4w+sp
EG3OfLWs4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LuBtK9tw2eWQ6XMoo+SEPc/UGQFiN7l/d0hgbocgeex+VwhW68gOA1RDE17Drzt6y0s386GmboBy
PkwPpGBXb2C3ubjDI2lPWMBIFc1bfYsIKyE2Hzd9d1RN+VLvALDtRSfz4PIdjMFpwRxqQ4X4KxhP
KAFn8T9lsVxdLjIdpt0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fye9pWBMoXZcufNkdBpV0z9ut4aO/YYEVUStw6SI9ADSx5+Vy4Ot7Q8E2q0ytzALu/i1QTMFG2rB
7Fw2NMa/hcrz84vfcMOxdPDZC+heazpjXQ02d0ZSKfyuknehxk9Ynk2AFZzcyaKW12ClfanfFY+q
fHYn0jeH1BNgDvKg5WjHznTuh14IcjalZNl1Lk6JCnW4HGY/cnqraHQ79vI+ZCQEPYyeBr7Ivvy+
2q1ukr07VkSMaPB3sDZrHzrQwlQd/iNbedWdsjZTVWr7mxEpMdd7HY8VyaGng8fx26sA6iafskta
aLfZfUIcJ/ONBenrsLieCzh5D4K6jsYLUnhzlg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
et7r1zeGSK4ujbl7k6bUkZDdIWS9X54YqrRyYBAEXKFzwqFXv+vIe5Nn78aOpR/PwFOPSa5QYN/c
M75iTnH/U6ztgv0bp1g7MYMPbBxgEa7LzHYah9LQOIOaj+buLEPq1LctI+v1dkD73GgpV0hTZidE
739sP+kS2Ke1QDpTT5E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dfaJHftD+DMmze5wF5XmnCxxxi6HQTizks+DU1KbgbsRwHGIAEqp3xp/KlZcm7bfmmc7KNpb9mBT
OKIEIOWkj6WRhQHJzWVvGjW+W6YNXqKgDOOcYqFtrBkjeoDWWv9LbbFHqlZk8FFTs1bYqRxz8n6G
5eC2o5mYFQpwcLDEb6p87Z6q3L0YSkNDPkBWrCi4Eg8CJyr/QZAj+gYSEyDCd2QAq3EphsyEaWVV
iTyfhmzFrFVmRLgXevx+CN1bODL4nKfEcRNKfPgueTxXIQELs/2oPA91LsK9l5bwKq4pOGO/dKcP
FGEot0Nxm3jbuyEZ4cVvHBXAn48gF9mMVJKlxQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17232)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U2lh2czMwz5cgyQuIsr1wR/63L3TEC5oz8P2NvJeArlLCZBnEL2/+W9TsGPIUpN99PUf5yI4w+sp
EG3OfLWs4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LuBtK9tw2eWQ6XMoo+SEPc/UGQFiN7l/d0hgbocgeex+VwhW68gOA1RDE17Drzt6y0s386GmboBy
PkwPpGBXb2C3ubjDI2lPWMBIFc1bfYsIKyE2Hzd9d1RN+VLvALDtRSfz4PIdjMFpwRxqQ4X4KxhP
KAFn8T9lsVxdLjIdpt0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fye9pWBMoXZcufNkdBpV0z9ut4aO/YYEVUStw6SI9ADSx5+Vy4Ot7Q8E2q0ytzALu/i1QTMFG2rB
7Fw2NMa/hcrz84vfcMOxdPDZC+heazpjXQ02d0ZSKfyuknehxk9Ynk2AFZzcyaKW12ClfanfFY+q
fHYn0jeH1BNgDvKg5WjHznTuh14IcjalZNl1Lk6JCnW4HGY/cnqraHQ79vI+ZCQEPYyeBr7Ivvy+
2q1ukr07VkSMaPB3sDZrHzrQwlQd/iNbedWdsjZTVWr7mxEpMdd7HY8VyaGng8fx26sA6iafskta
aLfZfUIcJ/ONBenrsLieCzh5D4K6jsYLUnhzlg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
et7r1zeGSK4ujbl7k6bUkZDdIWS9X54YqrRyYBAEXKFzwqFXv+vIe5Nn78aOpR/PwFOPSa5QYN/c
M75iTnH/U6ztgv0bp1g7MYMPbBxgEa7LzHYah9LQOIOaj+buLEPq1LctI+v1dkD73GgpV0hTZidE
739sP+kS2Ke1QDpTT5E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dfaJHftD+DMmze5wF5XmnCxxxi6HQTizks+DU1KbgbsRwHGIAEqp3xp/KlZcm7bfmmc7KNpb9mBT
OKIEIOWkj6WRhQHJzWVvGjW+W6YNXqKgDOOcYqFtrBkjeoDWWv9LbbFHqlZk8FFTs1bYqRxz8n6G
5eC2o5mYFQpwcLDEb6p87Z6q3L0YSkNDPkBWrCi4Eg8CJyr/QZAj+gYSEyDCd2QAq3EphsyEaWVV
iTyfhmzFrFVmRLgXevx+CN1bODL4nKfEcRNKfPgueTxXIQELs/2oPA91LsK9l5bwKq4pOGO/dKcP
FGEot0Nxm3jbuyEZ4cVvHBXAn48gF9mMVJKlxQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17232)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U2lh2czMwz5cgyQuIsr1wR/63L3TEC5oz8P2NvJeArlLCZBnEL2/+W9TsGPIUpN99PUf5yI4w+sp
EG3OfLWs4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LuBtK9tw2eWQ6XMoo+SEPc/UGQFiN7l/d0hgbocgeex+VwhW68gOA1RDE17Drzt6y0s386GmboBy
PkwPpGBXb2C3ubjDI2lPWMBIFc1bfYsIKyE2Hzd9d1RN+VLvALDtRSfz4PIdjMFpwRxqQ4X4KxhP
KAFn8T9lsVxdLjIdpt0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fye9pWBMoXZcufNkdBpV0z9ut4aO/YYEVUStw6SI9ADSx5+Vy4Ot7Q8E2q0ytzALu/i1QTMFG2rB
7Fw2NMa/hcrz84vfcMOxdPDZC+heazpjXQ02d0ZSKfyuknehxk9Ynk2AFZzcyaKW12ClfanfFY+q
fHYn0jeH1BNgDvKg5WjHznTuh14IcjalZNl1Lk6JCnW4HGY/cnqraHQ79vI+ZCQEPYyeBr7Ivvy+
2q1ukr07VkSMaPB3sDZrHzrQwlQd/iNbedWdsjZTVWr7mxEpMdd7HY8VyaGng8fx26sA6iafskta
aLfZfUIcJ/ONBenrsLieCzh5D4K6jsYLUnhzlg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
et7r1zeGSK4ujbl7k6bUkZDdIWS9X54YqrRyYBAEXKFzwqFXv+vIe5Nn78aOpR/PwFOPSa5QYN/c
M75iTnH/U6ztgv0bp1g7MYMPbBxgEa7LzHYah9LQOIOaj+buLEPq1LctI+v1dkD73GgpV0hTZidE
739sP+kS2Ke1QDpTT5E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dfaJHftD+DMmze5wF5XmnCxxxi6HQTizks+DU1KbgbsRwHGIAEqp3xp/KlZcm7bfmmc7KNpb9mBT
OKIEIOWkj6WRhQHJzWVvGjW+W6YNXqKgDOOcYqFtrBkjeoDWWv9LbbFHqlZk8FFTs1bYqRxz8n6G
5eC2o5mYFQpwcLDEb6p87Z6q3L0YSkNDPkBWrCi4Eg8CJyr/QZAj+gYSEyDCd2QAq3EphsyEaWVV
iTyfhmzFrFVmRLgXevx+CN1bODL4nKfEcRNKfPgueTxXIQELs/2oPA91LsK9l5bwKq4pOGO/dKcP
FGEot0Nxm3jbuyEZ4cVvHBXAn48gF9mMVJKlxQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17232)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U2lh2czMwz5cgyQuIsr1wR/63L3TEC5oz8P2NvJeArlLCZBnEL2/+W9TsGPIUpN99PUf5yI4w+sp
EG3OfLWs4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LuBtK9tw2eWQ6XMoo+SEPc/UGQFiN7l/d0hgbocgeex+VwhW68gOA1RDE17Drzt6y0s386GmboBy
PkwPpGBXb2C3ubjDI2lPWMBIFc1bfYsIKyE2Hzd9d1RN+VLvALDtRSfz4PIdjMFpwRxqQ4X4KxhP
KAFn8T9lsVxdLjIdpt0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Fye9pWBMoXZcufNkdBpV0z9ut4aO/YYEVUStw6SI9ADSx5+Vy4Ot7Q8E2q0ytzALu/i1QTMFG2rB
7Fw2NMa/hcrz84vfcMOxdPDZC+heazpjXQ02d0ZSKfyuknehxk9Ynk2AFZzcyaKW12ClfanfFY+q
fHYn0jeH1BNgDvKg5WjHznTuh14IcjalZNl1Lk6JCnW4HGY/cnqraHQ79vI+ZCQEPYyeBr7Ivvy+
2q1ukr07VkSMaPB3sDZrHzrQwlQd/iNbedWdsjZTVWr7mxEpMdd7HY8VyaGng8fx26sA6iafskta
aLfZfUIcJ/ONBenrsLieCzh5D4K6jsYLUnhzlg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
et7r1zeGSK4ujbl7k6bUkZDdIWS9X54YqrRyYBAEXKFzwqFXv+vIe5Nn78aOpR/PwFOPSa5QYN/c
M75iTnH/U6ztgv0bp1g7MYMPbBxgEa7LzHYah9LQOIOaj+buLEPq1LctI+v1dkD73GgpV0hTZidE
739sP+kS2Ke1QDpTT5E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dfaJHftD+DMmze5wF5XmnCxxxi6HQTizks+DU1KbgbsRwHGIAEqp3xp/KlZcm7bfmmc7KNpb9mBT
OKIEIOWkj6WRhQHJzWVvGjW+W6YNXqKgDOOcYqFtrBkjeoDWWv9LbbFHqlZk8FFTs1bYqRxz8n6G
5eC2o5mYFQpwcLDEb6p87Z6q3L0YSkNDPkBWrCi4Eg8CJyr/QZAj+gYSEyDCd2QAq3EphsyEaWVV
iTyfhmzFrFVmRLgXevx+CN1bODL4nKfEcRNKfPgueTxXIQELs/2oPA91LsK9l5bwKq4pOGO/dKcP
FGEot0Nxm3jbuyEZ4cVvHBXAn48gF9mMVJKlxQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 17232)
`protect data_block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`protect end_protected
|
--
-- This file is part of top_test_image_controler_640_480_1b
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY testbench_top_test_image_controler_640_480_1b IS
END testbench_top_test_image_controler_640_480_1b;
ARCHITECTURE behavior OF testbench_top_test_image_controler_640_480_1b IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT top_test_image_controler_640_480_1b
PORT(
clk : IN std_logic;
w1a : INOUT std_logic_vector(15 downto 0);
w1b : INOUT std_logic_vector(15 downto 0);
w2c : INOUT std_logic_vector(15 downto 0);
rx : IN std_logic;
tx : INOUT std_logic
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal rx : std_logic := '0';
--BiDirs
signal w1a : std_logic_vector(15 downto 0);
signal w1b : std_logic_vector(15 downto 0);
signal w2c : std_logic_vector(15 downto 0);
signal tx : std_logic;
-- Clock period definitions
constant clk_period : time := 31.25 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: top_test_image_controler_640_480_1b PORT MAP (
clk => clk,
w1a => w1a,
w1b => w1b,
w2c => w2c,
rx => rx,
tx => tx
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clk_period*10;
-- insert stimulus here
wait;
end process;
END;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: greth_mb
-- File: greth_mb.vhd
-- Author: Marko Isomaki
-- Description: Ethernet Media Access Controller with Ethernet Debug
-- Communication Link and dual AHB master interfaces
------------------------------------------------------------------------------
library ieee;
library grlib;
library gaisler;
use ieee.std_logic_1164.all;
use grlib.stdlib.all;
use grlib.amba.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
use gaisler.net.all;
use gaisler.ethernet_mac.all;
library eth;
use eth.ethcomp.all;
entity greth_mb is
generic(
hindex : integer := 0;
ehindex : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#FFF#;
pirq : integer := 0;
memtech : integer := 0;
ifg_gap : integer := 24;
attempt_limit : integer := 16;
backoff_limit : integer := 10;
slot_time : integer := 128;
mdcscaler : integer range 0 to 255 := 25;
enable_mdio : integer range 0 to 1 := 0;
fifosize : integer range 4 to 512 := 8;
nsync : integer range 1 to 2 := 2;
edcl : integer range 0 to 3 := 0;
edclbufsz : integer range 1 to 64 := 1;
macaddrh : integer := 16#00005E#;
macaddrl : integer := 16#000000#;
ipaddrh : integer := 16#c0a8#;
ipaddrl : integer := 16#0035#;
phyrstadr : integer range 0 to 32 := 0;
rmii : integer range 0 to 1 := 0;
oepol : integer range 0 to 1 := 0;
scanen : integer range 0 to 1 := 0;
ft : integer range 0 to 2 := 0;
edclft : integer range 0 to 2 := 0;
mdint_pol : integer range 0 to 1 := 0;
enable_mdint : integer range 0 to 1 := 0;
multicast : integer range 0 to 1 := 0;
edclsepahb : integer range 0 to 1 := 0;
ramdebug : integer range 0 to 2 := 0;
mdiohold : integer := 1;
maxsize : integer;
gmiimode : integer range 0 to 1 := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbmi2 : in ahb_mst_in_type;
ahbmo2 : out ahb_mst_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ethi : in eth_in_type;
etho : out eth_out_type
);
end entity;
architecture rtl of greth_mb is
function getfifosize(edcl, fifosize, ebufsize : in integer) return integer is
begin
if (edcl /= 0) and (ebufsize > fifosize) then
return ebufsize;
else
return fifosize;
end if;
end function;
constant fabits : integer := log2(fifosize);
type szvct is array (0 to 6) of integer;
constant ebuf : szvct := (64, 128, 128, 256, 256, 256, 256);
constant eabits : integer := log2(edclbufsz) + 8;
constant bufsize : std_logic_vector(2 downto 0) :=
conv_std_logic_vector(log2(edclbufsz), 3);
constant ebufsize : integer := ebuf(log2(edclbufsz));
constant txfifosize : integer := getfifosize(edcl, fifosize, ebufsize);
constant txfabits : integer := log2(txfifosize);
constant REVISION : amba_version_type := 0;
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_ETHMAC, 0, REVISION, pirq),
1 => apb_iobar(paddr, pmask));
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_ETHMAC, 0, revision, 0),
others => zero32);
constant ehconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_EDCLMST, 0, REVISION, 0),
others => zero32);
signal irq : std_ulogic;
--rx ahb fifo
signal rxrenable : std_ulogic;
signal rxraddress : std_logic_vector(10 downto 0);
signal rxwrite : std_ulogic;
signal rxwdata : std_logic_vector(31 downto 0);
signal rxwaddress : std_logic_vector(10 downto 0);
signal rxrdata : std_logic_vector(31 downto 0);
--tx ahb fifo
signal txrenable : std_ulogic;
signal txraddress : std_logic_vector(10 downto 0);
signal txwrite : std_ulogic;
signal txwdata : std_logic_vector(31 downto 0);
signal txwaddress : std_logic_vector(10 downto 0);
signal txrdata : std_logic_vector(31 downto 0);
--edcl buf
signal erenable : std_ulogic;
signal eraddress : std_logic_vector(15 downto 0);
signal ewritem : std_ulogic;
signal ewritel : std_ulogic;
signal ewaddressm : std_logic_vector(15 downto 0);
signal ewaddressl : std_logic_vector(15 downto 0);
signal ewdata : std_logic_vector(31 downto 0);
signal erdata : std_logic_vector(31 downto 0);
signal lmdio_oe : std_ulogic;
-- Fix for wider bus
signal hwdata : std_logic_vector(31 downto 0);
signal hrdata : std_logic_vector(31 downto 0);
signal ehwdata : std_logic_vector(31 downto 0);
signal ehrdata : std_logic_vector(31 downto 0);
begin
ethc0: grethc
generic map(
ifg_gap => ifg_gap,
attempt_limit => attempt_limit,
backoff_limit => backoff_limit,
mdcscaler => mdcscaler,
enable_mdio => enable_mdio,
fifosize => fifosize,
nsync => nsync,
edcl => edcl,
edclbufsz => edclbufsz,
macaddrh => macaddrh,
macaddrl => macaddrl,
ipaddrh => ipaddrh,
ipaddrl => ipaddrl,
phyrstadr => phyrstadr,
rmii => rmii,
oepol => oepol,
scanen => scanen,
mdint_pol => mdint_pol,
enable_mdint => enable_mdint,
multicast => multicast,
edclsepahbg => edclsepahb,
ramdebug => ramdebug,
mdiohold => mdiohold,
maxsize => maxsize,
gmiimode => gmiimode
)
port map(
rst => rst,
clk => clk,
--ahb mst in
hgrant => ahbmi.hgrant(hindex),
hready => ahbmi.hready,
hresp => ahbmi.hresp,
hrdata => hrdata,
--ahb mst out
hbusreq => ahbmo.hbusreq,
hlock => ahbmo.hlock,
htrans => ahbmo.htrans,
haddr => ahbmo.haddr,
hwrite => ahbmo.hwrite,
hsize => ahbmo.hsize,
hburst => ahbmo.hburst,
hprot => ahbmo.hprot,
hwdata => hwdata,
--edcl ahb mst in
ehgrant => ahbmi2.hgrant(ehindex),
ehready => ahbmi2.hready,
ehresp => ahbmi2.hresp,
ehrdata => ehrdata,
--edcl ahb mst out
ehbusreq => ahbmo2.hbusreq,
ehlock => ahbmo2.hlock,
ehtrans => ahbmo2.htrans,
ehaddr => ahbmo2.haddr,
ehwrite => ahbmo2.hwrite,
ehsize => ahbmo2.hsize,
ehburst => ahbmo2.hburst,
ehprot => ahbmo2.hprot,
ehwdata => ehwdata,
--apb slv in
psel => apbi.psel(pindex),
penable => apbi.penable,
paddr => apbi.paddr,
pwrite => apbi.pwrite,
pwdata => apbi.pwdata,
--apb slv out
prdata => apbo.prdata,
--irq
irq => irq,
--rx ahb fifo
rxrenable => rxrenable,
rxraddress => rxraddress,
rxwrite => rxwrite,
rxwdata => rxwdata,
rxwaddress => rxwaddress,
rxrdata => rxrdata,
--tx ahb fifo
txrenable => txrenable,
txraddress => txraddress,
txwrite => txwrite,
txwdata => txwdata,
txwaddress => txwaddress,
txrdata => txrdata,
--edcl buf
erenable => erenable,
eraddress => eraddress,
ewritem => ewritem,
ewritel => ewritel,
ewaddressm => ewaddressm,
ewaddressl => ewaddressl,
ewdata => ewdata,
erdata => erdata,
--ethernet input signals
rmii_clk => ethi.rmii_clk,
tx_clk => ethi.tx_clk,
tx_dv => ethi.tx_dv,
rx_clk => ethi.rx_clk,
rxd => ethi.rxd(3 downto 0),
rx_dv => ethi.rx_dv,
rx_er => ethi.rx_er,
rx_col => ethi.rx_col,
rx_crs => ethi.rx_crs,
rx_en => ethi.rx_en,
mdio_i => ethi.mdio_i,
phyrstaddr => ethi.phyrstaddr,
mdint => ethi.mdint,
--ethernet output signals
reset => etho.reset,
txd => etho.txd(3 downto 0),
tx_en => etho.tx_en,
tx_er => etho.tx_er,
mdc => etho.mdc,
mdio_o => etho.mdio_o,
mdio_oe => lmdio_oe,
--scantest
testrst => ahbmi.testrst,
testen => ahbmi.testen,
testoen => ahbmi.testoen,
edcladdr => ethi.edcladdr,
edclsepahb => ethi.edclsepahb,
edcldisable => ethi.edcldisable,
speed => etho.speed);
etho.txd(7 downto 4) <= "0000";
etho.mdio_oe <= ahbmi.testoen when (scanen = 1) and (ahbmi.testen = '1')
else lmdio_oe;
etho.gbit <= '0';
etho.tx_clk <= '0'; -- driven in rgmii component
irqdrv : process(irq)
begin
apbo.pirq <= (others => '0');
apbo.pirq(pirq) <= irq;
end process;
hrdata <= ahbreadword(ahbmi.hrdata);
ahbmo.hwdata <= ahbdrivedata(hwdata);
ahbmo.hconfig <= hconfig;
ahbmo.hindex <= hindex;
ahbmo.hirq <= (others => '0');
ehrdata <= ahbreadword(ahbmi2.hrdata);
ahbmo2.hwdata <= ahbdrivedata(ehwdata);
ahbmo2.hconfig <= ehconfig;
ahbmo2.hindex <= ehindex;
ahbmo2.hirq <= (others => '0');
apbo.pconfig <= pconfig;
apbo.pindex <= pindex;
-------------------------------------------------------------------------------
-- FIFOS ----------------------------------------------------------------------
-------------------------------------------------------------------------------
nft : if ft = 0 generate
tx_fifo0 : syncram_2p generic map(tech => memtech, abits => txfabits,
dbits => 32, sepclk => 0)
port map(clk, txrenable, txraddress(txfabits-1 downto 0), txrdata, clk,
txwrite, txwaddress(txfabits-1 downto 0), txwdata);
rx_fifo0 : syncram_2p generic map(tech => memtech, abits => fabits,
dbits => 32, sepclk => 0)
port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk,
rxwrite, rxwaddress(fabits-1 downto 0), rxwdata);
end generate;
ft1 : if ft /= 0 generate
tx_fifo0 : syncram_2pft generic map(tech => memtech, abits => txfabits,
dbits => 32, sepclk => 0, ft => ft)
port map(clk, txrenable, txraddress(txfabits-1 downto 0), txrdata, clk,
txwrite, txwaddress(txfabits-1 downto 0), txwdata);
rx_fifo0 : syncram_2pft generic map(tech => memtech, abits => fabits,
dbits => 32, sepclk => 0, ft => ft)
port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk,
rxwrite, rxwaddress(fabits-1 downto 0), rxwdata);
end generate;
-------------------------------------------------------------------------------
-- EDCL buffer ram ------------------------------------------------------------
-------------------------------------------------------------------------------
edclramnft : if (edcl /= 0) and (edclft = 0) generate
r0 : syncram_2p generic map (memtech, eabits, 16) port map(
clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk,
ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16));
r1 : syncram_2p generic map (memtech, eabits, 16) port map(
clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk,
ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0));
end generate;
edclramft1 : if (edcl /= 0) and (edclft /= 0) generate
r0 : syncram_2p generic map (memtech, eabits, 16, 0, 0, ft) port map(
clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk,
ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16));
r1 : syncram_2p generic map (memtech, eabits, 16, 0, 0, ft) port map(
clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk,
ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0));
end generate;
-- pragma translate_off
bootmsg : report_version
generic map (
"greth" & tost(hindex) & ": 10/100 Mbit Ethernet MAC rev " & tost(REVISION)
& tost(hindex) & ", EDCL " & tost(edcl) & ", buffer " &
tost(edclbufsz) & " kbyte " & tost(txfifosize) & " txfifo," &
" irq " & tost(pirq)
);
-- pragma translate_on
end architecture;
|
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00212
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.1 (5)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00212(ARCH00212)
-- ENT00212_Test_Bench(ARCH00212_Test_Bench)
--
-- REVISION HISTORY:
--
-- 10-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00212 is
generic (G : integer) ;
--
constant CG : integer := G+1;
attribute attr : integer ;
attribute attr of CG : constant is CG+1;
--
end ENT00212 ;
--
--
architecture ARCH00212 of ENT00212 is
signal s_st_rec3 : st_rec3
:= c_st_rec3_1 ;
--
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_rec3 : chk_sig_type := -1 ;
--
procedure Proc1 (
signal s_st_rec3 : inout st_rec3
; variable counter : inout integer
; variable correct : inout boolean
; variable savtime : inout time
; signal chk_st_rec3 : out chk_sig_type
)
is
begin
case counter is
when 0
=>
s_st_rec3.f1 <= transport
c_st_rec3_2.f1 ;
s_st_rec3.f2 <= transport
c_st_rec3_2.f2 after 10 ns ;
wait until s_st_rec3.f2 =
c_st_rec3_2.f2 ;
Test_Report (
"ENT00212",
"Wait statement longest static prefix check",
((savtime + 10 ns) = Std.Standard.Now) and
(s_st_rec3.f2 =
c_st_rec3_2.f2 )) ;
--
when 1
=>
s_st_rec3.f1 <= transport
c_st_rec3_1.f1 ;
s_st_rec3.f3 <= transport
c_st_rec3_2.f3 after 10 ns ;
wait until s_st_rec3.f3 =
c_st_rec3_2.f3 ;
Test_Report (
"ENT00212",
"Wait statement longest static prefix check",
((savtime + 10 ns) = Std.Standard.Now) and
(s_st_rec3.f3 =
c_st_rec3_2.f3 )) ;
--
when others
=> wait ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_rec3 <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end Proc1 ;
--
begin
P1 :
process
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time := 0 ns ;
begin
Proc1 (
s_st_rec3
, counter
, correct
, savtime
, chk_st_rec3
) ;
end process P1 ;
--
PGEN_CHKP_1 :
process ( chk_st_rec3 )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Wait longest static prefix test completed",
chk_st_rec3 = 1 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
end ARCH00212 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00212_Test_Bench is
end ENT00212_Test_Bench ;
--
--
architecture ARCH00212_Test_Bench of ENT00212_Test_Bench is
begin
L1:
block
component UUT
generic (G : integer) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00212 ( ARCH00212 ) ;
begin
CIS1 : UUT
generic map (lowb+2)
;
end block L1 ;
end ARCH00212_Test_Bench ;
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Sun Mar 13 10:38:54 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/Users/SKL/Desktop/ECE532/project_work/integrated/test/project_2.srcs/sources_1/ip/scfifo_32in_32out_1kb/scfifo_32in_32out_1kb_stub.vhdl
-- Design : scfifo_32in_32out_1kb
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity scfifo_32in_32out_1kb is
Port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
end scfifo_32in_32out_1kb;
architecture stub of scfifo_32in_32out_1kb is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,rst,din[31:0],wr_en,rd_en,dout[31:0],full,empty";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v12_0,Vivado 2015.1";
begin
end;
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Sun Mar 13 10:38:54 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/Users/SKL/Desktop/ECE532/project_work/integrated/test/project_2.srcs/sources_1/ip/scfifo_32in_32out_1kb/scfifo_32in_32out_1kb_stub.vhdl
-- Design : scfifo_32in_32out_1kb
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity scfifo_32in_32out_1kb is
Port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
end scfifo_32in_32out_1kb;
architecture stub of scfifo_32in_32out_1kb is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,rst,din[31:0],wr_en,rd_en,dout[31:0],full,empty";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v12_0,Vivado 2015.1";
begin
end;
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.1 (win64) Build 1215546 Mon Apr 27 19:22:08 MDT 2015
-- Date : Sun Mar 13 10:38:54 2016
-- Host : DESKTOP-5FTSDRT running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- c:/Users/SKL/Desktop/ECE532/project_work/integrated/test/project_2.srcs/sources_1/ip/scfifo_32in_32out_1kb/scfifo_32in_32out_1kb_stub.vhdl
-- Design : scfifo_32in_32out_1kb
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7a100tcsg324-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity scfifo_32in_32out_1kb is
Port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 31 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 31 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
end scfifo_32in_32out_1kb;
architecture stub of scfifo_32in_32out_1kb is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,rst,din[31:0],wr_en,rd_en,dout[31:0],full,empty";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v12_0,Vivado 2015.1";
begin
end;
|
-- $Id: tbd_serport_autobaud.vhd 417 2011-10-22 10:30:29Z mueller $
--
-- Copyright 2007-2011 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: tbd_serport_autobaud - syn
-- Description: Wrapper for serport_uart_autobaud and serport_uart_rxtx to
-- avoid records. It has a port interface which will not be
-- modified by xst synthesis (no records, no generic port).
--
-- Dependencies: clkdivce
-- serport_uart_autobaud
-- serport_uart_rxtx
-- serport_uart_rx
--
-- To test: serport_uart_autobaud
-- serport_uart_rxtx
--
-- Target Devices: generic
--
-- Synthesized (xst):
-- Date Rev ise Target flop lutl lutm slic t peri
-- 2007-10-27 92 9.2.02 J39 xc3s1000-4 151 291 0 - t 9.23
-- 2007-10-27 92 9.1 J30 xc3s1000-4 151 291 0 - t 9.23
-- 2007-10-27 92 8.2.03 I34 xc3s1000-4 153 338 0 178 s 9.45
-- 2007-10-27 92 8.1.03 I27 xc3s1000-4 152 293 0 - s 9.40
--
-- Tool versions: xst 8.2, 9.1, 9.2, 13.1; ghdl 0.18-0.29
-- Revision History:
-- Date Rev Version Comment
-- 2008-01-20 112 1.0.1 rename clkgen->clkdivce
-- 2007-06-24 60 1.0 Initial version
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.genlib.all;
use work.serport.all;
entity tbd_serport_autobaud is -- serial port autobaud [tb design]
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
RXSD : in slbit; -- receive serial data (uart view)
CE_USEC : out slbit; -- usec pulse (here every 4 clocks)
CE_MSEC : out slbit; -- msec pulse (here every 20 clocks)
CLKDIV : out slv13; -- clock divider setting
ABACT : out slbit; -- autobaud active
ABDONE : out slbit; -- autobaud done
RXDATA : out slv8; -- receiver data out (1st rx)
RXVAL : out slbit; -- receiver data valid (1st rx)
RXERR : out slbit; -- receiver data error (1st rx)
RXACT : out slbit; -- receiver active (1st rx)
TXSD2 : out slbit; -- transmit serial data (2nd tx)
RXDATA3 : out slv8; -- receiver data out (3rd rx)
RXVAL3 : out slbit; -- receiver data valid (3rd rx)
RXERR3 : out slbit; -- receiver data error (3rd rx)
RXACT3 : out slbit -- receiver active (3rd rx)
);
end tbd_serport_autobaud;
architecture syn of tbd_serport_autobaud is
constant cdwidth : positive := 13;
signal LCE_MSEC : slbit := '0';
signal LCLKDIV : slv13 := (others=>'0');
signal LRXDATA : slv8 := (others=>'0');
signal LRXVAL : slbit := '0';
signal LTXSD2 : slbit := '0';
signal LABACT : slbit := '0';
begin
CKLDIV : clkdivce
generic map (
CDUWIDTH => 6,
USECDIV => 4,
MSECDIV => 5)
port map (
CLK => CLK,
CE_USEC => CE_USEC,
CE_MSEC => LCE_MSEC
);
AUTOBAUD : serport_uart_autobaud
generic map (
CDWIDTH => cdwidth,
CDINIT => 15)
port map (
CLK => CLK,
CE_MSEC => LCE_MSEC,
RESET => RESET,
RXSD => RXSD,
CLKDIV => LCLKDIV,
ACT => LABACT,
DONE => ABDONE
);
UART1 : serport_uart_rxtx
generic map (
CDWIDTH => cdwidth)
port map (
CLK => CLK,
RESET => LABACT,
CLKDIV => LCLKDIV,
RXSD => RXSD,
RXDATA => LRXDATA,
RXVAL => LRXVAL,
RXERR => RXERR,
RXACT => RXACT,
TXSD => LTXSD2,
TXDATA => LRXDATA,
TXENA => LRXVAL,
TXBUSY => open
);
UART2 : serport_uart_rx
generic map (
CDWIDTH => cdwidth)
port map (
CLK => CLK,
RESET => LABACT,
CLKDIV => LCLKDIV,
RXSD => LTXSD2,
RXDATA => RXDATA3,
RXVAL => RXVAL3,
RXERR => RXERR3,
RXACT => RXACT3
);
CE_MSEC <= LCE_MSEC;
CLKDIV <= LCLKDIV;
ABACT <= LABACT;
RXDATA <= LRXDATA;
RXVAL <= LRXVAL;
TXSD2 <= LTXSD2;
end syn;
|
library IEEE;
use IEEE.std_logic_1164.all;
use WORK.alu_types.all;
Entity bshift is -- barrel shifter
generic(N:integer:=NSUMG);
port (
direction : in std_logic; -- '1' for left, '0' for right
logical : in std_logic; -- '1' for logical, '0' for arithmetic
shift : in std_logic_vector(4 downto 0); -- shift count
input : in std_logic_vector (N-1 downto 0);
output : out std_logic_vector (N-1 downto 0)
);
end entity bshift;
architecture circuits of bshift is
signal LRT : std_logic_vector(N-1 downto 0);
signal L1s : std_logic_vector(N-1 downto 0);
signal L2s : std_logic_vector(N-1 downto 0);
signal L4s : std_logic_vector(N-1 downto 0);
signal L8s : std_logic_vector(N-1 downto 0);
signal L16s : std_logic_vector(N-1 downto 0);
signal L1 : std_logic_vector(N-1 downto 0);
signal L2 : std_logic_vector(N-1 downto 0);
signal L4 : std_logic_vector(N-1 downto 0);
signal L8 : std_logic_vector(N-1 downto 0);
signal L16 : std_logic_vector(N-1 downto 0);
signal R1s : std_logic_vector(N-1 downto 0);
signal R2s : std_logic_vector(N-1 downto 0);
signal R4s : std_logic_vector(N-1 downto 0);
signal R8s : std_logic_vector(N-1 downto 0);
signal R16s : std_logic_vector(N-1 downto 0);
signal R1 : std_logic_vector(N-1 downto 0);
signal R2 : std_logic_vector(N-1 downto 0);
signal R4 : std_logic_vector(N-1 downto 0);
signal R8 : std_logic_vector(N-1 downto 0);
signal R16 : std_logic_vector(N-1 downto 0);
signal A1s : std_logic_vector(N-1 downto 0);
signal A2s : std_logic_vector(N-1 downto 0);
signal A4s : std_logic_vector(N-1 downto 0);
signal A8s : std_logic_vector(N-1 downto 0);
signal A16s : std_logic_vector(N-1 downto 0);
signal A1 : std_logic_vector(N-1 downto 0);
signal A2 : std_logic_vector(N-1 downto 0);
signal A4 : std_logic_vector(N-1 downto 0);
signal A8 : std_logic_vector(N-1 downto 0);
signal A16 : std_logic_vector(N-1 downto 0);
signal input2s : std_logic_vector(1 downto 0);
signal input4s : std_logic_vector(3 downto 0);
signal input8s : std_logic_vector(7 downto 0);
signal input16s : std_logic_vector(15 downto 0);
component MUX
generic (
N: integer := NSUMG -- Number of bits
);
port (
A: in std_logic_vector(N-1 downto 0);
B: in std_logic_vector(N-1 downto 0);
SEL: in std_logic;
Y: out std_logic_vector(N-1 downto 0)
);
end component;
begin -- circuits
--- SHIFT LEFT LOGICAL
L1w: L1s <= input(30 downto 0) & '0'; -- just wiring
L1m: MUX port map (A=>input, B=>L1s, SEL=> shift(0), Y=>L1);
L2w: L2s <= L1(29 downto 0) & "00"; -- just wiring
L2m: MUX port map (A=>L1, B=>L2S, SEL=>shift(1), Y=>L2);
L4w: L4s <= L2(27 downto 0) & "0000"; -- just wiring
L4m: MUX port map (A=>L2, B=>L4s, SEL=>shift(2), Y=>L4);
L8w: L8s <= L4(23 downto 0) & "00000000"; -- just wiring
L8m: MUX port map (A=>L4, B=>L8s, SEL=>shift(3), Y=>L8);
L16w: L16s <= L8(15 downto 0) & "0000000000000000"; -- just wiring
L16m: MUX port map (A=>L8, B=>L16s, SEL=>shift(4), Y=>L16);
--- SHIFT RIGHT LOGICAL
R1w: R1s <= '0' & input(N-1 downto 1); -- just wiring
R1m: MUX port map (A=>input, B=>R1s, SEL=>shift(0), Y=>R1);
R2w: R2s <= "00" & R1(N-1 downto 2); -- just wiring
R2m: MUX port map (A=>R1, B=>R2s, SEL=>shift(1), Y=>R2);
R4w: R4s <= "0000" & R2(N-1 downto 4); -- just wiring
R4m: MUX port map (A=>R2, B=>R4s, SEL=>shift(2), Y=>R4);
R8w: R8s <= "00000000" & R4(N-1 downto 8); -- just wiring
R8m: MUX port map (A=>R4, B=>R8s, SEL=>shift(3), Y=>R8);
R16w: R16s <= "0000000000000000" & R8(N-1 downto 16); -- just wiring
R16m: MUX port map (A=>R8, B=>R16s, SEL=>shift(4), Y=>R16);
--- SHIFT RIGHT ARTHIMETICAL
A1w: A1s <= input(N-1)&input(N-1 downto 1); -- just wiring
A1m: MUX port map (A=>input, B=>A1s, SEL=>shift(0), Y=>A1);
A2w: A2s <= input2s&A1(N-1 downto 2); -- just wiring
A2m: MUX port map (A=>A1, B=>A2s, SEL=>shift(1), Y=>A2);
A4w: A4s <= input4s&A2(N-1 downto 4); -- just wiring
A4m: MUX port map (A=>A2, B=>A4s, SEL=>shift(2), Y=>A4);
A8w: A8s <= input8s&A4(N-1 downto 8); -- just wiring
A8m: MUX port map (A=>A4, B=>A8s, SEL=>shift(3), Y=>A8);
A16w: A16s <= input16s&A8(N-1 downto 16); -- just wiring
A16m: MUX port map (A=>A8, B=>A16s, SEL=>shift(4), Y=>A16);
AS2: input2s <= input(N-1) & input(N-1); -- just wiring
AS4: input4s <= input2s & input2s; -- just wiring
AS8: input8s <= input4s & input4s; -- just wiring
AS16: input16s <= input8s & input8s; -- just wiring
-- TO THE OUTPUT
SLR: MUX port map (A=>R16, B=>L16, SEL=>direction, Y=>LRT);
LOG: MUX port map (A=>A16, B=>LRT, SEL=>logical, Y=>output);
end architecture circuits; -- of bshift
|
----------------------------------------------------------------------------------
-- Company: Creotech
-- Engineer: Adrian Byszuk ([email protected])
--
-- Design Name:
-- Module Name: bpm_pcie_a7 - Behavioral
-- Project Name:
-- Target Devices: XC7A200T on AC uTCA card from OHWR
-- Tool versions: ISE 14.4, ISE 14.6
-- Description: This is TOP module for the versatile firmware for PCIe communication.
-- It provides DMA engine with scatter-gather (linked list) functionality.
-- DDR memory is supported through BAR1. Wishbone endpoint is accessible through BAR2.
--
-- Dependencies: Xilinx PCIe core for 7 series. Xilinx DDR core for 7 series.
--
-- Revision: 2.00 - Original file completely rewritten by abyszuk.
--
-- Revision 1.00 - File Released
--
-- Additional Comments: This file can be used both as TOP module for independent operation, or
-- instantiated in another projects. To use it in your project, change INSTANTIATED generic to
-- "TRUE" and uncomment relevant interface sections in entity declaration. ATTENTION: you also
-- have to comment out dummy signal with names exactly the same as port names (it was necessary so
-- that XST won't complain about missing signal names).
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
library work;
use work.abb64Package.all;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
library UNISIM;
use UNISIM.VComponents.all;
entity bpm_pcie_a7 is
generic (
SIMULATION : string := "FALSE";
-- ****
-- PCIe core parameters
-- ****
constant pcieLanes : integer := 4;
PL_FAST_TRAIN : string := "FALSE";
PIPE_SIM_MODE : string := "FALSE";
--***************************************************************************
-- Necessary parameters for DDR core support
-- (dependent on memory chip connected to FPGA, not to be modified at will)
--***************************************************************************
constant DDR_DQ_WIDTH : integer := 32;
constant DDR_PAYLOAD_WIDTH : integer := 256;
constant DDR_DQS_WIDTH : integer := 4;
constant DDR_DM_WIDTH : integer := 4;
constant DDR_ROW_WIDTH : integer := 16;
constant DDR_BANK_WIDTH : integer := 3;
constant DDR_CK_WIDTH : integer := 1;
constant DDR_CKE_WIDTH : integer := 1;
constant DDR_ODT_WIDTH : integer := 1;
SIM_BYPASS_INIT_CAL : string := "FAST"
-- # = "OFF" - Complete memory init &
-- calibration sequence
-- # = "SKIP" - Not supported
-- # = "FAST" - Complete memory init & use
-- abbreviated calib sequence
);
port (
--DDR3 memory pins
ddr3_dq : inout std_logic_vector(DDR_DQ_WIDTH-1 downto 0);
ddr3_dqs_p : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0);
ddr3_dqs_n : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0);
ddr3_addr : out std_logic_vector(DDR_ROW_WIDTH-1 downto 0);
ddr3_ba : out std_logic_vector(DDR_BANK_WIDTH-1 downto 0);
ddr3_ras_n : out std_logic;
ddr3_cas_n : out std_logic;
ddr3_we_n : out std_logic;
ddr3_reset_n : out std_logic;
ddr3_ck_p : out std_logic_vector(DDR_CK_WIDTH-1 downto 0);
ddr3_ck_n : out std_logic_vector(DDR_CK_WIDTH-1 downto 0);
ddr3_cke : out std_logic_vector(DDR_CKE_WIDTH-1 downto 0);
ddr3_cs_n : out std_logic_vector(0 downto 0);
ddr3_dm : out std_logic_vector(DDR_DM_WIDTH-1 downto 0);
ddr3_odt : out std_logic_vector(DDR_ODT_WIDTH-1 downto 0);
-- PCIe transceivers
pci_exp_rxp : in std_logic_vector(pcieLanes - 1 downto 0);
pci_exp_rxn : in std_logic_vector(pcieLanes - 1 downto 0);
pci_exp_txp : out std_logic_vector(pcieLanes - 1 downto 0);
pci_exp_txn : out std_logic_vector(pcieLanes - 1 downto 0);
-- Necessity signals
ddr_sys_clk_p : in std_logic; --200 MHz DDR core clock (connect through BUFG or PLL)
ddr_sys_clk_n : in std_logic; --200 MHz DDR core clock (connect through BUFG or PLL)
sys_clk_p : in std_logic; --100 MHz PCIe Clock (connect directly to input pin)
sys_clk_n : in std_logic; --100 MHz PCIe Clock
sys_rst_n : in std_logic; --Reset to PCIe core
-- DDR memory controller interface --
ddr_core_rst : in std_logic;
memc_ui_clk : out std_logic;
memc_ui_rst : out std_logic;
memc_cmd_rdy : out std_logic;
memc_cmd_en : in std_logic;
memc_cmd_instr : in std_logic_vector(2 downto 0);
memc_cmd_addr : in std_logic_vector(31 downto 0);
memc_wr_en : in std_logic;
memc_wr_end : in std_logic;
memc_wr_mask : in std_logic_vector(DDR_PAYLOAD_WIDTH/8-1 downto 0);
memc_wr_data : in std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0);
memc_wr_rdy : out std_logic;
memc_rd_data : out std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0);
memc_rd_valid : out std_logic;
---- memory arbiter interface
memarb_acc_req : in std_logic;
memarb_acc_gnt : out std_logic;
--/ DDR memory controller interface
-- Wishbone interface --
CLK_I : in std_logic;
RST_I : in std_logic;
ACK_I : in std_logic;
DAT_I : in std_logic_vector(63 downto 0);
ADDR_O : out std_logic_vector(28 downto 0);
DAT_O : out std_logic_vector(63 downto 0);
WE_O : out std_logic;
STB_O : out std_logic;
SEL_O : out std_logic;
CYC_O : out std_logic;
--/ Wishbone interface
-- Additional exported signals for instantiation
ext_rst_o : out std_logic
);
end entity bpm_pcie_a7;
architecture Behavioral of bpm_pcie_a7 is
constant DDR_ADDR_WIDTH : integer := 30;
component pcie_core
generic (
PL_FAST_TRAIN : string := "FALSE";
PCIE_EXT_CLK : string := "FALSE";
UPSTREAM_FACING : string := "TRUE";
PIPE_SIM_MODE : string := "FALSE"
);
port (
-------------------------------------------------------------------------------------------------------------------
-- 1. PCI Express (pci_exp) Interface --
-------------------------------------------------------------------------------------------------------------------
pci_exp_txp : out std_logic_vector(3 downto 0);
pci_exp_txn : out std_logic_vector(3 downto 0);
pci_exp_rxp : in std_logic_vector(3 downto 0);
pci_exp_rxn : in std_logic_vector(3 downto 0);
-------------------------------------------------------------------------------------------------------------------
-- 2. Clocking Interface --
-------------------------------------------------------------------------------------------------------------------
PIPE_PCLK_IN : in std_logic;
PIPE_RXUSRCLK_IN : in std_logic;
PIPE_RXOUTCLK_IN : in std_logic_vector(3 downto 0);
PIPE_DCLK_IN : in std_logic;
PIPE_USERCLK1_IN : in std_logic;
PIPE_USERCLK2_IN : in std_logic;
PIPE_OOBCLK_IN : in std_logic;
PIPE_MMCM_LOCK_IN : in std_logic;
PIPE_TXOUTCLK_OUT : out std_logic;
PIPE_RXOUTCLK_OUT : out std_logic_vector(3 downto 0);
PIPE_PCLK_SEL_OUT : out std_logic_vector(3 downto 0);
PIPE_GEN3_OUT : out std_logic;
-------------------------------------------------------------------------------------------------------------------
-- 3. AXI-S Interface --
-------------------------------------------------------------------------------------------------------------------
-- Common
user_clk_out : out std_logic;
user_reset_out : out std_logic;
user_lnk_up : out std_logic;
-- TX
tx_buf_av : out std_logic_vector(5 downto 0);
tx_cfg_req : out std_logic;
tx_err_drop : out std_logic;
s_axis_tx_tready : out std_logic;
s_axis_tx_tdata : in std_logic_vector((C_DATA_WIDTH - 1) downto 0);
s_axis_tx_tkeep : in std_logic_vector((C_DATA_WIDTH / 8 - 1) downto 0);
s_axis_tx_tlast : in std_logic;
s_axis_tx_tvalid : in std_logic;
s_axis_tx_tuser : in std_logic_vector(3 downto 0);
tx_cfg_gnt : in std_logic;
-- RX
m_axis_rx_tdata : out std_logic_vector((C_DATA_WIDTH - 1) downto 0);
m_axis_rx_tkeep : out std_logic_vector((C_DATA_WIDTH / 8 - 1) downto 0);
m_axis_rx_tlast : out std_logic;
m_axis_rx_tvalid : out std_logic;
m_axis_rx_tready : in std_logic;
m_axis_rx_tuser : out std_logic_vector(21 downto 0);
rx_np_ok : in std_logic;
rx_np_req : in std_logic;
-- Flow Control
fc_cpld : out std_logic_vector(11 downto 0);
fc_cplh : out std_logic_vector(7 downto 0);
fc_npd : out std_logic_vector(11 downto 0);
fc_nph : out std_logic_vector(7 downto 0);
fc_pd : out std_logic_vector(11 downto 0);
fc_ph : out std_logic_vector(7 downto 0);
fc_sel : in std_logic_vector(2 downto 0);
-------------------------------------------------------------------------------------------------------------------
-- 4. Configuration (CFG) Interface --
-------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------
-- EP and RP --
---------------------------------------------------------------------
cfg_mgmt_do : out std_logic_vector (31 downto 0);
cfg_mgmt_rd_wr_done : out std_logic;
cfg_status : out std_logic_vector(15 downto 0);
cfg_command : out std_logic_vector(15 downto 0);
cfg_dstatus : out std_logic_vector(15 downto 0);
cfg_dcommand : out std_logic_vector(15 downto 0);
cfg_lstatus : out std_logic_vector(15 downto 0);
cfg_lcommand : out std_logic_vector(15 downto 0);
cfg_dcommand2 : out std_logic_vector(15 downto 0);
cfg_pcie_link_state : out std_logic_vector(2 downto 0);
cfg_pmcsr_pme_en : out std_logic;
cfg_pmcsr_powerstate : out std_logic_vector(1 downto 0);
cfg_pmcsr_pme_status : out std_logic;
cfg_received_func_lvl_rst : out std_logic;
-- Management Interface
cfg_mgmt_di : in std_logic_vector (31 downto 0);
cfg_mgmt_byte_en : in std_logic_vector (3 downto 0);
cfg_mgmt_dwaddr : in std_logic_vector (9 downto 0);
cfg_mgmt_wr_en : in std_logic;
cfg_mgmt_rd_en : in std_logic;
cfg_mgmt_wr_readonly : in std_logic;
-- Error Reporting Interface
cfg_err_ecrc : in std_logic;
cfg_err_ur : in std_logic;
cfg_err_cpl_timeout : in std_logic;
cfg_err_cpl_unexpect : in std_logic;
cfg_err_cpl_abort : in std_logic;
cfg_err_posted : in std_logic;
cfg_err_cor : in std_logic;
cfg_err_atomic_egress_blocked : in std_logic;
cfg_err_internal_cor : in std_logic;
cfg_err_malformed : in std_logic;
cfg_err_mc_blocked : in std_logic;
cfg_err_poisoned : in std_logic;
cfg_err_norecovery : in std_logic;
cfg_err_tlp_cpl_header : in std_logic_vector(47 downto 0);
cfg_err_cpl_rdy : out std_logic;
cfg_err_locked : in std_logic;
cfg_err_acs : in std_logic;
cfg_err_internal_uncor : in std_logic;
cfg_trn_pending : in std_logic;
cfg_pm_halt_aspm_l0s : in std_logic;
cfg_pm_halt_aspm_l1 : in std_logic;
cfg_pm_force_state_en : in std_logic;
cfg_pm_force_state : std_logic_vector(1 downto 0);
cfg_dsn : std_logic_vector(63 downto 0);
---------------------------------------------------------------------
-- EP Only --
---------------------------------------------------------------------
cfg_interrupt : in std_logic;
cfg_interrupt_rdy : out std_logic;
cfg_interrupt_assert : in std_logic;
cfg_interrupt_di : in std_logic_vector(7 downto 0);
cfg_interrupt_do : out std_logic_vector(7 downto 0);
cfg_interrupt_mmenable : out std_logic_vector(2 downto 0);
cfg_interrupt_msienable : out std_logic;
cfg_interrupt_msixenable : out std_logic;
cfg_interrupt_msixfm : out std_logic;
cfg_interrupt_stat : in std_logic;
cfg_pciecap_interrupt_msgnum : in std_logic_vector(4 downto 0);
cfg_to_turnoff : out std_logic;
cfg_turnoff_ok : in std_logic;
cfg_bus_number : out std_logic_vector(7 downto 0);
cfg_device_number : out std_logic_vector(4 downto 0);
cfg_function_number : out std_logic_vector(2 downto 0);
cfg_pm_wake : in std_logic;
---------------------------------------------------------------------
-- RP Only --
---------------------------------------------------------------------
cfg_pm_send_pme_to : in std_logic;
cfg_ds_bus_number : in std_logic_vector(7 downto 0);
cfg_ds_device_number : in std_logic_vector(4 downto 0);
cfg_ds_function_number : in std_logic_vector(2 downto 0);
cfg_mgmt_wr_rw1c_as_rw : in std_logic;
cfg_msg_received : out std_logic;
cfg_msg_data : out std_logic_vector(15 downto 0);
cfg_bridge_serr_en : out std_logic;
cfg_slot_control_electromech_il_ctl_pulse : out std_logic;
cfg_root_control_syserr_corr_err_en : out std_logic;
cfg_root_control_syserr_non_fatal_err_en : out std_logic;
cfg_root_control_syserr_fatal_err_en : out std_logic;
cfg_root_control_pme_int_en : out std_logic;
cfg_aer_rooterr_corr_err_reporting_en : out std_logic;
cfg_aer_rooterr_non_fatal_err_reporting_en : out std_logic;
cfg_aer_rooterr_fatal_err_reporting_en : out std_logic;
cfg_aer_rooterr_corr_err_received : out std_logic;
cfg_aer_rooterr_non_fatal_err_received : out std_logic;
cfg_aer_rooterr_fatal_err_received : out std_logic;
cfg_msg_received_err_cor : out std_logic;
cfg_msg_received_err_non_fatal : out std_logic;
cfg_msg_received_err_fatal : out std_logic;
cfg_msg_received_pm_as_nak : out std_logic;
cfg_msg_received_pm_pme : out std_logic;
cfg_msg_received_pme_to_ack : out std_logic;
cfg_msg_received_assert_int_a : out std_logic;
cfg_msg_received_assert_int_b : out std_logic;
cfg_msg_received_assert_int_c : out std_logic;
cfg_msg_received_assert_int_d : out std_logic;
cfg_msg_received_deassert_int_a : out std_logic;
cfg_msg_received_deassert_int_b : out std_logic;
cfg_msg_received_deassert_int_c : out std_logic;
cfg_msg_received_deassert_int_d : out std_logic;
cfg_msg_received_setslotpowerlimit : out std_logic;
-------------------------------------------------------------------------------------------------------------------
-- 5. Physical Layer Control and Status (PL) Interface --
-------------------------------------------------------------------------------------------------------------------
pl_directed_link_change : in std_logic_vector(1 downto 0);
pl_directed_link_width : in std_logic_vector(1 downto 0);
pl_directed_link_speed : in std_logic;
pl_directed_link_auton : in std_logic;
pl_upstream_prefer_deemph : in std_logic;
pl_sel_lnk_rate : out std_logic;
pl_sel_lnk_width : out std_logic_vector(1 downto 0);
pl_ltssm_state : out std_logic_vector(5 downto 0);
pl_lane_reversal_mode : out std_logic_vector(1 downto 0);
pl_phy_lnk_up : out std_logic;
pl_tx_pm_state : out std_logic_vector(2 downto 0);
pl_rx_pm_state : out std_logic_vector(1 downto 0);
pl_link_upcfg_cap : out std_logic;
pl_link_gen2_cap : out std_logic;
pl_link_partner_gen2_supported : out std_logic;
pl_initial_link_width : out std_logic_vector(2 downto 0);
pl_directed_change_done : out std_logic;
---------------------------------------------------------------------
-- EP Only --
---------------------------------------------------------------------
pl_received_hot_rst : out std_logic;
---------------------------------------------------------------------
-- RP Only --
---------------------------------------------------------------------
pl_transmit_hot_rst : in std_logic;
pl_downstream_deemph_source : in std_logic;
-------------------------------------------------------------------------------------------------------------------
-- 6. AER interface --
-------------------------------------------------------------------------------------------------------------------
cfg_err_aer_headerlog : in std_logic_vector(127 downto 0);
cfg_aer_interrupt_msgnum : in std_logic_vector(4 downto 0);
cfg_err_aer_headerlog_set : out std_logic;
cfg_aer_ecrc_check_en : out std_logic;
cfg_aer_ecrc_gen_en : out std_logic;
-------------------------------------------------------------------------------------------------------------------
-- 7. VC interface --
-------------------------------------------------------------------------------------------------------------------
cfg_vc_tcvc_map : out std_logic_vector(6 downto 0);
-------------------------------------------------------------------------------------------------------------------
-- 8. System(SYS) Interface --
-------------------------------------------------------------------------------------------------------------------
pipe_mmcm_rst_n : in std_logic;
sys_clk : in std_logic;
sys_rst_n : in std_logic);
end component;
component ddr_core
generic(
SIM_BYPASS_INIT_CAL : string;
SIMULATION : string;
RST_ACT_LOW : integer
);
port(
ddr3_dq : inout std_logic_vector(DDR_DQ_WIDTH-1 downto 0);
ddr3_dqs_p : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0);
ddr3_dqs_n : inout std_logic_vector(DDR_DQS_WIDTH-1 downto 0);
ddr3_addr : out std_logic_vector(DDR_ROW_WIDTH-1 downto 0);
ddr3_ba : out std_logic_vector(DDR_BANK_WIDTH-1 downto 0);
ddr3_ras_n : out std_logic;
ddr3_cas_n : out std_logic;
ddr3_we_n : out std_logic;
ddr3_reset_n : out std_logic;
ddr3_ck_p : out std_logic_vector(DDR_CK_WIDTH-1 downto 0);
ddr3_ck_n : out std_logic_vector(DDR_CK_WIDTH-1 downto 0);
ddr3_cke : out std_logic_vector(DDR_CKE_WIDTH-1 downto 0);
ddr3_cs_n : out std_logic_vector(0 downto 0);
ddr3_dm : out std_logic_vector(DDR_DM_WIDTH-1 downto 0);
ddr3_odt : out std_logic_vector(DDR_ODT_WIDTH-1 downto 0);
app_addr : in std_logic_vector(DDR_ADDR_WIDTH-1 downto 0);
app_cmd : in std_logic_vector(2 downto 0);
app_en : in std_logic;
app_wdf_data : in std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0);
app_wdf_end : in std_logic;
app_wdf_mask : in std_logic_vector(DDR_PAYLOAD_WIDTH/8-1 downto 0);
app_wdf_wren : in std_logic;
app_rd_data : out std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0);
app_rd_data_end : out std_logic;
app_rd_data_valid : out std_logic;
app_rdy : out std_logic;
app_wdf_rdy : out std_logic;
app_sr_req : in std_logic;
app_sr_active : out std_logic;
app_ref_req : in std_logic;
app_ref_ack : out std_logic;
app_zq_req : in std_logic;
app_zq_ack : out std_logic;
ui_clk : out std_logic;
ui_clk_sync_rst : out std_logic;
init_calib_complete : out std_logic;
-- System Clock Ports
sys_clk_i : in std_logic;
sys_rst : in std_logic
);
end component ddr_core;
-- -----------------------------------------------------------------------
-- DDR SDRAM control module
-- -----------------------------------------------------------------------
component bram_DDRs_Control_loopback
generic (
C_ASYNFIFO_WIDTH : integer;
P_SIMULATION : boolean
);
port (
DDR_wr_sof : in std_logic;
DDR_wr_eof : in std_logic;
DDR_wr_v : in std_logic;
DDR_wr_Shift : in std_logic;
DDR_wr_Mask : in std_logic_vector(2-1 downto 0);
DDR_wr_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full : out std_logic;
DDR_rdc_sof : in std_logic;
DDR_rdc_eof : in std_logic;
DDR_rdc_v : in std_logic;
DDR_rdc_Shift : in std_logic;
DDR_rdc_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full : out std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn : in std_logic;
DDR_FIFO_Empty : out std_logic;
DDR_FIFO_RdQout : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Common interface
DDR_Ready : out std_logic;
DDR_Blinker : out std_logic;
mem_clk : in std_logic;
user_clk : in std_logic;
Sim_Zeichen : out std_logic;
user_reset : in std_logic
);
end component;
component DDR_Transact
generic (
SIMULATION : string;
DATA_WIDTH : integer;
ADDR_WIDTH : integer;
DDR_UI_DATAWIDTH : integer;
DDR_DQ_WIDTH : integer;
DEVICE_TYPE : string -- "VIRTEX6"
-- "KINTEX7"
-- "ARTIX7"
);
port (
--ext logic interface to memory core
-- memory controller interface --
memc_ui_clk : out std_logic;
memc_cmd_rdy : out std_logic;
memc_cmd_en : in std_logic;
memc_cmd_instr : in std_logic_vector(2 downto 0);
memc_cmd_addr : in std_logic_vector(31 downto 0);
memc_wr_en : in std_logic;
memc_wr_end : in std_logic;
memc_wr_mask : in std_logic_vector(DDR_UI_DATAWIDTH/8-1 downto 0);
memc_wr_data : in std_logic_vector(DDR_UI_DATAWIDTH-1 downto 0);
memc_wr_rdy : out std_logic;
memc_rd_data : out std_logic_vector(DDR_UI_DATAWIDTH-1 downto 0);
memc_rd_valid : out std_logic;
-- memory arbiter interface
memarb_acc_req : in std_logic;
memarb_acc_gnt : out std_logic;
--/ext logic interface
-- PCIE interface
DDR_wr_eof : in std_logic;
DDR_wr_v : in std_logic;
DDR_wr_Shift : in std_logic;
DDR_wr_Mask : in std_logic_vector(2-1 downto 0);
DDR_wr_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full : out std_logic;
DDR_rdc_v : in std_logic;
DDR_rdc_Shift : in std_logic;
DDR_rdc_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full : out std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn : in std_logic;
DDR_FIFO_Empty : out std_logic;
DDR_FIFO_RdQout : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
--/PCIE interface
-- Common interface
DDR_Ready : out std_logic;
-- DDR core UI
app_addr : out std_logic_vector(ADDR_WIDTH-1 downto 0);
app_cmd : out std_logic_vector(2 downto 0);
app_en : out std_logic;
app_wdf_data : out std_logic_vector((DDR_UI_DATAWIDTH)-1 downto 0);
app_wdf_end : out std_logic;
app_wdf_mask : out std_logic_vector((DDR_UI_DATAWIDTH)/8-1 downto 0);
app_wdf_wren : out std_logic;
app_rd_data : in std_logic_vector((DDR_UI_DATAWIDTH)-1 downto 0);
app_rd_data_end : in std_logic;
app_rd_data_valid : in std_logic;
app_rdy : in std_logic;
app_wdf_rdy : in std_logic;
ui_clk : in std_logic;
ui_clk_sync_rst : in std_logic;
init_calib_complete : in std_logic;
--clocking & reset
user_clk : in std_logic;
user_reset : in std_logic
);
end component;
signal DDR_wr_sof : std_logic;
signal DDR_wr_eof : std_logic;
signal DDR_wr_v : std_logic;
signal DDR_wr_Shift : std_logic;
signal DDR_wr_Mask : std_logic_vector(2-1 downto 0);
signal DDR_wr_din : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal DDR_wr_full : std_logic;
signal DDR_rdc_sof : std_logic;
signal DDR_rdc_eof : std_logic;
signal DDR_rdc_v : std_logic;
signal DDR_rdc_Shift : std_logic;
signal DDR_rdc_din : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal DDR_rdc_full : std_logic;
signal DDR_FIFO_RdEn : std_logic;
signal DDR_FIFO_Empty : std_logic;
signal DDR_FIFO_RdQout : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal DDR_Ready : std_logic;
-- -----------------------------------------------------------------------
-- Wishbone interface module
-- -----------------------------------------------------------------------
component wb_transact is
port (
-- PCIE user clk
user_clk : in std_logic;
-- Write port
wr_we : in std_logic;
wr_sof : in std_logic;
wr_eof : in std_logic;
wr_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wr_full : out std_logic;
-- Read command port
rdc_sof : in std_logic;
rdc_v : in std_logic;
rdc_din : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
rdc_full : out std_logic;
rd_tout : in std_logic;
-- Read data port
rd_ren : in std_logic;
rd_empty : out std_logic;
rd_dout : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Wishbone interface
wb_clk : in std_logic;
wb_rst : in std_logic;
addr_o : out std_logic_vector(28 downto 0);
dat_i : in std_logic_vector(63 downto 0);
dat_o : out std_logic_vector(63 downto 0);
we_o : out std_logic;
sel_o : out std_logic_vector(0 downto 0);
stb_o : out std_logic;
ack_i : in std_logic;
cyc_o : out std_logic;
--RESET from PCIe
rst : in std_logic
);
end component;
signal wbone_clk : std_logic;
signal wb_wr_we : std_logic;
signal wb_wr_wsof : std_logic;
signal wb_wr_weof : std_logic;
signal wb_wr_din : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal wb_wr_pfull : std_logic;
signal wb_wr_full : std_logic;
signal wb_rdc_sof : std_logic;
signal wb_rdc_v : std_logic;
signal wb_rdc_din : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal wb_rdc_full : std_logic;
signal wb_timeout : std_logic;
signal wb_rdd_ren : std_logic;
signal wb_rdd_dout : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal wb_rdd_pempty : std_logic;
signal wb_rdd_empty : std_logic;
signal wbone_rst : std_logic;
signal wb_fifo_rst : std_logic;
signal wbone_addr : std_logic_vector(28 downto 0);
signal wbone_mdin : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal wbone_mdout : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
signal wbone_we : std_logic;
signal wbone_sel : std_logic_vector(0 downto 0);
signal wbone_stb : std_logic;
signal wbone_ack : std_logic;
signal wbone_cyc : std_logic;
------------- COMPONENT Declaration: tlpControl ------
--
component tlpControl
port (
-- Wishbone interface
wb_FIFO_we : out std_logic;
wb_FIFO_wsof : out std_logic;
wb_FIFO_weof : out std_logic;
wb_FIFO_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wb_fifo_full : in std_logic;
wb_FIFO_Rst : out std_logic;
-- Wishbone Read interface
wb_rdc_sof : out std_logic;
wb_rdc_v : out std_logic;
wb_rdc_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wb_rdc_full : in std_logic;
wb_timeout : out std_logic;
-- Wisbbone Buffer read port
wb_FIFO_re : out std_logic;
wb_FIFO_empty : in std_logic;
wb_FIFO_qout : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- DDR control interface
DDR_Ready : in std_logic;
DDR_wr_sof : out std_logic;
DDR_wr_eof : out std_logic;
DDR_wr_v : out std_logic;
DDR_wr_Shift : out std_logic;
DDR_wr_Mask : out std_logic_vector(2-1 downto 0);
DDR_wr_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full : in std_logic;
DDR_rdc_sof : out std_logic;
DDR_rdc_eof : out std_logic;
DDR_rdc_v : out std_logic;
DDR_rdc_Shift : out std_logic;
DDR_rdc_din : out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full : in std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn : out std_logic;
DDR_FIFO_Empty : in std_logic;
DDR_FIFO_RdQout : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Transaction layer interface
user_lnk_up : in std_logic;
rx_np_ok : out std_logic;
rx_np_req : out std_logic;
s_axis_tx_tdsc : out std_logic;
tx_buf_av : in std_logic_vector(C_TBUF_AWIDTH-1 downto 0);
s_axis_tx_terrfwd : out std_logic;
user_clk : in std_logic;
user_reset : in std_logic;
m_axis_rx_tvalid : in std_logic;
s_axis_tx_tready : in std_logic;
m_axis_rx_tlast : in std_logic;
m_axis_rx_terrfwd : in std_logic;
m_axis_rx_tkeep : in std_logic_vector(C_DBUS_WIDTH/8-1 downto 0);
m_axis_rx_tdata : in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
cfg_dcommand : in std_logic_vector(15 downto 0);
pcie_link_width : in std_logic_vector(5 downto 0);
localId : in std_logic_vector(15 downto 0);
cfg_interrupt : out std_logic;
cfg_interrupt_rdy : in std_logic;
cfg_interrupt_mmenable : in std_logic_vector(2 downto 0);
cfg_interrupt_msienable : in std_logic;
cfg_interrupt_msixenable : in std_logic;
cfg_interrupt_msixfm : in std_logic;
cfg_interrupt_di : out std_logic_vector(7 downto 0);
cfg_interrupt_do : in std_logic_vector(7 downto 0);
cfg_interrupt_assert : out std_logic;
m_axis_rx_tbar_hit : in std_logic_vector(6 downto 0);
s_axis_tx_tvalid : out std_logic;
m_axis_rx_tready : out std_logic;
s_axis_tx_tlast : out std_logic;
s_axis_tx_tkeep : out std_logic_vector(C_DBUS_WIDTH/8-1 downto 0);
s_axis_tx_tdata : out std_logic_vector(C_DBUS_WIDTH-1 downto 0)
);
end component;
-- TRN Layer signals
signal tx_err_drop : std_logic;
signal tx_cfg_gnt : std_logic;
signal fc_cpld : std_logic_vector (12-1 downto 0);
signal fc_cplh : std_logic_vector (8-1 downto 0);
signal fc_npd : std_logic_vector (12-1 downto 0);
signal fc_nph : std_logic_vector (8-1 downto 0);
signal fc_pd : std_logic_vector (12-1 downto 0);
signal fc_ph : std_logic_vector (8-1 downto 0);
signal fc_sel : std_logic_vector (3-1 downto 0);
signal cfg_dcommand2 : std_logic_vector (16-1 downto 0);
signal tx_cfg_req : std_logic;
signal pl_initial_link_width : std_logic_vector (3-1 downto 0);
signal pl_lane_reversal_mode : std_logic_vector (2-1 downto 0);
signal pl_link_gen2_cap : std_logic;
signal pl_link_partner_gen2_supported : std_logic;
signal pl_link_upcfg_cap : std_logic;
signal pl_ltssm_state : std_logic_vector (6-1 downto 0);
signal pl_received_hot_rst : std_logic;
signal pl_sel_lnk_rate : std_logic;
signal pl_sel_lnk_width : std_logic_vector (2-1 downto 0);
signal pl_directed_link_auton : std_logic;
signal pl_directed_link_change : std_logic_vector (2-1 downto 0);
signal pl_directed_link_speed : std_logic;
signal pl_directed_link_width : std_logic_vector (2-1 downto 0);
signal pl_upstream_prefer_deemph : std_logic;
-- Wires used for external clocking connectivity
signal PIPE_PCLK_IN : std_logic := '0';
signal PIPE_RXUSRCLK_IN : std_logic := '0';
signal PIPE_RXOUTCLK_IN : std_logic_vector(3 downto 0) := (others => '0');
signal PIPE_DCLK_IN : std_logic := '0';
signal PIPE_USERCLK1_IN : std_logic := '0';
signal PIPE_USERCLK2_IN : std_logic := '0';
signal PIPE_OOBCLK_IN : std_logic := '0';
signal PIPE_MMCM_LOCK_IN : std_logic := '0';
signal PIPE_TXOUTCLK_OUT : std_logic;
signal PIPE_RXOUTCLK_OUT : std_logic_vector(3 downto 0);
signal PIPE_PCLK_SEL_OUT : std_logic_vector(3 downto 0);
signal PIPE_GEN3_OUT : std_logic;
----------------------------------------------------
signal user_reset_int1 : std_logic;
signal user_lnk_up_int1 : std_logic;
signal user_clk : std_logic;
signal user_reset : std_logic;
signal user_lnk_up : std_logic;
signal s_axis_tx_tdata : std_logic_vector(63 downto 0);
signal s_axis_tx_tkeep : std_logic_vector(7 downto 0);
signal s_axis_tx_tlast : std_logic;
signal s_axis_tx_tvalid : std_logic;
signal s_axis_tx_tready : std_logic;
signal s_axis_tx_tuser : std_logic_vector(3 downto 0);
signal s_axis_tx_tdsc : std_logic;
signal s_axis_tx_terrfwd : std_logic;
signal tx_buf_av : std_logic_vector(5 downto 0);
signal m_axis_rx_tdata : std_logic_vector(63 downto 0);
signal m_axis_rx_tkeep : std_logic_vector(7 downto 0);
signal m_axis_rx_tlast : std_logic;
signal m_axis_rx_tvalid : std_logic;
signal m_axis_rx_tready : std_logic;
signal m_axis_rx_terrfwd : std_logic;
signal m_axis_rx_tuser : std_logic_vector(21 downto 0);
signal rx_np_ok : std_logic;
signal rx_np_req : std_logic;
signal m_axis_rx_tbar_hit : std_logic_vector(6 downto 0);
signal trn_rfc_nph_av : std_logic_vector(7 downto 0);
signal trn_rfc_npd_av : std_logic_vector(11 downto 0);
signal trn_rfc_ph_av : std_logic_vector(7 downto 0);
signal trn_rfc_pd_av : std_logic_vector(11 downto 0);
signal trn_rfc_cplh_av : std_logic_vector(7 downto 0);
signal trn_rfc_cpld_av : std_logic_vector(11 downto 0);
signal cfg_do : std_logic_vector(31 downto 0);
signal cfg_mgmt_rd_wr_done : std_logic;
signal cfg_di : std_logic_vector(31 downto 0);
signal cfg_mgmt_byte_en : std_logic_vector(3 downto 0);
signal cfg_dwaddr : std_logic_vector(9 downto 0);
signal cfg_mgmt_wr_en : std_logic;
signal cfg_mgmt_rd_en : std_logic;
signal cfg_err_cor : std_logic;
signal cfg_err_ur : std_logic;
signal cfg_err_cpl_rdy : std_logic;
signal cfg_err_ecrc : std_logic;
signal cfg_err_cpl_timeout : std_logic;
signal cfg_err_cpl_abort : std_logic;
signal cfg_err_cpl_unexpect : std_logic;
signal cfg_err_posted : std_logic;
signal cfg_err_locked : std_logic;
signal cfg_err_tlp_cpl_header : std_logic_vector(47 downto 0);
signal cfg_interrupt : std_logic;
signal cfg_interrupt_rdy : std_logic;
signal cfg_interrupt_mmenable : std_logic_vector(2 downto 0);
signal cfg_interrupt_msienable : std_logic;
signal cfg_interrupt_msixenable : std_logic;
signal cfg_interrupt_msixfm : std_logic;
signal cfg_interrupt_di : std_logic_vector(7 downto 0);
signal cfg_interrupt_do : std_logic_vector(7 downto 0);
signal cfg_interrupt_assert : std_logic;
signal cfg_turnoff_ok : std_logic;
signal cfg_to_turnoff : std_logic;
signal cfg_pm_wake : std_logic;
signal cfg_pcie_link_state : std_logic_vector(2 downto 0);
signal cfg_trn_pending : std_logic;
signal cfg_bus_number : std_logic_vector(7 downto 0);
signal cfg_device_number : std_logic_vector(4 downto 0);
signal cfg_function_number : std_logic_vector(2 downto 0);
signal cfg_dsn : std_logic_vector(63 downto 0);
signal cfg_status : std_logic_vector(15 downto 0);
signal cfg_command : std_logic_vector(15 downto 0);
signal cfg_dstatus : std_logic_vector(15 downto 0);
signal cfg_dcommand : std_logic_vector(15 downto 0);
signal cfg_lstatus : std_logic_vector(15 downto 0);
signal cfg_lcommand : std_logic_vector(15 downto 0);
signal two_plm_auto_config : std_logic_vector(1 downto 0);
signal cfg_mgmt_di : std_logic_vector(31 downto 0);
signal cfg_mgmt_dwaddr : std_logic_vector(9 downto 0);
signal cfg_mgmt_wr_readonly : std_logic;
signal cfg_err_atomic_egress_blocked : std_logic;
signal cfg_err_internal_cor : std_logic;
signal cfg_err_malformed : std_logic;
signal cfg_err_mc_blocked : std_logic;
signal cfg_err_poisoned : std_logic;
signal cfg_err_norecovery : std_logic;
signal cfg_err_acs : std_logic;
signal cfg_err_internal_uncor : std_logic;
signal cfg_err_aer_headerlog : std_logic_vector(127 downto 0);
signal cfg_aer_interrupt_msgnum : std_logic_vector(4 downto 0);
signal cfg_err_aer_headerlog_set : std_logic;
signal cfg_aer_ecrc_check_en : std_logic;
signal cfg_aer_ecrc_gen_en : std_logic;
signal cfg_pm_halt_aspm_l0s : std_logic;
signal cfg_pm_halt_aspm_l1 : std_logic;
signal cfg_pm_force_state_en : std_logic;
signal cfg_pm_force_state : std_logic_vector(1 downto 0);
signal cfg_interrupt_stat : std_logic;
signal cfg_pciecap_interrupt_msgnum : std_logic_vector(4 downto 0);
signal sys_clk_c : std_logic;
signal sys_reset_n_c : std_logic;
signal sys_reset_c : std_logic;
signal reset_n : std_logic;
signal localId : std_logic_vector(15 downto 0);
signal pcie_link_width : std_logic_vector(5 downto 0);
----- DDR core User Interface signals -----------------------
signal app_addr : std_logic_vector(DDR_ADDR_WIDTH-1 downto 0);
signal app_cmd : std_logic_vector(2 downto 0);
signal app_en : std_logic;
signal app_wdf_data : std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0);
signal app_wdf_end : std_logic;
signal app_wdf_mask : std_logic_vector(DDR_PAYLOAD_WIDTH/8-1 downto 0);
signal app_wdf_wren : std_logic;
signal app_rd_data : std_logic_vector(DDR_PAYLOAD_WIDTH-1 downto 0);
signal app_rd_data_end : std_logic;
signal app_rd_data_valid : std_logic;
signal app_rdy : std_logic;
signal app_wdf_rdy : std_logic;
signal app_sr_active : std_logic;
signal app_ref_ack : std_logic;
signal app_zq_ack : std_logic;
signal ddr_ui_clk : std_logic;
signal ddr_ui_reset : std_logic;
signal ddr_calib_done : std_logic;
signal ddr_sys_clk_i : std_logic;
signal ddr_sys_reset_i : std_logic;
begin
sys_reset_c <= not sys_reset_n_c;
sys_reset_n_ibuf : IBUF
port map (
O => sys_reset_n_c,
I => sys_rst_n
);
pcieclk_ibuf : IBUFDS_GTE2
port map (
O => sys_clk_c,
ODIV2 => open,
I => sys_clk_p,
IB => sys_clk_n,
CEB => '0'
);
cfg_err_cor <= '0';
cfg_err_ur <= '0';
cfg_err_ecrc <= '0';
cfg_err_cpl_timeout <= '0';
cfg_err_cpl_abort <= '0';
cfg_err_cpl_unexpect <= '0';
cfg_err_posted <= '1';
cfg_err_locked <= '1';
cfg_err_tlp_cpl_header <= (others => '0');
cfg_trn_pending <= '0';
cfg_pm_wake <= '0';
--
fc_sel <= (others => '0');
pl_directed_link_auton <= '0';
pl_directed_link_change <= (others => '0');
pl_directed_link_speed <= '0';
pl_directed_link_width <= (others => '0');
pl_upstream_prefer_deemph <= '0';
tx_cfg_gnt <= '1';
s_axis_tx_tuser <= s_axis_tx_tdsc & '0' & s_axis_tx_terrfwd & '0';
m_axis_rx_terrfwd <= m_axis_rx_tuser(1);
m_axis_rx_tbar_hit <= m_axis_rx_tuser(8 downto 2);
--
cfg_di <= (others => '0');
cfg_dwaddr <= (others => '1');
cfg_mgmt_byte_en <= (others => '0');
cfg_mgmt_wr_en <= '0';
cfg_mgmt_rd_en <= '0';
cfg_dsn <= X"00000001" & X"01" & X"000A35"; -- //this is taken from GUI -
cfg_turnoff_ok <= '1';
localId <= cfg_bus_number & cfg_device_number & cfg_function_number;
pcie_link_width <= cfg_lstatus(9 downto 4);
user_lnk_up_int_i : FDPE
generic map (
INIT => '0'
)
port map (
Q => user_lnk_up,
D => user_lnk_up_int1,
C => user_clk,
CE => '1',
PRE => '0'
);
user_reset_i : FDPE
generic map (
INIT => '1'
)
port map (
Q => user_reset,
D => user_reset_int1,
C => user_clk,
CE => '1',
PRE => '0'
);
-- --------------------------------------------------------------
-- --------------------------------------------------------------
pcie_core_i : pcie_core
generic map(
PL_FAST_TRAIN => PL_FAST_TRAIN,
PCIE_EXT_CLK => "FALSE",
PIPE_SIM_MODE => PIPE_SIM_MODE
)
port map(
--------------------------------------------------------------------------------------------------------------------
-- 1. PCI Express (pci_exp) Interface --
--------------------------------------------------------------------------------------------------------------------
--TX
pci_exp_txp => pci_exp_txp,
pci_exp_txn => pci_exp_txn,
-- RX
pci_exp_rxp => pci_exp_rxp,
pci_exp_rxn => pci_exp_rxn,
-------------------------------------------------------------------------------------------------------------------
-- 2. Clocking Interface - For Partial Reconfig Support --
-------------------------------------------------------------------------------------------------------------------
PIPE_PCLK_IN => PIPE_PCLK_IN,
PIPE_RXUSRCLK_IN => PIPE_RXUSRCLK_IN,
PIPE_RXOUTCLK_IN => PIPE_RXOUTCLK_IN,
PIPE_DCLK_IN => PIPE_DCLK_IN,
PIPE_USERCLK1_IN => PIPE_USERCLK1_IN,
PIPE_USERCLK2_IN => PIPE_USERCLK2_IN,
PIPE_OOBCLK_IN => PIPE_OOBCLK_IN,
PIPE_MMCM_LOCK_IN => PIPE_MMCM_LOCK_IN,
PIPE_TXOUTCLK_OUT => PIPE_TXOUTCLK_OUT,
PIPE_RXOUTCLK_OUT => PIPE_RXOUTCLK_OUT,
PIPE_PCLK_SEL_OUT => PIPE_PCLK_SEL_OUT,
PIPE_GEN3_OUT => PIPE_GEN3_OUT,
-------------------------------------------------------------------------------------------------------------------
-- 3. AXI-S Interface --
-------------------------------------------------------------------------------------------------------------------
-- Common
user_clk_out => user_clk ,
user_reset_out => user_reset_int1,
user_lnk_up => user_lnk_up_int1,
-- TX
tx_buf_av => tx_buf_av ,
tx_cfg_req => tx_cfg_req ,
tx_err_drop => tx_err_drop ,
s_axis_tx_tready => s_axis_tx_tready ,
s_axis_tx_tdata => s_axis_tx_tdata ,
s_axis_tx_tkeep => s_axis_tx_tkeep ,
s_axis_tx_tlast => s_axis_tx_tlast ,
s_axis_tx_tvalid => s_axis_tx_tvalid ,
s_axis_tx_tuser => s_axis_tx_tuser,
tx_cfg_gnt => tx_cfg_gnt ,
-- RX
m_axis_rx_tdata => m_axis_rx_tdata ,
m_axis_rx_tkeep => m_axis_rx_tkeep ,
m_axis_rx_tlast => m_axis_rx_tlast ,
m_axis_rx_tvalid => m_axis_rx_tvalid ,
m_axis_rx_tready => m_axis_rx_tready ,
m_axis_rx_tuser => m_axis_rx_tuser,
rx_np_ok => rx_np_ok ,
rx_np_req => rx_np_req ,
-- Flow Control
fc_cpld => fc_cpld ,
fc_cplh => fc_cplh ,
fc_npd => fc_npd ,
fc_nph => fc_nph ,
fc_pd => fc_pd ,
fc_ph => fc_ph ,
fc_sel => fc_sel ,
-------------------------------------------------------------------------------------------------------------------
-- 4. Configuration (CFG) Interface --
-------------------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------
-- EP and RP --
---------------------------------------------------------------------
cfg_mgmt_do => open ,
cfg_mgmt_rd_wr_done => open ,
cfg_status => cfg_status ,
cfg_command => cfg_command ,
cfg_dstatus => cfg_dstatus ,
cfg_dcommand => cfg_dcommand ,
cfg_lstatus => cfg_lstatus ,
cfg_lcommand => cfg_lcommand ,
cfg_dcommand2 => cfg_dcommand2 ,
cfg_pcie_link_state => cfg_pcie_link_state ,
cfg_pmcsr_pme_en => open ,
cfg_pmcsr_pme_status => open ,
cfg_pmcsr_powerstate => open ,
cfg_received_func_lvl_rst => open ,
cfg_mgmt_di => cfg_mgmt_di ,
cfg_mgmt_byte_en => cfg_mgmt_byte_en ,
cfg_mgmt_dwaddr => cfg_mgmt_dwaddr ,
cfg_mgmt_wr_en => cfg_mgmt_wr_en ,
cfg_mgmt_rd_en => cfg_mgmt_rd_en ,
cfg_mgmt_wr_readonly => cfg_mgmt_wr_readonly ,
cfg_err_ecrc => cfg_err_ecrc ,
cfg_err_ur => cfg_err_ur ,
cfg_err_cpl_timeout => cfg_err_cpl_timeout ,
cfg_err_cpl_unexpect => cfg_err_cpl_unexpect ,
cfg_err_cpl_abort => cfg_err_cpl_abort ,
cfg_err_posted => cfg_err_posted ,
cfg_err_cor => cfg_err_cor ,
cfg_err_atomic_egress_blocked => cfg_err_atomic_egress_blocked ,
cfg_err_internal_cor => cfg_err_internal_cor ,
cfg_err_malformed => cfg_err_malformed ,
cfg_err_mc_blocked => cfg_err_mc_blocked ,
cfg_err_poisoned => cfg_err_poisoned ,
cfg_err_norecovery => cfg_err_norecovery ,
cfg_err_tlp_cpl_header => cfg_err_tlp_cpl_header,
cfg_err_cpl_rdy => cfg_err_cpl_rdy ,
cfg_err_locked => cfg_err_locked ,
cfg_err_acs => cfg_err_acs ,
cfg_err_internal_uncor => cfg_err_internal_uncor ,
cfg_trn_pending => cfg_trn_pending ,
cfg_pm_halt_aspm_l0s => cfg_pm_halt_aspm_l0s ,
cfg_pm_halt_aspm_l1 => cfg_pm_halt_aspm_l1 ,
cfg_pm_force_state_en => cfg_pm_force_state_en ,
cfg_pm_force_state => cfg_pm_force_state ,
---------------------------------------------------------------------
-- EP Only --
---------------------------------------------------------------------
cfg_interrupt => cfg_interrupt ,
cfg_interrupt_rdy => cfg_interrupt_rdy ,
cfg_interrupt_assert => cfg_interrupt_assert ,
cfg_interrupt_di => cfg_interrupt_di ,
cfg_interrupt_do => cfg_interrupt_do ,
cfg_interrupt_mmenable => cfg_interrupt_mmenable ,
cfg_interrupt_msienable => cfg_interrupt_msienable ,
cfg_interrupt_msixenable => cfg_interrupt_msixenable ,
cfg_interrupt_msixfm => cfg_interrupt_msixfm ,
cfg_interrupt_stat => cfg_interrupt_stat ,
cfg_pciecap_interrupt_msgnum => cfg_pciecap_interrupt_msgnum ,
cfg_to_turnoff => cfg_to_turnoff ,
cfg_turnoff_ok => cfg_turnoff_ok ,
cfg_bus_number => cfg_bus_number ,
cfg_device_number => cfg_device_number ,
cfg_function_number => cfg_function_number ,
cfg_pm_wake => cfg_pm_wake ,
---------------------------------------------------------------------
-- RP Only --
---------------------------------------------------------------------
cfg_pm_send_pme_to => '0' ,
cfg_ds_bus_number => x"00" ,
cfg_ds_device_number => "00000" ,
cfg_ds_function_number => "000" ,
cfg_mgmt_wr_rw1c_as_rw => '0' ,
cfg_msg_received => open ,
cfg_msg_data => open ,
cfg_bridge_serr_en => open ,
cfg_slot_control_electromech_il_ctl_pulse => open ,
cfg_root_control_syserr_corr_err_en => open ,
cfg_root_control_syserr_non_fatal_err_en => open ,
cfg_root_control_syserr_fatal_err_en => open ,
cfg_root_control_pme_int_en => open ,
cfg_aer_rooterr_corr_err_reporting_en => open ,
cfg_aer_rooterr_non_fatal_err_reporting_en => open ,
cfg_aer_rooterr_fatal_err_reporting_en => open ,
cfg_aer_rooterr_corr_err_received => open ,
cfg_aer_rooterr_non_fatal_err_received => open ,
cfg_aer_rooterr_fatal_err_received => open ,
cfg_msg_received_err_cor => open ,
cfg_msg_received_err_non_fatal => open ,
cfg_msg_received_err_fatal => open ,
cfg_msg_received_pm_as_nak => open ,
cfg_msg_received_pm_pme => open ,
cfg_msg_received_pme_to_ack => open ,
cfg_msg_received_assert_int_a => open ,
cfg_msg_received_assert_int_b => open ,
cfg_msg_received_assert_int_c => open ,
cfg_msg_received_assert_int_d => open ,
cfg_msg_received_deassert_int_a => open ,
cfg_msg_received_deassert_int_b => open ,
cfg_msg_received_deassert_int_c => open ,
cfg_msg_received_deassert_int_d => open ,
-------------------------------------------------------------------------------------------------------------------
-- 5. Physical Layer Control and Status (PL) Interface --
-------------------------------------------------------------------------------------------------------------------
pl_directed_link_auton => pl_directed_link_auton ,
pl_directed_link_change => pl_directed_link_change ,
pl_directed_link_speed => pl_directed_link_speed ,
pl_directed_link_width => pl_directed_link_width ,
pl_upstream_prefer_deemph => pl_upstream_prefer_deemph ,
pl_sel_lnk_rate => pl_sel_lnk_rate ,
pl_sel_lnk_width => pl_sel_lnk_width ,
pl_ltssm_state => pl_ltssm_state ,
pl_lane_reversal_mode => pl_lane_reversal_mode ,
pl_phy_lnk_up => open ,
pl_tx_pm_state => open ,
pl_rx_pm_state => open ,
cfg_dsn => cfg_dsn ,
pl_link_upcfg_cap => pl_link_upcfg_cap ,
pl_link_gen2_cap => pl_link_gen2_cap ,
pl_link_partner_gen2_supported => pl_link_partner_gen2_supported ,
pl_initial_link_width => pl_initial_link_width ,
pl_directed_change_done => open ,
---------------------------------------------------------------------
-- EP Only --
---------------------------------------------------------------------
pl_received_hot_rst => pl_received_hot_rst ,
---------------------------------------------------------------------
-- RP Only --
---------------------------------------------------------------------
pl_transmit_hot_rst => '0' ,
pl_downstream_deemph_source => '0' ,
-------------------------------------------------------------------------------------------------------------------
-- 6. AER interface --
-------------------------------------------------------------------------------------------------------------------
cfg_err_aer_headerlog => cfg_err_aer_headerlog ,
cfg_aer_interrupt_msgnum => cfg_aer_interrupt_msgnum ,
cfg_err_aer_headerlog_set => cfg_err_aer_headerlog_set ,
cfg_aer_ecrc_check_en => cfg_aer_ecrc_check_en ,
cfg_aer_ecrc_gen_en => cfg_aer_ecrc_gen_en ,
-------------------------------------------------------------------------------------------------------------------
-- 7. VC interface --
-------------------------------------------------------------------------------------------------------------------
cfg_vc_tcvc_map => open ,
-------------------------------------------------------------------------------------------------------------------
-- 8. System(SYS) Interface --
-------------------------------------------------------------------------------------------------------------------
pipe_mmcm_rst_n => sys_reset_n_c,
sys_clk => sys_clk_c ,
sys_rst_n => sys_reset_n_c
);
-- ---------------------------------------------------------------
-- tlp control module
-- ---------------------------------------------------------------
-- workaround pcie core bug
--m_axis_rx_tkeep(7 downto 1) <= X"0" & m_axis_rx_tkeep(0) & m_axis_rx_tkeep(0) & m_axis_rx_tkeep(0);
theTlpControl :
tlpControl
port map (
-- Wishbone FIFO interface
wb_FIFO_we => wb_wr_we , -- OUT std_logic;
wb_FIFO_wsof => wb_wr_wsof , -- OUT std_logic;
wb_FIFO_weof => wb_wr_weof , -- OUT std_logic;
wb_FIFO_din => wb_wr_din(C_DBUS_WIDTH-1 downto 0) , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wb_fifo_full => wb_wr_full,
wb_FIFO_re => wb_rdd_ren , -- OUT std_logic;
wb_FIFO_empty => wb_rdd_empty , -- IN std_logic;
wb_FIFO_qout => wb_rdd_dout(C_DBUS_WIDTH-1 downto 0) , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wb_rdc_sof => wb_rdc_sof, --out std_logic;
wb_rdc_v => wb_rdc_v, --out std_logic;
wb_rdc_din => wb_rdc_din, --out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wb_rdc_full => wb_rdc_full, --in std_logic;
wb_timeout => wb_timeout,
wb_FIFO_Rst => wb_fifo_rst , -- OUT std_logic;
-------------------
-- DDR Interface
DDR_Ready => DDR_Ready , -- IN std_logic;
DDR_wr_sof => DDR_wr_sof , -- OUT std_logic;
DDR_wr_eof => DDR_wr_eof , -- OUT std_logic;
DDR_wr_v => DDR_wr_v , -- OUT std_logic;
DDR_wr_Shift => DDR_wr_Shift , -- OUT std_logic;
DDR_wr_Mask => DDR_wr_Mask , -- OUT std_logic_vector(2-1 downto 0);
DDR_wr_din => DDR_wr_din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full => DDR_wr_full , -- IN std_logic;
DDR_rdc_sof => DDR_rdc_sof , -- OUT std_logic;
DDR_rdc_eof => DDR_rdc_eof , -- OUT std_logic;
DDR_rdc_v => DDR_rdc_v , -- OUT std_logic;
DDR_rdc_Shift => DDR_rdc_Shift , -- OUT std_logic;
DDR_rdc_din => DDR_rdc_din , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full => DDR_rdc_full , -- IN std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- OUT std_logic;
DDR_FIFO_Empty => DDR_FIFO_Empty , -- IN std_logic;
DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-------------------
-- Transaction Interface
user_lnk_up => user_lnk_up ,
rx_np_ok => rx_np_ok ,
rx_np_req => rx_np_req ,
s_axis_tx_tdsc => s_axis_tx_tdsc ,
tx_buf_av => tx_buf_av ,
s_axis_tx_terrfwd => s_axis_tx_terrfwd ,
user_clk => user_clk ,
user_reset => user_reset ,
m_axis_rx_tvalid => m_axis_rx_tvalid ,
s_axis_tx_tready => s_axis_tx_tready ,
m_axis_rx_tlast => m_axis_rx_tlast ,
m_axis_rx_terrfwd => m_axis_rx_terrfwd ,
m_axis_rx_tkeep => m_axis_rx_tkeep ,
m_axis_rx_tdata => m_axis_rx_tdata ,
cfg_interrupt => cfg_interrupt ,
cfg_interrupt_rdy => cfg_interrupt_rdy ,
cfg_interrupt_mmenable => cfg_interrupt_mmenable ,
cfg_interrupt_msienable => cfg_interrupt_msienable ,
cfg_interrupt_msixenable => cfg_interrupt_msixenable ,
cfg_interrupt_msixfm => cfg_interrupt_msixfm ,
cfg_interrupt_di => cfg_interrupt_di ,
cfg_interrupt_do => cfg_interrupt_do ,
cfg_interrupt_assert => cfg_interrupt_assert ,
m_axis_rx_tbar_hit => m_axis_rx_tbar_hit ,
s_axis_tx_tvalid => s_axis_tx_tvalid ,
m_axis_rx_tready => m_axis_rx_tready ,
s_axis_tx_tlast => s_axis_tx_tlast ,
s_axis_tx_tkeep => s_axis_tx_tkeep ,
s_axis_tx_tdata => s_axis_tx_tdata ,
cfg_dcommand => cfg_dcommand ,
pcie_link_width => pcie_link_width ,
localId => localId
);
-- -----------------------------------------------------------------------
-- DDR SDRAM: control module USER LOGIC (2 BRAM Module:
-- -----------------------------------------------------------------------
LoopBack_BRAM_Off : if not USE_LOOPBACK_TEST generate
DDRs_ctrl_module : DDR_Transact
generic map (
SIMULATION => SIMULATION,
DATA_WIDTH => C_DBUS_WIDTH,
ADDR_WIDTH => DDR_ADDR_WIDTH,
DDR_UI_DATAWIDTH => DDR_PAYLOAD_WIDTH,
DDR_DQ_WIDTH => DDR_DQ_WIDTH,
DEVICE_TYPE => "ARTIX7"
)
port map(
-- connect your own signals here
memc_ui_clk => memc_ui_clk, --: out std_logic;
memc_cmd_rdy => memc_cmd_rdy, --: out std_logic;
memc_cmd_en => memc_cmd_en, --: in std_logic;
memc_cmd_instr => memc_cmd_instr, --: in std_logic_vector(2 downto 0);
memc_cmd_addr => memc_cmd_addr, --: in std_logic_vector(31 downto 0);
memc_wr_en => memc_wr_en, --: in std_logic;
memc_wr_end => memc_wr_end, --: in std_logic;
memc_wr_mask => memc_wr_mask, --: in std_logic_vector(64/8-1 downto 0);
memc_wr_data => memc_wr_data, --: in std_logic_vector(64-1 downto 0);
memc_wr_rdy => memc_wr_rdy, --: out std_logic;
memc_rd_data => memc_rd_data, --: out std_logic_vector(64-1 downto 0);
memc_rd_valid => memc_rd_valid, --: out std_logic;
memarb_acc_req => memarb_acc_req, --: in std_logic;
memarb_acc_gnt => memarb_acc_gnt, --: out std_logic;
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
DDR_wr_eof => DDR_wr_eof , -- IN std_logic;
DDR_wr_v => DDR_wr_v , -- IN std_logic;
DDR_wr_Shift => DDR_wr_Shift , -- IN std_logic;
DDR_wr_Mask => DDR_wr_Mask , -- IN std_logic_vector(2-1 downto 0);
DDR_wr_din => DDR_wr_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full => DDR_wr_full , -- OUT std_logic;
DDR_rdc_v => DDR_rdc_v , -- IN std_logic;
DDR_rdc_Shift => DDR_rdc_Shift , -- IN std_logic;
DDR_rdc_din => DDR_rdc_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full => DDR_rdc_full , -- OUT std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- IN std_logic;
DDR_FIFO_Empty => DDR_FIFO_Empty , -- OUT std_logic;
DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Common interface
DDR_Ready => DDR_Ready, -- OUT std_logic;
-- DDR core User Interface signals
app_addr => app_addr,
app_cmd => app_cmd,
app_en => app_en,
app_wdf_data => app_wdf_data,
app_wdf_end => app_wdf_end,
app_wdf_wren => app_wdf_wren,
app_wdf_mask => app_wdf_mask,
app_rd_data => app_rd_data,
app_rd_data_end => app_rd_data_end,
app_rd_data_valid => app_rd_data_valid,
app_rdy => app_rdy,
app_wdf_rdy => app_wdf_rdy,
ui_clk => ddr_ui_clk,
ui_clk_sync_rst => ddr_ui_reset,
init_calib_complete => ddr_calib_done,
--clocking & reset
user_clk => user_clk , -- IN std_logic;
user_reset => user_reset -- IN std_logic
);
end generate;
LoopBack_BRAM_On : if USE_LOOPBACK_TEST generate
DDRs_ctrl_module :
bram_DDRs_Control_loopback
generic map (
C_ASYNFIFO_WIDTH => 72 ,
P_SIMULATION => false
)
port map(
-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- --
DDR_wr_sof => DDR_wr_sof , -- IN std_logic;
DDR_wr_eof => DDR_wr_eof , -- IN std_logic;
DDR_wr_v => DDR_wr_v , -- IN std_logic;
DDR_wr_Shift => DDR_wr_Shift , -- IN std_logic;
DDR_wr_Mask => DDR_wr_Mask , -- IN std_logic_vector(2-1 downto 0);
DDR_wr_din => DDR_wr_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_wr_full => DDR_wr_full , -- OUT std_logic;
DDR_rdc_sof => DDR_rdc_sof , -- IN std_logic;
DDR_rdc_eof => DDR_rdc_eof , -- IN std_logic;
DDR_rdc_v => DDR_rdc_v , -- IN std_logic;
DDR_rdc_Shift => DDR_rdc_Shift , -- IN std_logic;
DDR_rdc_din => DDR_rdc_din , -- IN std_logic_vector(C_DBUS_WIDTH-1 downto 0);
DDR_rdc_full => DDR_rdc_full , -- OUT std_logic;
-- DDR payload FIFO Read Port
DDR_FIFO_RdEn => DDR_FIFO_RdEn , -- IN std_logic;
DDR_FIFO_Empty => DDR_FIFO_Empty , -- OUT std_logic;
DDR_FIFO_RdQout => DDR_FIFO_RdQout , -- OUT std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Common interface
DDR_Ready => DDR_Ready , -- OUT std_logic;
DDR_Blinker => open, -- OUT std_logic;
mem_clk => user_clk , -- IN
user_clk => user_clk , -- IN std_logic;
Sim_Zeichen => open, -- OUT std_logic;
user_reset => user_reset -- IN std_logic
);
end generate;
Wishbone_intf :
wb_transact
port map(
-- PCIE user clk
user_clk => user_clk, --in std_logic;
-- Write port
wr_we => wb_wr_we, --in std_logic;
wr_sof => wb_wr_wsof, --in std_logic;
wr_eof => wb_wr_weof, --in std_logic;
wr_din => wb_wr_din, --in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
wr_full => wb_wr_full, --out std_logic;
-- Read command port
rdc_sof => wb_rdc_sof, --in std_logic;
rdc_v => wb_rdc_v, --in std_logic;
rdc_din => wb_rdc_din, --in std_logic_vector(C_DBUS_WIDTH-1 downto 0);
rdc_full => wb_rdc_full,--out std_logic;
rd_tout => wb_timeout,
-- Read data port
rd_ren => wb_rdd_ren, --in std_logic;
rd_empty => wb_rdd_empty, --out std_logic;
rd_dout => wb_rdd_dout, --out std_logic_vector(C_DBUS_WIDTH-1 downto 0);
-- Wishbone interface
wb_clk => wbone_clk, --in std_logic;
wb_rst => wbone_rst, --in std_logic;
addr_o => wbone_addr(28 downto 0), --out std_logic_vector(31 downto 0);
dat_i => wbone_mdin, --in std_logic_vector(63 downto 0);
dat_o => wbone_mdout, --out std_logic_vector(63 downto 0);
we_o => wbone_we, --out std_logic;
sel_o => wbone_sel, --out std_logic_vector(0 downto 0);
stb_o => wbone_stb, --out std_logic;
ack_i => wbone_ack, --in std_logic;
cyc_o => wbone_cyc, --out std_logic;
--RESET from PCIe
rst => user_reset --in std_logic
);
wbone_clk <= CLK_I;
wbone_rst <= RST_I;
wbone_mdin <= DAT_I;
wbone_ack <= ACK_I;
ADDR_O <= wbone_addr;
DAT_O <= wbone_mdout;
WE_O <= wbone_we;
SEL_O <= wbone_sel(0);
STB_O <= wbone_stb;
CYC_O <= wbone_cyc;
ext_rst_o <= wb_fifo_rst;
u_ddr_core : ddr_core
generic map (
SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL,
SIMULATION => SIMULATION,
RST_ACT_LOW => 0
)
port map (
-- Memory interface ports
ddr3_addr => ddr3_addr,
ddr3_ba => ddr3_ba,
ddr3_cas_n => ddr3_cas_n,
ddr3_ck_n => ddr3_ck_n,
ddr3_ck_p => ddr3_ck_p,
ddr3_cke => ddr3_cke,
ddr3_cs_n => ddr3_cs_n,
ddr3_ras_n => ddr3_ras_n,
ddr3_reset_n => ddr3_reset_n,
ddr3_we_n => ddr3_we_n,
ddr3_dq => ddr3_dq,
ddr3_dqs_n => ddr3_dqs_n,
ddr3_dqs_p => ddr3_dqs_p,
init_calib_complete => ddr_calib_done,
ddr3_dm => ddr3_dm,
ddr3_odt => ddr3_odt,
-- Application interface ports
app_addr => app_addr,
app_cmd => app_cmd,
app_en => app_en,
app_wdf_data => app_wdf_data,
app_wdf_end => app_wdf_end,
app_wdf_wren => app_wdf_wren,
app_wdf_mask => app_wdf_mask,
app_rd_data => app_rd_data,
app_rd_data_end => app_rd_data_end,
app_rd_data_valid => app_rd_data_valid,
app_rdy => app_rdy,
app_wdf_rdy => app_wdf_rdy,
app_sr_req => '0',
app_sr_active => app_sr_active,
app_ref_req => '0',
app_ref_ack => app_ref_ack,
app_zq_req => '0',
app_zq_ack => app_zq_ack,
ui_clk => ddr_ui_clk,
ui_clk_sync_rst => ddr_ui_reset,
-- System Clock Ports
sys_clk_i => ddr_sys_clk_i,
sys_rst => ddr_sys_reset_i
);
ddr_sys_clk_i <= ddr_sys_clk_p;
ddr_sys_reset_i <= ddr_core_rst;
memc_ui_rst <= ddr_ui_reset;
end Behavioral;
|
{{define "compositeFB"}}-- This file has been automatically generated by goFB and should not be edited by hand
-- Compiler written by Hammond Pearce and available at github.com/kiwih/goFB
-- VHDL support is EXPERIMENTAL ONLY
{{$block := index .Blocks .BlockIndex}}{{$blocks := .Blocks}}{{$compositeFB := $block.CompositeFB}}{{$uniqueConnsWithTypes := $block.GetUniqueDataConnSourcesWithTypes $blocks}}
-- This file represents the Composite Function Block for {{$block.Name}}
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
{{template "_entityFB" .}}
architecture rtl of {{$block.Name}} is
-- Signals needed for event connections {{range $curConnIndex, $connName := $compositeFB.GetUniqueEventConnSources}}
signal {{renameConnSignal $connName}} : std_logic;{{end}}
-- Signals needed for data connections {{range $curConnIndex, $conn := $uniqueConnsWithTypes}}
signal {{renameConnSignal $conn.Source}} : {{getVhdlType $conn.Type}}; --type was {{$conn.Type}}{{end}}
-- Signals needed for the done signals {{range $currChildIndex, $child := $compositeFB.FBs}}
signal {{$child.Name}}_done : std_logic;{{end}}
begin
--top level I/O to signals
{{if $block.EventInputs}}--input events
{{range $index, $event := $block.EventInputs}}{{range $curConnIndex, $conn := $compositeFB.EventConnections}}{{if eq $conn.Source $event.Name}}{{renameConnSignal $conn.Source}} <= {{$event.Name}}_eI;
{{end}}{{end}}{{end}}
{{end}}{{if $block.EventOutputs}}--output events
{{range $index, $event := $block.EventOutputs}}{{range $curConnIndex, $conn := $compositeFB.EventConnections}}{{if eq $conn.Destination $event.Name}}{{$event.Name}}_eO <= {{renameConnSignal $conn.Source}};
{{end}}{{end}}{{end}}
{{end}}{{if $block.InputVars}}--input variables
{{range $index, $var := $block.InputVars}}{{range $curConnIndex, $conn := $compositeFB.DataConnections}}{{if eq $conn.Source $var.Name}}{{renameConnSignal $conn.Source}} <= {{$var.Name}}_I;
{{end}}{{end}}{{end}}
{{end}}{{if $block.OutputVars}}--output events
{{range $index, $var := $block.OutputVars}}{{range $curConnIndex, $conn := $compositeFB.DataConnections}}{{if eq $conn.Destination $var.Name}}{{$var.Name}}_O <= {{renameConnSignal $conn.Source}};
{{end}}{{end}}{{end}}
{{end}}
-- child I/O to signals
{{range $currChildIndex, $child := $compositeFB.FBs}}
{{$child.Name}} : entity work.{{$child.Type}} port map(
clk => clk,
reset => reset,
enable => enable,
sync => sync,
--event outputs {{/* For both events and data connection outputs, we need to only output the *unique* signals (vhdl can't drive many signals from a single output). Hence this rigmarole. */}}
{{range $curConnIndex, $connName := $compositeFB.GetUniqueEventConnSources}}{{if connChildNameMatches $connName $child.Name}}{{connChildSourceOnly $connName}}_eO => {{renameConnSignal $connName}},
{{end}}{{end}}
--event inputs
{{range $curConnIndex, $conn := $compositeFB.EventConnections}}{{if connChildNameMatches $conn.Destination $child.Name}}{{connChildSourceOnly $conn.Destination}}_eI => {{renameConnSignal $conn.Source}},
{{end}}{{end}}
--data outputs
{{range $curConnIndex, $connName := $compositeFB.GetUniqueDataConnSources}}{{if connChildNameMatches $connName $child.Name}}{{connChildSourceOnly $connName}}_O => {{renameConnSignal $connName}},
{{end}}{{end}}
--data inputs
{{range $curConnIndex, $conn := $compositeFB.DataConnections}}{{if connChildNameMatches $conn.Destination $child.Name}}{{connChildSourceOnly $conn.Destination}}_I => {{renameConnSignal $conn.Source}},
{{end}}{{end}}
{{$special := getSpecialIOForRef $child $blocks}}{{if $special.InternalVars}}--specials
{{range $curSpecialIndex, $sInternal := $special.InternalVars}}{{$sInternal.Name}} => {{$sInternal.Name}}, --{{if variableIsTOPIO_IN $sInternal}}input{{else}}output{{end}}
{{end}}{{end}}
done => {{$child.Name}}_done
);
{{end}}
-- done signal
done <= {{if $compositeFB.FBs}}{{range $currChildIndex, $child := $compositeFB.FBs}}{{if $currChildIndex}} and {{end}}{{$child.Name}}_done{{end}}{{else}}'1'{{end}};
end rtl;
{{end}}
|
package UMDRISC_PKG is
CONSTANT DATA_WIDTH:INTEGER := 24;
CONSTANT ADDRESS_WIDTH:INTEGER := 24;
CONSTANT PC_WIDTH:INTEGER := 24;
end UMDRISC_PKG;
package body UMDRISC_PKG is
end UMDRISC_PKG;
|
----------------------------------------------------------------------------------
--
-- Lab session #2: edge detector debounce testbench
--
-- Detects raising edges and ouputs a one-period pulse.
--
-- Authors:
-- David Estévez Fernández
-- Sergio Vilches Expósito
--
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY edgeDetectorDebounce_tb IS
END edgeDetectorDebounce_tb;
ARCHITECTURE behavior OF edgeDetectorDebounce_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT edgeDetectorDebounce
PORT(
clk : IN std_logic;
reset : IN std_logic;
enable : IN std_logic;
input : IN std_logic;
detected : OUT std_logic
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
signal enable : std_logic := '0';
signal input : std_logic := '0';
--Outputs
signal detected : std_logic;
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: edgeDetectorDebounce PORT MAP (
clk => clk,
reset => reset,
enable => enable,
input => input,
detected => detected
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Reset
reset <= '0', '1' after 100ns;
enable <= '0', '1' after 200ns;
-- Other stimulus
stim_process : process
begin
wait for 15*clk_period;
input <= '1';
wait for 3*clk_period;
input <= '0';
wait for 5*clk_period;
input <= '1';
wait for clk_period;
input <= '0';
wait for clk_period;
input <= '1';
wait for clk_period;
input <= '0';
wait for clk_period;
input <= '1';
wait for clk_period;
input <= '0';
wait for clk_period;
input <= '1';
wait for clk_period;
input <= '1';
wait for 1ms;
input <= '0';
wait for 5*clk_period;
input <= '1';
wait for clk_period;
input <= '0';
wait for clk_period;
input <= '1';
wait for clk_period;
input <= '0';
wait for clk_period;
input <= '1';
wait for clk_period;
input <= '0';
wait for clk_period;
input <= '1';
wait;
end process;
END;
|
library ieee;
use ieee.std_logic_1164.all;
entity db_zcpsm is
port(
reset : in std_logic;
clk : in std_logic;
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
read_strobe : out std_logic;
in_port : in std_logic_vector(7 downto 0)
);
end entity;
architecture struct of db_zcpsm is
component zcpsm
Port (
address : out std_logic_vector(11 downto 0);
instruction : in std_logic_vector(17 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
read_strobe : out std_logic;
in_port : in std_logic_vector(7 downto 0);
interrupt : in std_logic;
reset : in std_logic;
clk : in std_logic);
end component;
component dbrom_romonly
port(
addrb : in std_logic_vector(11 downto 0);
clkb : in std_logic;
dob : out std_logic_vector(17 downto 0));
end component;
signal address : std_logic_vector(11 downto 0);
signal instruction : std_logic_vector(17 downto 0);
begin
u_zcpsm : zcpsm
port map(
address => address,
instruction => instruction,
port_id => port_id,
write_strobe => write_strobe,
out_port => out_port,
read_strobe => read_strobe,
in_port => in_port,
interrupt => '0',
reset => reset,
clk => clk
);
u_rom : dbrom_romonly
port map(
addrb => address,
clkb => clk,
dob => instruction
);
end struct;
|
---------------------------------------------------------------------------------------------------
-- divider_f2m.vhd ---
----------------------------------------------------------------------------------------------------
-- Author : Miguel Morales-Sandoval ---
-- Project : "Hardware Arquitecture for ECC and Lossless Data Compression ---
-- Organization : INAOE, Computer Science Department ---
-- Date : July, 2004. ---
----------------------------------------------------------------------------------------------------
-- Inverter for F_2^m
----------------------------------------------------------------------------------------------------
-- Coments: This is an implementation of the division algorithm. Dirent to the other implemented inverter
-- in this, the division is performed directly.
----------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_unsigned.all;
use IEEE.STD_LOGIC_arith.all;
----------------------------------------------------------------------------------------------------
entity f2m_divider_163 is
generic(
NUM_BITS : positive := 163
);
port(
x : in STD_LOGIC_VECTOR(NUM_BITS-1 downto 0);
y : in STD_LOGIC_VECTOR(NUM_BITS-1 downto 0);
clk : in STD_LOGIC;
rst : in STD_LOGIC;
done : out STD_LOGIC;
x_div_y : out STD_LOGIC_VECTOR(NUM_BITS-1 downto 0) -- U = x/y mod Fx,
);
end;
----------------------------------------------------------------------------------------------------
architecture behave of f2m_divider_163 is
----------------------------------------------------------------------------------------------------
-- Signal for up-date regsiters A and B
signal A,B : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal registers
signal U, V : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal registers
----------------------------------------------------------------------------------------------------
-- m = 163, the irreductible polynomial
constant F : std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001001";
-- m = 233 x233 + x74 + 1
--constant F_x: std_logic_vector(NUM_BITS downto 0) := "100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001";
-- m = 277 x277 + x74 + 1
--constant F_x: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001001"; --277 bits
-- m = 283 x283 + x12 + x7 + x5 + 1
--constant F_x: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010100001";
-- m = 409 x409 + x87 + 1
--constant F_x: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
-- m = 571 x571 + x10 + x5 + x2 + 1
--constant F_x: std_logic_vector(NUM_BITS downto 0) := "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100101";
----------------------------------------------------------------------------------------------------
-- control signals
signal a_greater_b, a_eq_b, A_par, B_par, U_par, V_par, u_mas_v_par: std_logic;
signal A_div_t, B_div_t, U_div_t, V_div_t : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal registers
signal u_mas_M, v_mas_M, u_mas_v, u_mas_v_mas_M, a_mas_b : STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal registers
signal u_mas_M_div_t, v_mas_M_div_t, u_mas_v_div_t, u_mas_v_mas_M_div_t, a_mas_b_div_t: STD_LOGIC_VECTOR(NUM_BITS downto 0); -- Internal registers
----------------------------------------------------------------------------------------------------------------------------------------------------------
type CurrentState_type is (END_STATE, CYCLE);
signal currentState: CurrentState_type;
----------------------------------------------------------------------------------------------------
begin
----------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------
-- Control signals
A_par <= '1' when A(0) = '0' else
'0';
B_par <= '1' when B(0) = '0' else
'0';
U_par <= '1' when U(0) = '0' else
'0';
V_par <= '1' when V(0) = '0' else
'0';
a_greater_b <= '1' when A > B else
'0';
a_eq_b <= '1' when A = B else
'0';
----------------------------------------------------------------------------------------------------
-- Mux definitions
----------------------------------------------------------------------------------------------------
u_mas_M <= U xor F;
v_mas_M <= V xor F;
u_mas_v <= U xor V;
u_mas_v_mas_M <= u_mas_v xor F;
a_mas_b <= A xor B;
-- Muxes for A and B
a_div_t <= '0'& A(NUM_BITS downto 1);
b_div_t <= '0'& B(NUM_BITS downto 1);
u_div_t <= '0'& U(NUM_BITS downto 1);
v_div_t <= '0'& V(NUM_BITS downto 1);
u_mas_M_div_t <= '0' & u_mas_M(NUM_BITS downto 1);
v_mas_M_div_t <= '0' & v_mas_M(NUM_BITS downto 1);
u_mas_v_div_t <= '0' & u_mas_v(NUM_BITS downto 1);
u_mas_v_mas_M_div_t <= '0' & u_mas_v_mas_M(NUM_BITS downto 1);
a_mas_b_div_t <= '0' & a_mas_b(NUM_BITS downto 1);
----------------------------------------------------------------------------------------------------
-- Finite state machine
----------------------------------------------------------------------------------------------------
EEAL: process (clk)
begin -- syncronous reset
if CLK'event and CLK = '1' then
if (rst = '1')then
A <= '0' & Y;
B <= F;
U <= '0' & X;
v <= (others => '0');
x_div_y <= (others => '0');
done <= '0';
currentState <= CYCLE;
else
case currentState is
-----------------------------------------------------------------------------------
when CYCLE =>
if A_eq_B = '1' then
currentState <= END_STATE;
Done <= '1';
x_div_y <= U(NUM_BITS-1 downto 0);
elsif A_par = '1' then
A <= A_div_t;
if U_par = '1' then
U <= U_div_t;
else
U <= u_mas_M_div_t;
end if;
elsif B_par = '1' then
B <= B_div_t;
if V_par = '1' then
V <= V_div_t;
else
V <= V_mas_M_div_t;
end if;
elsif a_greater_b = '1' then
A <= a_mas_b_div_t;
if u_mas_v(0) = '0' then
U <= u_mas_v_div_t;
else
U <= u_mas_v_mas_M_div_t;
end if;
else
B <= a_mas_b_div_t;
if u_mas_v(0) = '0' then
V <= u_mas_v_div_t;
else
V <= u_mas_v_mas_M_div_t;
end if;
end if;
-----------------------------------------------------------------------------------
when END_STATE => -- Do nothing
currentState <= END_STATE;
-- done <= '0'; -- para generar el pulso, quitarlo entity caso contrario
-----------------------------------------------------------------------------------
when others =>
null;
end case;
end if;
end if;
end process;
end behave; |
-- VHDL Entity r65c02_tc.core.symbol
--
-- Created:
-- by - jens.Domain Users (ENTW-7HPZ200)
-- at - 11:09:21 08/01/13
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
entity core is
port(
clk_clk_i : in std_logic;
d_i : in std_logic_vector (7 downto 0);
irq_n_i : in std_logic;
nmi_n_i : in std_logic;
rdy_i : in std_logic;
rst_rst_n_i : in std_logic;
so_n_i : in std_logic;
a_o : out std_logic_vector (15 downto 0);
d_o : out std_logic_vector (7 downto 0);
rd_o : out std_logic;
sync_o : out std_logic;
wr_n_o : out std_logic;
wr_o : out std_logic
);
-- Declarations
end core ;
-- (C) 2008 - 2018 Jens Gutschmidt
-- (email: [email protected])
--
-- Versions:
-- Revision 1.8 2013/08/01 11:00:00 jens
-- - Change Block names to lower case
-- - Bug Fix RMB, SMB Bug - Bit position decoded wrong. Adding a priority encoder.
--
-- Revision 1.7 2013/07/21 11:11:00 jens
-- - Changing the title block and internal revision history
--
-- Revision 1.6 2009/01/04 10:20:47 eda
-- Changes for cosmetic issues only
--
-- Revision 1.5 2009/01/04 09:23:10 eda
-- - Delete unused nets and blocks (same as R6502_TC)
-- - Rename blocks
--
-- Revision 1.4 2009/01/03 16:53:02 eda
-- - Unused nets and blocks deleted
-- - Renamed blocks
--
-- Revision 1.3 2009/01/03 16:42:02 eda
-- - Unused nets and blocks deleted
-- - Renamed blocks
--
-- Revision 1.2 2008/12/31 19:31:24 eda
-- Production Release
--
--
--
-- VHDL Architecture r65c02_tc.core.struct
--
-- Created:
-- by - eda.UNKNOWN (ENTW-7HPZ200)
-- at - 12:00:34 06.09.2018
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5)
--
-- COPYRIGHT (C) 2008 - 2018 by Jens Gutschmidt
--
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version.
--
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>.
--
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
--library r65c02_tc;
architecture struct of core is
-- Architecture declarations
-- Internal signal declarations
signal adr_nxt_pc_o_i : std_logic_vector(15 downto 0);
signal adr_o_i : std_logic_vector(15 downto 0);
signal adr_pc_o_i : std_logic_vector(15 downto 0);
signal adr_sp_o_i : std_logic_vector(15 downto 0);
signal ch_a_o_i : std_logic_vector(7 downto 0);
signal ch_b_o_i : std_logic_vector(7 downto 0);
signal d_alu_n_o_i : std_logic;
signal d_alu_o_i : std_logic_vector(7 downto 0);
signal d_alu_or_o_i : std_logic;
signal d_alu_prio_o_i : std_logic_vector(7 downto 0);
signal d_regs_in_o_i : std_logic_vector(7 downto 0);
signal d_regs_out_o_i : std_logic_vector(7 downto 0);
signal ld_o_i : std_logic_vector(1 downto 0);
signal ld_pc_o_i : std_logic;
signal ld_sp_o_i : std_logic;
signal load_regs_o_i : std_logic;
signal nmi_o_i : std_logic;
signal offset_o_i : std_logic_vector(15 downto 0);
signal q_a_o_i : std_logic_vector(7 downto 0);
signal q_x_o_i : std_logic_vector(7 downto 0);
signal q_y_o_i : std_logic_vector(7 downto 0);
signal reg_0flag_o_i : std_logic;
signal reg_1flag_o_i : std_logic;
signal reg_7flag_o_i : std_logic;
signal rst_nmi_o_i : std_logic;
signal sel_pc_in_o_i : std_logic;
signal sel_pc_val_o_i : std_logic_vector(1 downto 0);
signal sel_rb_in_o_i : std_logic_vector(1 downto 0);
signal sel_rb_out_o_i : std_logic_vector(1 downto 0);
signal sel_reg_o_i : std_logic_vector(1 downto 0);
signal sel_sp_as_o_i : std_logic;
signal sel_sp_in_o_i : std_logic;
signal var_shift_data_o_i : std_logic_vector(7 downto 0);
-- Component Declarations
component fsm_execution_unit
port (
adr_nxt_pc_i : in std_logic_vector (15 downto 0);
adr_pc_i : in std_logic_vector (15 downto 0);
adr_sp_i : in std_logic_vector (15 downto 0);
clk_clk_i : in std_logic ;
d_alu_i : in std_logic_vector ( 7 downto 0 );
d_alu_prio_i : in std_logic_vector (7 downto 0);
d_i : in std_logic_vector ( 7 downto 0 );
d_regs_out_i : in std_logic_vector ( 7 downto 0 );
irq_n_i : in std_logic ;
nmi_i : in std_logic ;
q_a_i : in std_logic_vector ( 7 downto 0 );
q_x_i : in std_logic_vector ( 7 downto 0 );
q_y_i : in std_logic_vector ( 7 downto 0 );
rdy_i : in std_logic ;
reg_0flag_i : in std_logic ;
reg_1flag_i : in std_logic ;
reg_7flag_i : in std_logic ;
rst_rst_n_i : in std_logic ;
so_n_i : in std_logic ;
a_o : out std_logic_vector (15 downto 0);
adr_o : out std_logic_vector (15 downto 0);
ch_a_o : out std_logic_vector ( 7 downto 0 );
ch_b_o : out std_logic_vector ( 7 downto 0 );
d_o : out std_logic_vector ( 7 downto 0 );
d_regs_in_o : out std_logic_vector ( 7 downto 0 );
ld_o : out std_logic_vector ( 1 downto 0 );
ld_pc_o : out std_logic ;
ld_sp_o : out std_logic ;
load_regs_o : out std_logic ;
offset_o : out std_logic_vector ( 15 downto 0 );
rd_o : out std_logic ;
rst_nmi_o : out std_logic ;
sel_pc_in_o : out std_logic ;
sel_pc_val_o : out std_logic_vector ( 1 downto 0 );
sel_rb_in_o : out std_logic_vector ( 1 downto 0 );
sel_rb_out_o : out std_logic_vector ( 1 downto 0 );
sel_reg_o : out std_logic_vector ( 1 downto 0 );
sel_sp_as_o : out std_logic ;
sel_sp_in_o : out std_logic ;
sync_o : out std_logic ;
wr_n_o : out std_logic ;
wr_o : out std_logic
);
end component;
component fsm_intnmi
port (
clk_clk_i : in std_logic ;
nmi_n_i : in std_logic ;
rst_nmi_i : in std_logic ;
rst_rst_n_i : in std_logic ;
nmi_o : out std_logic
);
end component;
component reg_pc
port (
adr_i : in std_logic_vector (15 downto 0);
clk_clk_i : in std_logic ;
ld_i : in std_logic_vector (1 downto 0);
ld_pc_i : in std_logic ;
offset_i : in std_logic_vector (15 downto 0);
rst_rst_n_i : in std_logic ;
sel_pc_in_i : in std_logic ;
sel_pc_val_i : in std_logic_vector (1 downto 0);
adr_nxt_pc_o : out std_logic_vector (15 downto 0);
adr_pc_o : out std_logic_vector (15 downto 0)
);
end component;
component reg_sp
port (
adr_low_i : in std_logic_vector (7 downto 0);
clk_clk_i : in std_logic ;
ld_low_i : in std_logic ;
ld_sp_i : in std_logic ;
rst_rst_n_i : in std_logic ;
sel_sp_as_i : in std_logic ;
sel_sp_in_i : in std_logic ;
adr_sp_o : out std_logic_vector (15 downto 0)
);
end component;
component regbank_axy
port (
clk_clk_i : in std_logic ;
d_regs_in_i : in std_logic_vector (7 downto 0);
load_regs_i : in std_logic ;
rst_rst_n_i : in std_logic ;
sel_rb_in_i : in std_logic_vector (1 downto 0);
sel_rb_out_i : in std_logic_vector (1 downto 0);
sel_reg_i : in std_logic_vector (1 downto 0);
d_regs_out_o : out std_logic_vector (7 downto 0);
q_a_o : out std_logic_vector (7 downto 0);
q_x_o : out std_logic_vector (7 downto 0);
q_y_o : out std_logic_vector (7 downto 0)
);
end component;
-- Optional embedded configurations
-- pragma synthesis_off
for all : fsm_execution_unit use entity r65c02_tc.fsm_execution_unit;
for all : fsm_intnmi use entity r65c02_tc.fsm_intnmi;
for all : reg_pc use entity r65c02_tc.reg_pc;
for all : reg_sp use entity r65c02_tc.reg_sp;
for all : regbank_axy use entity r65c02_tc.regbank_axy;
-- pragma synthesis_on
begin
-- Architecture concurrent statements
-- HDL Embedded Text Block 1 eb1
-- eb1 1
var_shift_data_o_i <= x"01";
-- ModuleWare code(v1.12) for instance 'U_11' of 'add'
u_11combo_proc: process (ch_a_o_i, ch_b_o_i)
variable temp_din0 : std_logic_vector(8 downto 0);
variable temp_din1 : std_logic_vector(8 downto 0);
variable temp_sum : unsigned(8 downto 0);
variable temp_carry : std_logic;
begin
temp_din0 := '0' & ch_a_o_i;
temp_din1 := '0' & ch_b_o_i;
temp_carry := '0';
temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry;
d_alu_o_i <= conv_std_logic_vector(temp_sum(7 downto 0),8);
reg_0flag_o_i <= temp_sum(8) ;
end process u_11combo_proc;
-- ModuleWare code(v1.12) for instance 'U_8' of 'inv'
reg_1flag_o_i <= not(d_alu_or_o_i);
-- ModuleWare code(v1.12) for instance 'U_9' of 'inv'
reg_7flag_o_i <= not(d_alu_n_o_i);
-- ModuleWare code(v1.12) for instance 'U_10' of 'inv'
d_alu_n_o_i <= not(d_alu_o_i(7));
-- ModuleWare code(v1.12) for instance 'U_5' of 'lshift'
u_5combo_proc : process (var_shift_data_o_i, ch_a_o_i)
variable temp_shift : std_logic_vector (3 downto 0);
variable temp_dout : std_logic_vector (7 downto 0);
variable temp_din : std_logic_vector (7 downto 0);
begin
temp_din := (others=> 'X');
temp_shift := ch_a_o_i(3 downto 0);
temp_din := var_shift_data_o_i;
for i in 0 to 3 loop
if (i < 3) then
if (temp_shift(i) = '1') then
temp_dout := (others => '0');
temp_dout(7 downto 2**i) := temp_din(7 - 2**i downto 0);
elsif (temp_shift(i) = '0') then
temp_dout := temp_din;
else
temp_dout := (others => 'X');
end if;
else
if (temp_shift(i) = '1') then
temp_dout := (others => '0');
elsif (temp_shift(i) = '0') then
temp_dout := temp_din;
else
temp_dout := (others => 'X');
end if;
end if;
temp_din := temp_dout;
end loop;
d_alu_prio_o_i <= temp_dout;
end process u_5combo_proc;
-- ModuleWare code(v1.12) for instance 'U_7' of 'por'
d_alu_or_o_i <= d_alu_o_i(0) or d_alu_o_i(1) or d_alu_o_i(2) or d_alu_o_i(3) or d_alu_o_i(4) or d_alu_o_i(5) or d_alu_o_i(6) or d_alu_o_i(7);
-- Instance port mappings.
U_4 : fsm_execution_unit
port map (
adr_nxt_pc_i => adr_nxt_pc_o_i,
adr_pc_i => adr_pc_o_i,
adr_sp_i => adr_sp_o_i,
clk_clk_i => clk_clk_i,
d_alu_i => d_alu_o_i,
d_alu_prio_i => d_alu_prio_o_i,
d_i => d_i,
d_regs_out_i => d_regs_out_o_i,
irq_n_i => irq_n_i,
nmi_i => nmi_o_i,
q_a_i => q_a_o_i,
q_x_i => q_x_o_i,
q_y_i => q_y_o_i,
rdy_i => rdy_i,
reg_0flag_i => reg_0flag_o_i,
reg_1flag_i => reg_1flag_o_i,
reg_7flag_i => reg_7flag_o_i,
rst_rst_n_i => rst_rst_n_i,
so_n_i => so_n_i,
a_o => a_o,
adr_o => adr_o_i,
ch_a_o => ch_a_o_i,
ch_b_o => ch_b_o_i,
d_o => d_o,
d_regs_in_o => d_regs_in_o_i,
ld_o => ld_o_i,
ld_pc_o => ld_pc_o_i,
ld_sp_o => ld_sp_o_i,
load_regs_o => load_regs_o_i,
offset_o => offset_o_i,
rd_o => rd_o,
rst_nmi_o => rst_nmi_o_i,
sel_pc_in_o => sel_pc_in_o_i,
sel_pc_val_o => sel_pc_val_o_i,
sel_rb_in_o => sel_rb_in_o_i,
sel_rb_out_o => sel_rb_out_o_i,
sel_reg_o => sel_reg_o_i,
sel_sp_as_o => sel_sp_as_o_i,
sel_sp_in_o => sel_sp_in_o_i,
sync_o => sync_o,
wr_n_o => wr_n_o,
wr_o => wr_o
);
U_3 : fsm_intnmi
port map (
clk_clk_i => clk_clk_i,
nmi_n_i => nmi_n_i,
rst_nmi_i => rst_nmi_o_i,
rst_rst_n_i => rst_rst_n_i,
nmi_o => nmi_o_i
);
U_0 : reg_pc
port map (
adr_i => adr_o_i,
clk_clk_i => clk_clk_i,
ld_i => ld_o_i,
ld_pc_i => ld_pc_o_i,
offset_i => offset_o_i,
rst_rst_n_i => rst_rst_n_i,
sel_pc_in_i => sel_pc_in_o_i,
sel_pc_val_i => sel_pc_val_o_i,
adr_nxt_pc_o => adr_nxt_pc_o_i,
adr_pc_o => adr_pc_o_i
);
U_1 : reg_sp
port map (
adr_low_i => adr_o_i(7 DOWNTO 0),
clk_clk_i => clk_clk_i,
ld_low_i => ld_o_i(0),
ld_sp_i => ld_sp_o_i,
rst_rst_n_i => rst_rst_n_i,
sel_sp_as_i => sel_sp_as_o_i,
sel_sp_in_i => sel_sp_in_o_i,
adr_sp_o => adr_sp_o_i
);
U_2 : regbank_axy
port map (
clk_clk_i => clk_clk_i,
d_regs_in_i => d_regs_in_o_i,
load_regs_i => load_regs_o_i,
rst_rst_n_i => rst_rst_n_i,
sel_rb_in_i => sel_rb_in_o_i,
sel_rb_out_i => sel_rb_out_o_i,
sel_reg_i => sel_reg_o_i,
d_regs_out_o => d_regs_out_o_i,
q_a_o => q_a_o_i,
q_x_o => q_x_o_i,
q_y_o => q_y_o_i
);
end struct;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dpGhiRmhljfD0zXQWGvz0JwrEUzCOffo+q7L4aXhNZe4OlUxMbok5ZMAH++XNtx4I1/Ru0jpRCDQ
+jGNnGMnPg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X1v8EaJsePSjes7HEtxkOnXT7q1lW3JkLaDXCbBjY89E5PtEwcU/lD+95tEdC76rtBKsrTow+O7Z
qmo7CqjQIpc1oiNaf/ZVL0cgvri/TVCqBpqYyOFqURkcP1Hdnxynnx1DvYqlBG9WfkoFqw6xbpkq
W56O104cely6nOevAjQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
b9U9FZYz7Xb8GFDLlKneuNStLxQ2Wc07YtjzR3rSjyav9TqHpkEMyuBJIEottYwbxYAN9lsKS1gq
XF2ixIYYlevx6PPYKWYW3xHKDTLppiamGmoorCmxRE41BR1mG4ZiUz0K8Dv2tn9ReNWpTFkKtCGp
OtRLCRKMLdGD3iku3KPXeIuwQhUdq00Qb7xU1eOlbaYyaGwJ7hdrSNaZGmpcM9xHUnNl/XSicrjE
x0Jw6tcCad9C1sWdsPnhyCNNsxEj5xLIUp25/QOdb9TF004rGIP8xUrpx6kpmdLWI+Kr+vhB/551
rsxDuK8Z/Vw91Y0KE+zh341vd27oGEzZ1qwrrw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yAiAhXzTrE3c/42/4LcRC0KGyca4RCh+Mu+RiXqvuZ1LT0YCBg8TIP/q2bF18KDN5TQwmyT6V1VR
HW3GBWYk2PK/8sCp6l108Cw2evlxnnFD6a4s8L9HrD18toDJMNajkqrQyEE6rOy1tGWLrAX32BVY
yUpLHsQrYdQhOgcYK0A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OOleKwwOAhfSoxYh350X1OF+QGnqLAWkyA7++4Pama8FzE2nGdeBI2aYPfEUFChUOMwgLBzvzMVD
kiziA2xRWWM7cJGrlkWauHQxMmO8I5o3Juc3NJkmTNt3WPO/ocUnVyUIegTeZroEWmCuoECRvZZK
UkG+3NYAm/8JeU29XXjRnUjf24RCwxH6MO5IwrTFgn8BgFLfwXj7YGeK/s+jRwGpTeKxc54g2m7H
L933HvRjBLzuP3ndtBDOh4g9NPsw8vHtqviVIjnxzSCQNYJTuJdtts+/uwVJXlhnGax0VoJDFUVU
hZkIjPehA/D9jihVzHHI3pz+f+rC6BGME4+Rbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 141280)
`protect data_block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`protect end_protected
|
--===========================================================================--
--
-- S Y N T H E Z I A B L E CPU68 C O R E
--
-- www.OpenCores.Org - December 2002
-- This core adheres to the GNU public license
--
-- File name : cpu68.vhd
--
-- Purpose : Implements a 6800 compatible CPU core with some
-- additional instructions found in the 6801
--
-- Dependencies : ieee.Std_Logic_1164
-- ieee.std_logic_unsigned
--
-- Author : John E. Kent
--
--===========================================================================----
--
-- Revision History:
--
-- Date: Revision Author
-- 22 Sep 2002 0.1 John Kent
--
-- 30 Oct 2002 0.2 John Kent
-- made NMI edge triggered
--
-- 30 Oct 2002 0.3 John Kent
-- more corrections to NMI
-- added wai_wait_state to prevent stack overflow on wai.
--
-- 1 Nov 2002 0.4 John Kent
-- removed WAI states and integrated WAI with the interrupt service routine
-- replace Data out (do) and Data in (di) register with a single Memory Data (md) reg.
-- Added Multiply instruction states.
-- run ALU and CC out of CPU module for timing measurements.
--
-- 3 Nov 2002 0.5 John Kent
-- Memory Data Register was not loaded on Store instructions
-- SEV and CLV were not defined in the ALU
-- Overflow Flag on NEG was incorrect
--
-- 16th Feb 2003 0.6 John Kent
-- Rearranged the execution cycle for dual operand instructions
-- so that occurs during the following fetch cycle.
-- This allows the reduction of one clock cycle from dual operand
-- instruction. Note that this also necessitated re-arranging the
-- program counter so that it is no longer incremented in the ALU.
-- The effective address has also been re-arranged to include a
-- separate added. The STD (store accd) now sets the condition codes.
--
-- 28th Jun 2003 0.7 John Kent
-- Added Hold and Halt signals. Hold is used to steal cycles from the
-- CPU or add wait states. Halt puts the CPU in the inactive state
-- and is only honoured in the fetch cycle. Both signals are active high.
--
-- 9th Jan 2004 0.8 John Kent
-- Clear instruction did an alu_ld8 rather than an alu_clr, so
-- the carry bit was not cleared correctly.
-- This error was picked up by Michael Hassenfratz.
--
-- 13th May 2017 0.8 Alexander Chukov
-- Added XGDX instruction from HD6301
--
--
library ieee;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity cpu68 is
port (
clk: in std_logic;
rst: in std_logic;
rw: out std_logic;
vma: out std_logic;
address: out std_logic_vector(15 downto 0);
data_in: in std_logic_vector(7 downto 0);
data_out: out std_logic_vector(7 downto 0);
hold: in std_logic;
halt: in std_logic;
irq: in std_logic;
nmi: in std_logic
-- test_alu: out std_logic_vector(15 downto 0);
-- test_cc: out std_logic_vector(7 downto 0)
);
end;
architecture CPU_ARCH of cpu68 is
constant SBIT : integer := 7;
constant XBIT : integer := 6;
constant HBIT : integer := 5;
constant IBIT : integer := 4;
constant NBIT : integer := 3;
constant ZBIT : integer := 2;
constant VBIT : integer := 1;
constant CBIT : integer := 0;
type state_type is (reset_state, fetch_state, decode_state,
extended_state, indexed_state, read8_state, read16_state, immediate16_state,
write8_state, write16_state,
execute_state, halt_state, error_state,
mul_state, mulea_state, muld_state,
mul0_state, mul1_state, mul2_state, mul3_state,
mul4_state, mul5_state, mul6_state, mul7_state,
jmp_state, jsr_state, jsr1_state,
branch_state, bsr_state, bsr1_state,
rts_hi_state, rts_lo_state,
int_pcl_state, int_pch_state,
int_ixl_state, int_ixh_state,
int_cc_state, int_acca_state, int_accb_state,
int_wai_state, int_mask_state,
rti_state, rti_cc_state, rti_acca_state, rti_accb_state,
rti_ixl_state, rti_ixh_state,
rti_pcl_state, rti_pch_state,
pula_state, psha_state, pulb_state, pshb_state,
pulx_lo_state, pulx_hi_state, pshx_lo_state, pshx_hi_state,
vect_lo_state, vect_hi_state );
type addr_type is (idle_ad, fetch_ad, read_ad, write_ad, push_ad, pull_ad, int_hi_ad, int_lo_ad );
type dout_type is (md_lo_dout, md_hi_dout, acca_dout, accb_dout, ix_lo_dout, ix_hi_dout, cc_dout, pc_lo_dout, pc_hi_dout );
type op_type is (reset_op, fetch_op, latch_op );
type acca_type is (reset_acca, load_acca, load_hi_acca, pull_acca, latch_acca, load_right_hi_acca );
type accb_type is (reset_accb, load_accb, pull_accb, latch_accb, load_right_lo_accb );
type cc_type is (reset_cc, load_cc, pull_cc, latch_cc );
type ix_type is (reset_ix, load_ix, pull_lo_ix, pull_hi_ix, latch_ix );
type sp_type is (reset_sp, latch_sp, load_sp );
type pc_type is (reset_pc, latch_pc, load_ea_pc, add_ea_pc, pull_lo_pc, pull_hi_pc, inc_pc );
type md_type is (reset_md, latch_md, load_md, fetch_first_md, fetch_next_md, shiftl_md );
type ea_type is (reset_ea, latch_ea, add_ix_ea, load_accb_ea, inc_ea, fetch_first_ea, fetch_next_ea );
type iv_type is (reset_iv, latch_iv, swi_iv, nmi_iv, irq_iv );
type nmi_type is (reset_nmi, set_nmi, latch_nmi );
type left_type is (acca_left, accb_left, accd_left, md_left, ix_left, sp_left );
type right_type is (md_right, zero_right, plus_one_right, accb_right, ix_right );
type alu_type is (alu_add8, alu_sub8, alu_add16, alu_sub16, alu_adc, alu_sbc,
alu_and, alu_ora, alu_eor,
alu_tst, alu_inc, alu_dec, alu_clr, alu_neg, alu_com,
alu_inx, alu_dex, alu_cpx,
alu_lsr16, alu_lsl16,
alu_ror8, alu_rol8,
alu_asr8, alu_asl8, alu_lsr8,
alu_sei, alu_cli, alu_sec, alu_clc, alu_sev, alu_clv, alu_tpa, alu_tap,
alu_ld8, alu_st8, alu_ld16, alu_st16, alu_nop, alu_daa);
signal op_code: std_logic_vector(7 downto 0);
signal acca: std_logic_vector(7 downto 0);
signal accb: std_logic_vector(7 downto 0);
signal cc: std_logic_vector(7 downto 0);
signal cc_out: std_logic_vector(7 downto 0);
signal xreg: std_logic_vector(15 downto 0);
signal sp: std_logic_vector(15 downto 0);
signal ea: std_logic_vector(15 downto 0);
signal pc: std_logic_vector(15 downto 0);
signal md: std_logic_vector(15 downto 0);
signal left: std_logic_vector(15 downto 0);
signal right: std_logic_vector(15 downto 0);
signal out_alu: std_logic_vector(15 downto 0);
signal iv: std_logic_vector(1 downto 0);
signal nmi_req: std_logic;
signal nmi_ack: std_logic;
signal state: state_type;
signal next_state: state_type;
signal pc_ctrl: pc_type;
signal ea_ctrl: ea_type;
signal op_ctrl: op_type;
signal md_ctrl: md_type;
signal acca_ctrl: acca_type;
signal accb_ctrl: accb_type;
signal ix_ctrl: ix_type;
signal cc_ctrl: cc_type;
signal sp_ctrl: sp_type;
signal iv_ctrl: iv_type;
signal left_ctrl: left_type;
signal right_ctrl: right_type;
signal alu_ctrl: alu_type;
signal addr_ctrl: addr_type;
signal dout_ctrl: dout_type;
signal nmi_ctrl: nmi_type;
begin
----------------------------------
--
-- Address bus multiplexer
--
----------------------------------
addr_mux: process( clk, addr_ctrl, pc, ea, sp, iv )
begin
case addr_ctrl is
when idle_ad =>
address <= "1111111111111111";
vma <= '0';
rw <= '1';
when fetch_ad =>
address <= pc;
vma <= '1';
rw <= '1';
when read_ad =>
address <= ea;
vma <= '1';
rw <= '1';
when write_ad =>
address <= ea;
vma <= '1';
rw <= '0';
when push_ad =>
address <= sp;
vma <= '1';
rw <= '0';
when pull_ad =>
address <= sp;
vma <= '1';
rw <= '1';
when int_hi_ad =>
address <= "1111111111111" & iv & "0";
vma <= '1';
rw <= '1';
when int_lo_ad =>
address <= "1111111111111" & iv & "1";
vma <= '1';
rw <= '1';
when others =>
address <= "1111111111111111";
vma <= '0';
rw <= '1';
end case;
end process;
--------------------------------
--
-- Data Bus output
--
--------------------------------
dout_mux : process( clk, dout_ctrl, md, acca, accb, xreg, pc, cc )
begin
case dout_ctrl is
when md_hi_dout => -- alu output
data_out <= md(15 downto 8);
when md_lo_dout =>
data_out <= md(7 downto 0);
when acca_dout => -- accumulator a
data_out <= acca;
when accb_dout => -- accumulator b
data_out <= accb;
when ix_lo_dout => -- index reg
data_out <= xreg(7 downto 0);
when ix_hi_dout => -- index reg
data_out <= xreg(15 downto 8);
when cc_dout => -- condition codes
data_out <= cc;
when pc_lo_dout => -- low order pc
data_out <= pc(7 downto 0);
when pc_hi_dout => -- high order pc
data_out <= pc(15 downto 8);
when others =>
data_out <= "00000000";
end case;
end process;
----------------------------------
--
-- Program Counter Control
--
----------------------------------
pc_mux: process( clk, pc_ctrl, pc, out_alu, data_in, ea, hold )
variable tempof : std_logic_vector(15 downto 0);
variable temppc : std_logic_vector(15 downto 0);
begin
case pc_ctrl is
when add_ea_pc =>
if ea(7) = '0' then
tempof := "00000000" & ea(7 downto 0);
else
tempof := "11111111" & ea(7 downto 0);
end if;
when inc_pc =>
tempof := "0000000000000001";
when others =>
tempof := "0000000000000000";
end case;
case pc_ctrl is
when reset_pc =>
temppc := "1111111111111110";
when load_ea_pc =>
temppc := ea;
when pull_lo_pc =>
temppc(7 downto 0) := data_in;
temppc(15 downto 8) := pc(15 downto 8);
when pull_hi_pc =>
temppc(7 downto 0) := pc(7 downto 0);
temppc(15 downto 8) := data_in;
when others =>
temppc := pc;
end case;
if clk'event and clk = '0' then
if hold = '1' then
pc <= pc;
else
pc <= temppc + tempof;
end if;
end if;
end process;
----------------------------------
--
-- Effective Address Control
--
----------------------------------
ea_mux: process( clk, ea_ctrl, ea, out_alu, data_in, accb, xreg, hold )
variable tempind : std_logic_vector(15 downto 0);
variable tempea : std_logic_vector(15 downto 0);
begin
case ea_ctrl is
when add_ix_ea =>
tempind := "00000000" & ea(7 downto 0);
when inc_ea =>
tempind := "0000000000000001";
when others =>
tempind := "0000000000000000";
end case;
case ea_ctrl is
when reset_ea =>
tempea := "0000000000000000";
when load_accb_ea =>
tempea := "00000000" & accb(7 downto 0);
when add_ix_ea =>
tempea := xreg;
when fetch_first_ea =>
tempea(7 downto 0) := data_in;
tempea(15 downto 8) := "00000000";
when fetch_next_ea =>
tempea(7 downto 0) := data_in;
tempea(15 downto 8) := ea(7 downto 0);
when others =>
tempea := ea;
end case;
if clk'event and clk = '0' then
if hold = '1' then
ea <= ea;
else
ea <= tempea + tempind;
end if;
end if;
end process;
--------------------------------
--
-- Accumulator A
--
--------------------------------
acca_mux : process( clk, acca_ctrl, out_alu, acca, data_in, hold, right )
begin
if clk'event and clk = '0' then
if hold = '1' then
acca <= acca;
else
case acca_ctrl is
when reset_acca =>
acca <= "00000000";
when load_acca =>
acca <= out_alu(7 downto 0);
when load_hi_acca =>
acca <= out_alu(15 downto 8);
when pull_acca =>
acca <= data_in;
when load_right_hi_acca =>
acca <= right(15 downto 8);
when others =>
-- when latch_acca =>
acca <= acca;
end case;
end if;
end if;
end process;
--------------------------------
--
-- Accumulator B
--
--------------------------------
accb_mux : process( clk, accb_ctrl, out_alu, accb, data_in, hold, right )
begin
if clk'event and clk = '0' then
if hold = '1' then
accb <= accb;
else
case accb_ctrl is
when reset_accb =>
accb <= "00000000";
when load_accb =>
accb <= out_alu(7 downto 0);
when pull_accb =>
accb <= data_in;
when load_right_lo_accb =>
accb <= right(7 downto 0);
when others =>
-- when latch_accb =>
accb <= accb;
end case;
end if;
end if;
end process;
--------------------------------
--
-- X Index register
--
--------------------------------
ix_mux : process( clk, ix_ctrl, out_alu, xreg, data_in, hold )
begin
if clk'event and clk = '0' then
if hold = '1' then
xreg <= xreg;
else
case ix_ctrl is
when reset_ix =>
xreg <= "0000000000000000";
when load_ix =>
xreg <= out_alu(15 downto 0);
when pull_hi_ix =>
xreg(15 downto 8) <= data_in;
when pull_lo_ix =>
xreg(7 downto 0) <= data_in;
when others =>
-- when latch_ix =>
xreg <= xreg;
end case;
end if;
end if;
end process;
--------------------------------
--
-- stack pointer
--
--------------------------------
sp_mux : process( clk, sp_ctrl, out_alu, hold )
begin
if clk'event and clk = '0' then
if hold = '1' then
sp <= sp;
else
case sp_ctrl is
when reset_sp =>
sp <= "0000000000000000";
when load_sp =>
sp <= out_alu(15 downto 0);
when others =>
-- when latch_sp =>
sp <= sp;
end case;
end if;
end if;
end process;
--------------------------------
--
-- Memory Data
--
--------------------------------
md_mux : process( clk, md_ctrl, out_alu, data_in, md, hold )
begin
if clk'event and clk = '0' then
if hold = '1' then
md <= md;
else
case md_ctrl is
when reset_md =>
md <= "0000000000000000";
when load_md =>
md <= out_alu(15 downto 0);
when fetch_first_md =>
md(15 downto 8) <= "00000000";
md(7 downto 0) <= data_in;
when fetch_next_md =>
md(15 downto 8) <= md(7 downto 0);
md(7 downto 0) <= data_in;
when shiftl_md =>
md(15 downto 1) <= md(14 downto 0);
md(0) <= '0';
when others =>
-- when latch_md =>
md <= md;
end case;
end if;
end if;
end process;
----------------------------------
--
-- Condition Codes
--
----------------------------------
cc_mux: process( clk, cc_ctrl, cc_out, cc, data_in, hold )
begin
if clk'event and clk = '0' then
if hold = '1' then
cc <= cc;
else
case cc_ctrl is
when reset_cc =>
cc <= "11000000";
when load_cc =>
cc <= cc_out;
when pull_cc =>
cc <= data_in;
when others =>
-- when latch_cc =>
cc <= cc;
end case;
end if;
end if;
end process;
----------------------------------
--
-- interrupt vector
--
----------------------------------
iv_mux: process( clk, iv_ctrl, hold )
begin
if clk'event and clk = '0' then
if hold = '1' then
iv <= iv;
else
case iv_ctrl is
when reset_iv =>
iv <= "11";
when nmi_iv =>
iv <= "10";
when swi_iv =>
iv <= "01";
when irq_iv =>
iv <= "00";
when others =>
iv <= iv;
end case;
end if;
end if;
end process;
----------------------------------
--
-- op code fetch
--
----------------------------------
op_fetch: process( clk, data_in, op_ctrl, op_code, hold )
begin
if clk'event and clk = '0' then
if hold = '1' then
op_code <= op_code;
else
case op_ctrl is
when reset_op =>
op_code <= "00000001"; -- nop
when fetch_op =>
op_code <= data_in;
when others =>
-- when latch_op =>
op_code <= op_code;
end case;
end if;
end if;
end process;
----------------------------------
--
-- Left Mux
--
----------------------------------
left_mux: process( left_ctrl, acca, accb, xreg, sp, pc, ea, md )
begin
case left_ctrl is
when acca_left =>
left(15 downto 8) <= "00000000";
left(7 downto 0) <= acca;
when accb_left =>
left(15 downto 8) <= "00000000";
left(7 downto 0) <= accb;
when accd_left =>
left(15 downto 8) <= acca;
left(7 downto 0) <= accb;
when ix_left =>
left <= xreg;
when sp_left =>
left <= sp;
when others =>
-- when md_left =>
left <= md;
end case;
end process;
----------------------------------
--
-- Right Mux
--
----------------------------------
right_mux: process( right_ctrl, data_in, md, accb, ea, xreg )
begin
case right_ctrl is
when zero_right =>
right <= "0000000000000000";
when plus_one_right =>
right <= "0000000000000001";
when accb_right =>
right <= "00000000" & accb;
when ix_right =>
right <= xreg;
when others =>
-- when md_right =>
right <= md;
end case;
end process;
----------------------------------
--
-- Arithmetic Logic Unit
--
----------------------------------
mux_alu: process( alu_ctrl, cc, left, right, out_alu, cc_out )
variable valid_lo, valid_hi : boolean;
variable carry_in : std_logic;
variable daa_reg : std_logic_vector(7 downto 0);
begin
case alu_ctrl is
when alu_adc | alu_sbc |
alu_rol8 | alu_ror8 =>
carry_in := cc(CBIT);
when others =>
carry_in := '0';
end case;
valid_lo := left(3 downto 0) <= 9;
valid_hi := left(7 downto 4) <= 9;
if (cc(CBIT) = '0') then
if( cc(HBIT) = '1' ) then
if valid_hi then
daa_reg := "00000110";
else
daa_reg := "01100110";
end if;
else
if valid_lo then
if valid_hi then
daa_reg := "00000000";
else
daa_reg := "01100000";
end if;
else
if( left(7 downto 4) <= 8 ) then
daa_reg := "00000110";
else
daa_reg := "01100110";
end if;
end if;
end if;
else
if ( cc(HBIT) = '1' )then
daa_reg := "01100110";
else
if valid_lo then
daa_reg := "01100000";
else
daa_reg := "01100110";
end if;
end if;
end if;
case alu_ctrl is
when alu_add8 | alu_inc |
alu_add16 | alu_inx |
alu_adc =>
out_alu <= left + right + ("000000000000000" & carry_in);
when alu_sub8 | alu_dec |
alu_sub16 | alu_dex |
alu_sbc | alu_cpx =>
out_alu <= left - right - ("000000000000000" & carry_in);
when alu_and =>
out_alu <= left and right; -- and/bit
when alu_ora =>
out_alu <= left or right; -- or
when alu_eor =>
out_alu <= left xor right; -- eor/xor
when alu_lsl16 | alu_asl8 | alu_rol8 =>
out_alu <= left(14 downto 0) & carry_in; -- rol8/asl8/lsl16
when alu_lsr16 | alu_lsr8 =>
out_alu <= carry_in & left(15 downto 1); -- lsr
when alu_ror8 =>
out_alu <= "00000000" & carry_in & left(7 downto 1); -- ror
when alu_asr8 =>
out_alu <= "00000000" & left(7) & left(7 downto 1); -- asr
when alu_neg =>
out_alu <= right - left; -- neg (right=0)
when alu_com =>
out_alu <= not left;
when alu_clr | alu_ld8 | alu_ld16 =>
out_alu <= right; -- clr, ld
when alu_st8 | alu_st16 =>
out_alu <= left;
when alu_daa =>
out_alu <= left + ("00000000" & daa_reg);
when alu_tpa =>
out_alu <= "00000000" & cc;
when others =>
out_alu <= left; -- nop
end case;
--
-- carry bit
--
case alu_ctrl is
when alu_add8 | alu_adc =>
cc_out(CBIT) <= (left(7) and right(7)) or
(left(7) and not out_alu(7)) or
(right(7) and not out_alu(7));
when alu_sub8 | alu_sbc =>
cc_out(CBIT) <= ((not left(7)) and right(7)) or
((not left(7)) and out_alu(7)) or
(right(7) and out_alu(7));
when alu_add16 =>
cc_out(CBIT) <= (left(15) and right(15)) or
(left(15) and not out_alu(15)) or
(right(15) and not out_alu(15));
when alu_sub16 =>
cc_out(CBIT) <= ((not left(15)) and right(15)) or
((not left(15)) and out_alu(15)) or
(right(15) and out_alu(15));
when alu_ror8 | alu_lsr16 | alu_lsr8 | alu_asr8 =>
cc_out(CBIT) <= left(0);
when alu_rol8 | alu_asl8 =>
cc_out(CBIT) <= left(7);
when alu_lsl16 =>
cc_out(CBIT) <= left(15);
when alu_com =>
cc_out(CBIT) <= '1';
when alu_neg | alu_clr =>
cc_out(CBIT) <= out_alu(7) or out_alu(6) or out_alu(5) or out_alu(4) or
out_alu(3) or out_alu(2) or out_alu(1) or out_alu(0);
when alu_daa =>
if ( daa_reg(7 downto 4) = "0110" ) then
cc_out(CBIT) <= '1';
else
cc_out(CBIT) <= '0';
end if;
when alu_sec =>
cc_out(CBIT) <= '1';
when alu_clc =>
cc_out(CBIT) <= '0';
when alu_tap =>
cc_out(CBIT) <= left(CBIT);
when others => -- carry is not affected by cpx
cc_out(CBIT) <= cc(CBIT);
end case;
--
-- Zero flag
--
case alu_ctrl is
when alu_add8 | alu_sub8 |
alu_adc | alu_sbc |
alu_and | alu_ora | alu_eor |
alu_inc | alu_dec |
alu_neg | alu_com | alu_clr |
alu_rol8 | alu_ror8 | alu_asr8 | alu_asl8 | alu_lsr8 |
alu_ld8 | alu_st8 =>
cc_out(ZBIT) <= not( out_alu(7) or out_alu(6) or out_alu(5) or out_alu(4) or
out_alu(3) or out_alu(2) or out_alu(1) or out_alu(0) );
when alu_add16 | alu_sub16 |
alu_lsl16 | alu_lsr16 |
alu_inx | alu_dex |
alu_ld16 | alu_st16 | alu_cpx =>
cc_out(ZBIT) <= not( out_alu(15) or out_alu(14) or out_alu(13) or out_alu(12) or
out_alu(11) or out_alu(10) or out_alu(9) or out_alu(8) or
out_alu(7) or out_alu(6) or out_alu(5) or out_alu(4) or
out_alu(3) or out_alu(2) or out_alu(1) or out_alu(0) );
when alu_tap =>
cc_out(ZBIT) <= left(ZBIT);
when others =>
cc_out(ZBIT) <= cc(ZBIT);
end case;
--
-- negative flag
--
case alu_ctrl is
when alu_add8 | alu_sub8 |
alu_adc | alu_sbc |
alu_and | alu_ora | alu_eor |
alu_rol8 | alu_ror8 | alu_asr8 | alu_asl8 | alu_lsr8 |
alu_inc | alu_dec | alu_neg | alu_com | alu_clr |
alu_ld8 | alu_st8 =>
cc_out(NBIT) <= out_alu(7);
when alu_add16 | alu_sub16 |
alu_lsl16 | alu_lsr16 |
alu_ld16 | alu_st16 | alu_cpx =>
cc_out(NBIT) <= out_alu(15);
when alu_tap =>
cc_out(NBIT) <= left(NBIT);
when others =>
cc_out(NBIT) <= cc(NBIT);
end case;
--
-- Interrupt mask flag
--
case alu_ctrl is
when alu_sei =>
cc_out(IBIT) <= '1'; -- set interrupt mask
when alu_cli =>
cc_out(IBIT) <= '0'; -- clear interrupt mask
when alu_tap =>
cc_out(IBIT) <= left(IBIT);
when others =>
cc_out(IBIT) <= cc(IBIT); -- interrupt mask
end case;
--
-- Half Carry flag
--
case alu_ctrl is
when alu_add8 | alu_adc =>
cc_out(HBIT) <= (left(3) and right(3)) or
(right(3) and not out_alu(3)) or
(left(3) and not out_alu(3));
when alu_tap =>
cc_out(HBIT) <= left(HBIT);
when others =>
cc_out(HBIT) <= cc(HBIT);
end case;
--
-- Overflow flag
--
case alu_ctrl is
when alu_add8 | alu_adc =>
cc_out(VBIT) <= (left(7) and right(7) and (not out_alu(7))) or
((not left(7)) and (not right(7)) and out_alu(7));
when alu_sub8 | alu_sbc =>
cc_out(VBIT) <= (left(7) and (not right(7)) and (not out_alu(7))) or
((not left(7)) and right(7) and out_alu(7));
when alu_add16 =>
cc_out(VBIT) <= (left(15) and right(15) and (not out_alu(15))) or
((not left(15)) and (not right(15)) and out_alu(15));
when alu_sub16 | alu_cpx =>
cc_out(VBIT) <= (left(15) and (not right(15)) and (not out_alu(15))) or
((not left(15)) and right(15) and out_alu(15));
when alu_inc =>
cc_out(VBIT) <= ((not left(7)) and left(6) and left(5) and left(4) and
left(3) and left(2) and left(1) and left(0));
when alu_dec | alu_neg =>
cc_out(VBIT) <= (left(7) and (not left(6)) and (not left(5)) and (not left(4)) and
(not left(3)) and (not left(2)) and (not left(1)) and (not left(0)));
when alu_asr8 =>
cc_out(VBIT) <= left(0) xor left(7);
when alu_lsr8 | alu_lsr16 =>
cc_out(VBIT) <= left(0);
when alu_ror8 =>
cc_out(VBIT) <= left(0) xor cc(CBIT);
when alu_lsl16 =>
cc_out(VBIT) <= left(15) xor left(14);
when alu_rol8 | alu_asl8 =>
cc_out(VBIT) <= left(7) xor left(6);
when alu_tap =>
cc_out(VBIT) <= left(VBIT);
when alu_and | alu_ora | alu_eor | alu_com |
alu_st8 | alu_st16 | alu_ld8 | alu_ld16 |
alu_clv =>
cc_out(VBIT) <= '0';
when alu_sev =>
cc_out(VBIT) <= '1';
when others =>
cc_out(VBIT) <= cc(VBIT);
end case;
case alu_ctrl is
when alu_tap =>
cc_out(XBIT) <= cc(XBIT) and left(XBIT);
cc_out(SBIT) <= left(SBIT);
when others =>
cc_out(XBIT) <= cc(XBIT) and left(XBIT);
cc_out(SBIT) <= cc(SBIT);
end case;
-- test_alu <= out_alu;
-- test_cc <= cc_out;
end process;
------------------------------------
--
-- Detect Edge of NMI interrupt
--
------------------------------------
nmi_handler : process( clk, rst, nmi, nmi_ack )
begin
if clk'event and clk='0' then
if hold = '1' then
nmi_req <= nmi_req;
else
if rst='1' then
nmi_req <= '0';
else
if (nmi='1') and (nmi_ack='0') then
nmi_req <= '1';
else
if (nmi='0') and (nmi_ack='1') then
nmi_req <= '0';
else
nmi_req <= nmi_req;
end if;
end if;
end if;
end if;
end if;
end process;
------------------------------------
--
-- Nmi mux
--
------------------------------------
nmi_mux: process( clk, nmi_ctrl, nmi_ack, hold )
begin
if clk'event and clk='0' then
if hold = '1' then
nmi_ack <= nmi_ack;
else
case nmi_ctrl is
when set_nmi =>
nmi_ack <= '1';
when reset_nmi =>
nmi_ack <= '0';
when others =>
-- when latch_nmi =>
nmi_ack <= nmi_ack;
end case;
end if;
end if;
end process;
------------------------------------
--
-- state sequencer
--
------------------------------------
process( state, op_code, cc, ea, irq, nmi_req, nmi_ack, hold, halt )
begin
case state is
when reset_state => -- released from reset
-- reset the registers
op_ctrl <= reset_op;
acca_ctrl <= reset_acca;
accb_ctrl <= reset_accb;
ix_ctrl <= reset_ix;
sp_ctrl <= reset_sp;
pc_ctrl <= reset_pc;
ea_ctrl <= reset_ea;
md_ctrl <= reset_md;
iv_ctrl <= reset_iv;
nmi_ctrl <= reset_nmi;
-- idle the ALU
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= reset_cc;
-- idle the bus
dout_ctrl <= md_lo_dout;
addr_ctrl <= idle_ad;
next_state <= vect_hi_state;
--
-- Jump via interrupt vector
-- iv holds interrupt type
-- fetch PC hi from vector location
--
when vect_hi_state =>
-- default the registers
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
md_ctrl <= latch_md;
ea_ctrl <= latch_ea;
iv_ctrl <= latch_iv;
-- idle the ALU
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
-- fetch pc low interrupt vector
pc_ctrl <= pull_hi_pc;
addr_ctrl <= int_hi_ad;
dout_ctrl <= pc_hi_dout;
next_state <= vect_lo_state;
--
-- jump via interrupt vector
-- iv holds vector type
-- fetch PC lo from vector location
--
when vect_lo_state =>
-- default the registers
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
md_ctrl <= latch_md;
ea_ctrl <= latch_ea;
iv_ctrl <= latch_iv;
-- idle the ALU
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
-- fetch the vector low byte
pc_ctrl <= pull_lo_pc;
addr_ctrl <= int_lo_ad;
dout_ctrl <= pc_lo_dout;
next_state <= fetch_state;
--
-- Here to fetch an instruction
-- PC points to opcode
-- Should service interrupt requests at this point
-- either from the timer
-- or from the external input.
--
when fetch_state =>
case op_code(7 downto 4) is
when "0000" |
"0001" |
"0010" | -- branch conditional
"0011" |
"0100" | -- acca single op
"0101" | -- accb single op
"0110" | -- indexed single op
"0111" => -- extended single op
-- idle ALU
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1000" | -- acca immediate
"1001" | -- acca direct
"1010" | -- acca indexed
"1011" => -- acca extended
case op_code(3 downto 0) is
when "0000" => -- suba
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_sub8;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0001" => -- cmpa
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_sub8;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0010" => -- sbca
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_sbc;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0011" => -- subd
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0100" => -- anda
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_and;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0101" => -- bita
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_and;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0110" => -- ldaa
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_ld8;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0111" => -- staa
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_st8;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1000" => -- eora
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_eor;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1001" => -- adca
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_adc;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1010" => -- oraa
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_ora;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1011" => -- adda
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add8;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1100" => -- cpx
left_ctrl <= ix_left;
right_ctrl <= md_right;
alu_ctrl <= alu_cpx;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1101" => -- bsr / jsr
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1110" => -- lds
left_ctrl <= sp_left;
right_ctrl <= md_right;
alu_ctrl <= alu_ld16;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= load_sp;
when "1111" => -- sts
left_ctrl <= sp_left;
right_ctrl <= md_right;
alu_ctrl <= alu_st16;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when others =>
left_ctrl <= acca_left;
right_ctrl <= md_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
end case;
when "1100" | -- accb immediate
"1101" | -- accb direct
"1110" | -- accb indexed
"1111" => -- accb extended
case op_code(3 downto 0) is
when "0000" => -- subb
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_sub8;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0001" => -- cmpb
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_sub8;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0010" => -- sbcb
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_sbc;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0011" => -- addd
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add16;
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0100" => -- andb
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_and;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0101" => -- bitb
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_and;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0110" => -- ldab
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_ld8;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "0111" => -- stab
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_st8;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1000" => -- eorb
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_eor;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1001" => -- adcb
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_adc;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1010" => -- orab
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_ora;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1011" => -- addb
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add8;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1100" => -- ldd
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_ld16;
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1101" => -- std
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_st16;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when "1110" => -- ldx
left_ctrl <= ix_left;
right_ctrl <= md_right;
alu_ctrl <= alu_ld16;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= load_ix;
sp_ctrl <= latch_sp;
when "1111" => -- stx
left_ctrl <= ix_left;
right_ctrl <= md_right;
alu_ctrl <= alu_st16;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
when others =>
left_ctrl <= accb_left;
right_ctrl <= md_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
end case;
when others =>
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
end case;
md_ctrl <= latch_md;
-- fetch the op code
op_ctrl <= fetch_op;
ea_ctrl <= reset_ea;
addr_ctrl <= fetch_ad;
dout_ctrl <= md_lo_dout;
iv_ctrl <= latch_iv;
if halt = '1' then
pc_ctrl <= latch_pc;
nmi_ctrl <= latch_nmi;
next_state <= halt_state;
-- service non maskable interrupts
elsif (nmi_req = '1') and (nmi_ack = '0') then
pc_ctrl <= latch_pc;
nmi_ctrl <= set_nmi;
next_state <= int_pcl_state;
-- service maskable interrupts
else
--
-- nmi request is not cleared until nmi input goes low
--
if(nmi_req = '0') and (nmi_ack='1') then
nmi_ctrl <= reset_nmi;
else
nmi_ctrl <= latch_nmi;
end if;
--
-- IRQ is level sensitive
--
if (irq = '1') and (cc(IBIT) = '0') then
pc_ctrl <= latch_pc;
next_state <= int_pcl_state;
else
-- Advance the PC to fetch next instruction byte
pc_ctrl <= inc_pc;
next_state <= decode_state;
end if;
end if;
--
-- Here to decode instruction
-- and fetch next byte of intruction
-- whether it be necessary or not
--
when decode_state =>
-- fetch first byte of address or immediate data
ea_ctrl <= fetch_first_ea;
addr_ctrl <= fetch_ad;
dout_ctrl <= md_lo_dout;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
iv_ctrl <= latch_iv;
case op_code(7 downto 4) is
when "0000" =>
md_ctrl <= fetch_first_md;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
case op_code(3 downto 0) is
when "0001" => -- nop
left_ctrl <= accd_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
when "0100" => -- lsrd
left_ctrl <= accd_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_lsr16;
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
when "0101" => -- lsld
left_ctrl <= accd_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_lsl16;
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
ix_ctrl <= latch_ix;
when "0110" => -- tap
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_tap;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
when "0111" => -- tpa
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_tpa;
cc_ctrl <= latch_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
when "1000" => -- inx
left_ctrl <= ix_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_inx;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= load_ix;
when "1001" => -- dex
left_ctrl <= ix_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_dex;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= load_ix;
when "1010" => -- clv
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_clv;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
when "1011" => -- sev
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_sev;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
when "1100" => -- clc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_clc;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
when "1101" => -- sec
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_sec;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
when "1110" => -- cli
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_cli;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
when "1111" => -- sei
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_sei;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
when others =>
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
end case;
next_state <= fetch_state;
-- acca / accb inherent instructions
when "0001" =>
md_ctrl <= fetch_first_md;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
left_ctrl <= acca_left;
right_ctrl <= accb_right;
case op_code(3 downto 0) is
when "0000" => -- sba
alu_ctrl <= alu_sub8;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
when "0001" => -- cba
alu_ctrl <= alu_sub8;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
when "0110" => -- tab
alu_ctrl <= alu_st8;
cc_ctrl <= load_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= load_accb;
when "0111" => -- tba
alu_ctrl <= alu_ld8;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
when "1000" => -- xgdx
left_ctrl <= accd_left;
right_ctrl <= ix_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
ix_ctrl <= load_ix;
acca_ctrl <= load_right_hi_acca;
accb_ctrl <= load_right_lo_accb;
when "1001" => -- daa
alu_ctrl <= alu_daa;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
when "1011" => -- aba
alu_ctrl <= alu_add8;
cc_ctrl <= load_cc;
acca_ctrl <= load_acca;
accb_ctrl <= latch_accb;
when others =>
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
end case;
next_state <= fetch_state;
when "0010" => -- branch conditional
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
-- increment the pc
pc_ctrl <= inc_pc;
case op_code(3 downto 0) is
when "0000" => -- bra
next_state <= branch_state;
when "0001" => -- brn
next_state <= fetch_state;
when "0010" => -- bhi
if (cc(CBIT) or cc(ZBIT)) = '0' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "0011" => -- bls
if (cc(CBIT) or cc(ZBIT)) = '1' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "0100" => -- bcc/bhs
if cc(CBIT) = '0' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "0101" => -- bcs/blo
if cc(CBIT) = '1' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "0110" => -- bne
if cc(ZBIT) = '0' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "0111" => -- beq
if cc(ZBIT) = '1' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "1000" => -- bvc
if cc(VBIT) = '0' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "1001" => -- bvs
if cc(VBIT) = '1' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "1010" => -- bpl
if cc(NBIT) = '0' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "1011" => -- bmi
if cc(NBIT) = '1' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "1100" => -- bge
if (cc(NBIT) xor cc(VBIT)) = '0' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "1101" => -- blt
if (cc(NBIT) xor cc(VBIT)) = '1' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "1110" => -- bgt
if (cc(ZBIT) or (cc(NBIT) xor cc(VBIT))) = '0' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when "1111" => -- ble
if (cc(ZBIT) or (cc(NBIT) xor cc(VBIT))) = '1' then
next_state <= branch_state;
else
next_state <= fetch_state;
end if;
when others =>
next_state <= fetch_state;
end case;
--
-- Single byte stack operators
-- Do not advance PC
--
when "0011" =>
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
pc_ctrl <= latch_pc;
case op_code(3 downto 0) is
when "0000" => -- tsx
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
ix_ctrl <= load_ix;
sp_ctrl <= latch_sp;
next_state <= fetch_state;
when "0001" => -- ins
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= load_sp;
next_state <= fetch_state;
when "0010" => -- pula
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= load_sp;
next_state <= pula_state;
when "0011" => -- pulb
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= load_sp;
next_state <= pulb_state;
when "0100" => -- des
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= load_sp;
next_state <= fetch_state;
when "0101" => -- txs
left_ctrl <= ix_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= load_sp;
next_state <= fetch_state;
when "0110" => -- psha
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
next_state <= psha_state;
when "0111" => -- pshb
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
next_state <= pshb_state;
when "1000" => -- pulx
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= load_sp;
next_state <= pulx_hi_state;
when "1001" => -- rts
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= load_sp;
next_state <= rts_hi_state;
when "1010" => -- abx
left_ctrl <= ix_left;
right_ctrl <= accb_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
ix_ctrl <= load_ix;
sp_ctrl <= latch_sp;
next_state <= fetch_state;
when "1011" => -- rti
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= load_sp;
next_state <= rti_cc_state;
when "1100" => -- pshx
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
next_state <= pshx_lo_state;
when "1101" => -- mul
left_ctrl <= acca_left;
right_ctrl <= accb_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
next_state <= mul_state;
when "1110" => -- wai
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
next_state <= int_pcl_state;
when "1111" => -- swi
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
next_state <= int_pcl_state;
when others =>
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
next_state <= fetch_state;
end case;
--
-- Accumulator A Single operand
-- source = Acc A dest = Acc A
-- Do not advance PC
--
when "0100" => -- acca single op
md_ctrl <= fetch_first_md;
accb_ctrl <= latch_accb;
pc_ctrl <= latch_pc;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
left_ctrl <= acca_left;
case op_code(3 downto 0) is
when "0000" => -- neg
right_ctrl <= zero_right;
alu_ctrl <= alu_neg;
acca_ctrl <= load_acca;
cc_ctrl <= load_cc;
when "0011" => -- com
right_ctrl <= zero_right;
alu_ctrl <= alu_com;
acca_ctrl <= load_acca;
cc_ctrl <= load_cc;
when "0100" => -- lsr
right_ctrl <= zero_right;
alu_ctrl <= alu_lsr8;
acca_ctrl <= load_acca;
cc_ctrl <= load_cc;
when "0110" => -- ror
right_ctrl <= zero_right;
alu_ctrl <= alu_ror8;
acca_ctrl <= load_acca;
cc_ctrl <= load_cc;
when "0111" => -- asr
right_ctrl <= zero_right;
alu_ctrl <= alu_asr8;
acca_ctrl <= load_acca;
cc_ctrl <= load_cc;
when "1000" => -- asl
right_ctrl <= zero_right;
alu_ctrl <= alu_asl8;
acca_ctrl <= load_acca;
cc_ctrl <= load_cc;
when "1001" => -- rol
right_ctrl <= zero_right;
alu_ctrl <= alu_rol8;
acca_ctrl <= load_acca;
cc_ctrl <= load_cc;
when "1010" => -- dec
right_ctrl <= plus_one_right;
alu_ctrl <= alu_dec;
acca_ctrl <= load_acca;
cc_ctrl <= load_cc;
when "1011" => -- undefined
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
acca_ctrl <= latch_acca;
cc_ctrl <= latch_cc;
when "1100" => -- inc
right_ctrl <= plus_one_right;
alu_ctrl <= alu_inc;
acca_ctrl <= load_acca;
cc_ctrl <= load_cc;
when "1101" => -- tst
right_ctrl <= zero_right;
alu_ctrl <= alu_st8;
acca_ctrl <= latch_acca;
cc_ctrl <= load_cc;
when "1110" => -- jmp
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
acca_ctrl <= latch_acca;
cc_ctrl <= latch_cc;
when "1111" => -- clr
right_ctrl <= zero_right;
alu_ctrl <= alu_clr;
acca_ctrl <= load_acca;
cc_ctrl <= load_cc;
when others =>
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
acca_ctrl <= latch_acca;
cc_ctrl <= latch_cc;
end case;
next_state <= fetch_state;
--
-- single operand acc b
-- Do not advance PC
--
when "0101" =>
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
pc_ctrl <= latch_pc;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
left_ctrl <= accb_left;
case op_code(3 downto 0) is
when "0000" => -- neg
right_ctrl <= zero_right;
alu_ctrl <= alu_neg;
accb_ctrl <= load_accb;
cc_ctrl <= load_cc;
when "0011" => -- com
right_ctrl <= zero_right;
alu_ctrl <= alu_com;
accb_ctrl <= load_accb;
cc_ctrl <= load_cc;
when "0100" => -- lsr
right_ctrl <= zero_right;
alu_ctrl <= alu_lsr8;
accb_ctrl <= load_accb;
cc_ctrl <= load_cc;
when "0110" => -- ror
right_ctrl <= zero_right;
alu_ctrl <= alu_ror8;
accb_ctrl <= load_accb;
cc_ctrl <= load_cc;
when "0111" => -- asr
right_ctrl <= zero_right;
alu_ctrl <= alu_asr8;
accb_ctrl <= load_accb;
cc_ctrl <= load_cc;
when "1000" => -- asl
right_ctrl <= zero_right;
alu_ctrl <= alu_asl8;
accb_ctrl <= load_accb;
cc_ctrl <= load_cc;
when "1001" => -- rol
right_ctrl <= zero_right;
alu_ctrl <= alu_rol8;
accb_ctrl <= load_accb;
cc_ctrl <= load_cc;
when "1010" => -- dec
right_ctrl <= plus_one_right;
alu_ctrl <= alu_dec;
accb_ctrl <= load_accb;
cc_ctrl <= load_cc;
when "1011" => -- undefined
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
accb_ctrl <= latch_accb;
cc_ctrl <= latch_cc;
when "1100" => -- inc
right_ctrl <= plus_one_right;
alu_ctrl <= alu_inc;
accb_ctrl <= load_accb;
cc_ctrl <= load_cc;
when "1101" => -- tst
right_ctrl <= zero_right;
alu_ctrl <= alu_st8;
accb_ctrl <= latch_accb;
cc_ctrl <= load_cc;
when "1110" => -- jmp
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
accb_ctrl <= latch_accb;
cc_ctrl <= latch_cc;
when "1111" => -- clr
right_ctrl <= zero_right;
alu_ctrl <= alu_clr;
accb_ctrl <= load_accb;
cc_ctrl <= load_cc;
when others =>
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
accb_ctrl <= latch_accb;
cc_ctrl <= latch_cc;
end case;
next_state <= fetch_state;
--
-- Single operand indexed
-- Two byte instruction so advance PC
-- EA should hold index offset
--
when "0110" => -- indexed single op
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- increment the pc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= inc_pc;
next_state <= indexed_state;
--
-- Single operand extended addressing
-- three byte instruction so advance the PC
-- Low order EA holds high order address
--
when "0111" => -- extended single op
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- increment the pc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= inc_pc;
next_state <= extended_state;
when "1000" => -- acca immediate
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- increment the pc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= inc_pc;
case op_code(3 downto 0) is
when "0011" | -- subdd #
"1100" | -- cpx #
"1110" => -- lds #
next_state <= immediate16_state;
when "1101" => -- bsr
next_state <= bsr_state;
when others =>
next_state <= fetch_state;
end case;
when "1001" => -- acca direct
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- increment the pc
pc_ctrl <= inc_pc;
case op_code(3 downto 0) is
when "0111" => -- staa direct
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st8;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1111" => -- sts direct
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write16_state;
when "1101" => -- jsr direct
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= fetch_first_md;
next_state <= jsr_state;
when others =>
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= fetch_first_md;
next_state <= read8_state;
end case;
when "1010" => -- acca indexed
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- increment the pc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= inc_pc;
next_state <= indexed_state;
when "1011" => -- acca extended
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- increment the pc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= inc_pc;
next_state <= extended_state;
when "1100" => -- accb immediate
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- increment the pc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= inc_pc;
case op_code(3 downto 0) is
when "0011" | -- addd #
"1100" | -- ldd #
"1110" => -- ldx #
next_state <= immediate16_state;
when others =>
next_state <= fetch_state;
end case;
when "1101" => -- accb direct
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- increment the pc
pc_ctrl <= inc_pc;
case op_code(3 downto 0) is
when "0111" => -- stab direct
left_ctrl <= accb_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st8;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1101" => -- std direct
left_ctrl <= accd_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write16_state;
when "1111" => -- stx direct
left_ctrl <= ix_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write16_state;
when others =>
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= fetch_first_md;
next_state <= read8_state;
end case;
when "1110" => -- accb indexed
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- increment the pc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= inc_pc;
next_state <= indexed_state;
when "1111" => -- accb extended
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- increment the pc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= inc_pc;
next_state <= extended_state;
when others =>
md_ctrl <= fetch_first_md;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
-- idle the pc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= latch_pc;
next_state <= fetch_state;
end case;
when immediate16_state =>
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
op_ctrl <= latch_op;
iv_ctrl <= latch_iv;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- increment pc
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= inc_pc;
-- fetch next immediate byte
md_ctrl <= fetch_next_md;
addr_ctrl <= fetch_ad;
dout_ctrl <= md_lo_dout;
next_state <= fetch_state;
--
-- ea holds 8 bit index offet
-- calculate the effective memory address
-- using the alu
--
when indexed_state =>
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
-- calculate effective address from index reg
-- index offest is not sign extended
ea_ctrl <= add_ix_ea;
-- idle the bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
-- work out next state
case op_code(7 downto 4) is
when "0110" => -- single op indexed
md_ctrl <= latch_md;
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
case op_code(3 downto 0) is
when "1011" => -- undefined
next_state <= fetch_state;
when "1110" => -- jmp
next_state <= jmp_state;
when others =>
next_state <= read8_state;
end case;
when "1010" => -- acca indexed
case op_code(3 downto 0) is
when "0111" => -- staa
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st8;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1101" => -- jsr
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= latch_md;
next_state <= jsr_state;
when "1111" => -- sts
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write16_state;
when others =>
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= latch_md;
next_state <= read8_state;
end case;
when "1110" => -- accb indexed
case op_code(3 downto 0) is
when "0111" => -- stab direct
left_ctrl <= accb_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st8;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1101" => -- std direct
left_ctrl <= accd_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write16_state;
when "1111" => -- stx direct
left_ctrl <= ix_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write16_state;
when others =>
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= latch_md;
next_state <= read8_state;
end case;
when others =>
md_ctrl <= latch_md;
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
next_state <= fetch_state;
end case;
--
-- ea holds the low byte of the absolute address
-- Move ea low byte into ea high byte
-- load new ea low byte to for absolute 16 bit address
-- advance the program counter
--
when extended_state => -- fetch ea low byte
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
-- increment pc
pc_ctrl <= inc_pc;
-- fetch next effective address bytes
ea_ctrl <= fetch_next_ea;
addr_ctrl <= fetch_ad;
dout_ctrl <= md_lo_dout;
-- work out the next state
case op_code(7 downto 4) is
when "0111" => -- single op extended
md_ctrl <= latch_md;
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
case op_code(3 downto 0) is
when "1011" => -- undefined
next_state <= fetch_state;
when "1110" => -- jmp
next_state <= jmp_state;
when others =>
next_state <= read8_state;
end case;
when "1011" => -- acca extended
case op_code(3 downto 0) is
when "0111" => -- staa
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st8;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1101" => -- jsr
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= latch_md;
next_state <= jsr_state;
when "1111" => -- sts
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write16_state;
when others =>
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= latch_md;
next_state <= read8_state;
end case;
when "1111" => -- accb extended
case op_code(3 downto 0) is
when "0111" => -- stab
left_ctrl <= accb_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st8;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1101" => -- std
left_ctrl <= accd_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write16_state;
when "1111" => -- stx
left_ctrl <= ix_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
next_state <= write16_state;
when others =>
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= latch_md;
next_state <= read8_state;
end case;
when others =>
md_ctrl <= latch_md;
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
next_state <= fetch_state;
end case;
--
-- here if ea holds low byte (direct page)
-- can enter here from extended addressing
-- read memory location
-- note that reads may be 8 or 16 bits
--
when read8_state => -- read data
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
--
addr_ctrl <= read_ad;
dout_ctrl <= md_lo_dout;
case op_code(7 downto 4) is
when "0110" | "0111" => -- single operand
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= fetch_first_md;
ea_ctrl <= latch_ea;
next_state <= execute_state;
when "1001" | "1010" | "1011" => -- acca
case op_code(3 downto 0) is
when "0011" | -- subd
"1110" | -- lds
"1100" => -- cpx
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= fetch_first_md;
-- increment the effective address in case of 16 bit load
ea_ctrl <= inc_ea;
next_state <= read16_state;
-- when "0111" => -- staa
-- left_ctrl <= acca_left;
-- right_ctrl <= zero_right;
-- alu_ctrl <= alu_st8;
-- cc_ctrl <= latch_cc;
-- md_ctrl <= load_md;
-- ea_ctrl <= latch_ea;
-- next_state <= write8_state;
-- when "1101" => -- jsr
-- left_ctrl <= acca_left;
-- right_ctrl <= zero_right;
-- alu_ctrl <= alu_nop;
-- cc_ctrl <= latch_cc;
-- md_ctrl <= latch_md;
-- ea_ctrl <= latch_ea;
-- next_state <= jsr_state;
-- when "1111" => -- sts
-- left_ctrl <= sp_left;
-- right_ctrl <= zero_right;
-- alu_ctrl <= alu_st16;
-- cc_ctrl <= latch_cc;
-- md_ctrl <= load_md;
-- ea_ctrl <= latch_ea;
-- next_state <= write16_state;
when others =>
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= fetch_first_md;
ea_ctrl <= latch_ea;
next_state <= fetch_state;
end case;
when "1101" | "1110" | "1111" => -- accb
case op_code(3 downto 0) is
when "0011" | -- addd
"1100" | -- ldd
"1110" => -- ldx
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= fetch_first_md;
-- increment the effective address in case of 16 bit load
ea_ctrl <= inc_ea;
next_state <= read16_state;
-- when "0111" => -- stab
-- left_ctrl <= accb_left;
-- right_ctrl <= zero_right;
-- alu_ctrl <= alu_st8;
-- cc_ctrl <= latch_cc;
-- md_ctrl <= load_md;
-- ea_ctrl <= latch_ea;
-- next_state <= write8_state;
-- when "1101" => -- std
-- left_ctrl <= accd_left;
-- right_ctrl <= zero_right;
-- alu_ctrl <= alu_st16;
-- cc_ctrl <= latch_cc;
-- md_ctrl <= load_md;
-- ea_ctrl <= latch_ea;
-- next_state <= write16_state;
-- when "1111" => -- stx
-- left_ctrl <= ix_left;
-- right_ctrl <= zero_right;
-- alu_ctrl <= alu_st16;
-- cc_ctrl <= latch_cc;
-- md_ctrl <= load_md;
-- ea_ctrl <= latch_ea;
-- next_state <= write16_state;
when others =>
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= fetch_first_md;
ea_ctrl <= latch_ea;
next_state <= execute_state;
end case;
when others =>
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= fetch_first_md;
ea_ctrl <= latch_ea;
next_state <= fetch_state;
end case;
when read16_state => -- read second data byte from ea
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
-- idle the effective address
ea_ctrl <= latch_ea;
-- read the low byte of the 16 bit data
md_ctrl <= fetch_next_md;
addr_ctrl <= read_ad;
dout_ctrl <= md_lo_dout;
next_state <= fetch_state;
--
-- 16 bit Write state
-- write high byte of ALU output.
-- EA hold address of memory to write to
-- Advance the effective address in ALU
--
when write16_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
-- increment the effective address
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
ea_ctrl <= inc_ea;
-- write the ALU hi byte to ea
addr_ctrl <= write_ad;
dout_ctrl <= md_hi_dout;
next_state <= write8_state;
--
-- 8 bit write
-- Write low 8 bits of ALU output
--
when write8_state =>
-- default registers
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- idle the ALU
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
-- write ALU low byte output
addr_ctrl <= write_ad;
dout_ctrl <= md_lo_dout;
next_state <= fetch_state;
when jmp_state =>
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- load PC with effective address
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= load_ea_pc;
-- idle the bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= fetch_state;
when jsr_state => -- JSR
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write pc low
addr_ctrl <= push_ad;
dout_ctrl <= pc_lo_dout;
next_state <= jsr1_state;
when jsr1_state => -- JSR
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write pc hi
addr_ctrl <= push_ad;
dout_ctrl <= pc_hi_dout;
next_state <= jmp_state;
when branch_state => -- Bcc
-- default registers
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- calculate signed branch
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
pc_ctrl <= add_ea_pc;
-- idle the bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= fetch_state;
when bsr_state => -- BSR
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write pc low
addr_ctrl <= push_ad;
dout_ctrl <= pc_lo_dout;
next_state <= bsr1_state;
when bsr1_state => -- BSR
-- default registers
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write pc hi
addr_ctrl <= push_ad;
dout_ctrl <= pc_hi_dout;
next_state <= branch_state;
when rts_hi_state => -- RTS
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- increment the sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- read pc hi
pc_ctrl <= pull_hi_pc;
addr_ctrl <= pull_ad;
dout_ctrl <= pc_hi_dout;
next_state <= rts_lo_state;
when rts_lo_state => -- RTS1
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- idle the ALU
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
-- read pc low
pc_ctrl <= pull_lo_pc;
addr_ctrl <= pull_ad;
dout_ctrl <= pc_lo_dout;
next_state <= fetch_state;
when mul_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- move acca to md
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_st16;
cc_ctrl <= latch_cc;
md_ctrl <= load_md;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= mulea_state;
when mulea_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
md_ctrl <= latch_md;
-- idle ALU
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
-- move accb to ea
ea_ctrl <= load_accb_ea;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= muld_state;
when muld_state =>
-- default
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
md_ctrl <= latch_md;
-- clear accd
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_ld8;
cc_ctrl <= latch_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= mul0_state;
when mul0_state =>
-- default
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- if bit 0 of ea set, add accd to md
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add16;
if ea(0) = '1' then
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
else
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
end if;
md_ctrl <= shiftl_md;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= mul1_state;
when mul1_state =>
-- default
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- if bit 1 of ea set, add accd to md
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add16;
if ea(1) = '1' then
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
else
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
end if;
md_ctrl <= shiftl_md;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= mul2_state;
when mul2_state =>
-- default
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- if bit 2 of ea set, add accd to md
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add16;
if ea(2) = '1' then
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
else
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
end if;
md_ctrl <= shiftl_md;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= mul3_state;
when mul3_state =>
-- default
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- if bit 3 of ea set, add accd to md
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add16;
if ea(3) = '1' then
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
else
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
end if;
md_ctrl <= shiftl_md;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= mul4_state;
when mul4_state =>
-- default
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- if bit 4 of ea set, add accd to md
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add16;
if ea(4) = '1' then
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
else
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
end if;
md_ctrl <= shiftl_md;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= mul5_state;
when mul5_state =>
-- default
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- if bit 5 of ea set, add accd to md
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add16;
if ea(5) = '1' then
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
else
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
end if;
md_ctrl <= shiftl_md;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= mul6_state;
when mul6_state =>
-- default
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- if bit 6 of ea set, add accd to md
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add16;
if ea(6) = '1' then
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
else
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
end if;
md_ctrl <= shiftl_md;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= mul7_state;
when mul7_state =>
-- default
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- if bit 7 of ea set, add accd to md
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_add16;
if ea(7) = '1' then
cc_ctrl <= load_cc;
acca_ctrl <= load_hi_acca;
accb_ctrl <= load_accb;
else
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
end if;
md_ctrl <= shiftl_md;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= fetch_state;
when execute_state => -- execute single operand instruction
-- default
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
case op_code(7 downto 4) is
when "0110" | -- indexed single op
"0111" => -- extended single op
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
iv_ctrl <= latch_iv;
ea_ctrl <= latch_ea;
-- idle the bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
left_ctrl <= md_left;
case op_code(3 downto 0) is
when "0000" => -- neg
right_ctrl <= zero_right;
alu_ctrl <= alu_neg;
cc_ctrl <= load_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "0011" => -- com
right_ctrl <= zero_right;
alu_ctrl <= alu_com;
cc_ctrl <= load_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "0100" => -- lsr
right_ctrl <= zero_right;
alu_ctrl <= alu_lsr8;
cc_ctrl <= load_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "0110" => -- ror
right_ctrl <= zero_right;
alu_ctrl <= alu_ror8;
cc_ctrl <= load_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "0111" => -- asr
right_ctrl <= zero_right;
alu_ctrl <= alu_asr8;
cc_ctrl <= load_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1000" => -- asl
right_ctrl <= zero_right;
alu_ctrl <= alu_asl8;
cc_ctrl <= load_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1001" => -- rol
right_ctrl <= zero_right;
alu_ctrl <= alu_rol8;
cc_ctrl <= load_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1010" => -- dec
right_ctrl <= plus_one_right;
alu_ctrl <= alu_dec;
cc_ctrl <= load_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1011" => -- undefined
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= latch_md;
next_state <= fetch_state;
when "1100" => -- inc
right_ctrl <= plus_one_right;
alu_ctrl <= alu_inc;
cc_ctrl <= load_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when "1101" => -- tst
right_ctrl <= zero_right;
alu_ctrl <= alu_st8;
cc_ctrl <= load_cc;
md_ctrl <= latch_md;
next_state <= fetch_state;
when "1110" => -- jmp
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= latch_md;
next_state <= fetch_state;
when "1111" => -- clr
right_ctrl <= zero_right;
alu_ctrl <= alu_clr;
cc_ctrl <= load_cc;
md_ctrl <= load_md;
next_state <= write8_state;
when others =>
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
md_ctrl <= latch_md;
next_state <= fetch_state;
end case;
when others =>
left_ctrl <= accd_left;
right_ctrl <= md_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
ea_ctrl <= latch_ea;
-- idle the bus
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= fetch_state;
end case;
when psha_state =>
-- default registers
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write acca
addr_ctrl <= push_ad;
dout_ctrl <= acca_dout;
next_state <= fetch_state;
when pula_state =>
-- default registers
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- idle sp
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
sp_ctrl <= latch_sp;
-- read acca
acca_ctrl <= pull_acca;
addr_ctrl <= pull_ad;
dout_ctrl <= acca_dout;
next_state <= fetch_state;
when pshb_state =>
-- default registers
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write accb
addr_ctrl <= push_ad;
dout_ctrl <= accb_dout;
next_state <= fetch_state;
when pulb_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- idle sp
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
sp_ctrl <= latch_sp;
-- read accb
accb_ctrl <= pull_accb;
addr_ctrl <= pull_ad;
dout_ctrl <= accb_dout;
next_state <= fetch_state;
when pshx_lo_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write ix low
addr_ctrl <= push_ad;
dout_ctrl <= ix_lo_dout;
next_state <= pshx_hi_state;
when pshx_hi_state =>
-- default registers
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write ix hi
addr_ctrl <= push_ad;
dout_ctrl <= ix_hi_dout;
next_state <= fetch_state;
when pulx_hi_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- increment sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- pull ix hi
ix_ctrl <= pull_hi_ix;
addr_ctrl <= pull_ad;
dout_ctrl <= ix_hi_dout;
next_state <= pulx_lo_state;
when pulx_lo_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- idle sp
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
sp_ctrl <= latch_sp;
-- read ix low
ix_ctrl <= pull_lo_ix;
addr_ctrl <= pull_ad;
dout_ctrl <= ix_lo_dout;
next_state <= fetch_state;
--
-- return from interrupt
-- enter here from bogus interrupts
--
when rti_state =>
-- default registers
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- increment sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
sp_ctrl <= load_sp;
-- idle address bus
cc_ctrl <= latch_cc;
addr_ctrl <= idle_ad;
dout_ctrl <= cc_dout;
next_state <= rti_cc_state;
when rti_cc_state =>
-- default registers
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- increment sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
sp_ctrl <= load_sp;
-- read cc
cc_ctrl <= pull_cc;
addr_ctrl <= pull_ad;
dout_ctrl <= cc_dout;
next_state <= rti_accb_state;
when rti_accb_state =>
-- default registers
acca_ctrl <= latch_acca;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- increment sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- read accb
accb_ctrl <= pull_accb;
addr_ctrl <= pull_ad;
dout_ctrl <= accb_dout;
next_state <= rti_acca_state;
when rti_acca_state =>
-- default registers
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- increment sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- read acca
acca_ctrl <= pull_acca;
addr_ctrl <= pull_ad;
dout_ctrl <= acca_dout;
next_state <= rti_ixh_state;
when rti_ixh_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- increment sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- read ix hi
ix_ctrl <= pull_hi_ix;
addr_ctrl <= pull_ad;
dout_ctrl <= ix_hi_dout;
next_state <= rti_ixl_state;
when rti_ixl_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- increment sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- read ix low
ix_ctrl <= pull_lo_ix;
addr_ctrl <= pull_ad;
dout_ctrl <= ix_lo_dout;
next_state <= rti_pch_state;
when rti_pch_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- increment sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_add16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- pull pc hi
pc_ctrl <= pull_hi_pc;
addr_ctrl <= pull_ad;
dout_ctrl <= pc_hi_dout;
next_state <= rti_pcl_state;
when rti_pcl_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- idle sp
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
sp_ctrl <= latch_sp;
-- pull pc low
pc_ctrl <= pull_lo_pc;
addr_ctrl <= pull_ad;
dout_ctrl <= pc_lo_dout;
next_state <= fetch_state;
--
-- here on interrupt
-- iv register hold interrupt type
--
when int_pcl_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write pc low
addr_ctrl <= push_ad;
dout_ctrl <= pc_lo_dout;
next_state <= int_pch_state;
when int_pch_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write pc hi
addr_ctrl <= push_ad;
dout_ctrl <= pc_hi_dout;
next_state <= int_ixl_state;
when int_ixl_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write ix low
addr_ctrl <= push_ad;
dout_ctrl <= ix_lo_dout;
next_state <= int_ixh_state;
when int_ixh_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write ix hi
addr_ctrl <= push_ad;
dout_ctrl <= ix_hi_dout;
next_state <= int_acca_state;
when int_acca_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write acca
addr_ctrl <= push_ad;
dout_ctrl <= acca_dout;
next_state <= int_accb_state;
when int_accb_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write accb
addr_ctrl <= push_ad;
dout_ctrl <= accb_dout;
next_state <= int_cc_state;
when int_cc_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- decrement sp
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_sub16;
cc_ctrl <= latch_cc;
sp_ctrl <= load_sp;
-- write cc
addr_ctrl <= push_ad;
dout_ctrl <= cc_dout;
nmi_ctrl <= latch_nmi;
--
-- nmi is edge triggered
-- nmi_req is cleared when nmi goes low.
--
if nmi_req = '1' then
iv_ctrl <= nmi_iv;
next_state <= vect_hi_state;
else
--
-- IRQ is level sensitive
--
if (irq = '1') and (cc(IBIT) = '0') then
iv_ctrl <= irq_iv;
next_state <= int_mask_state;
else
case op_code is
when "00111110" => -- WAI (wait for interrupt)
iv_ctrl <= latch_iv;
next_state <= int_wai_state;
when "00111111" => -- SWI (Software interrupt)
iv_ctrl <= swi_iv;
next_state <= vect_hi_state;
when others => -- bogus interrupt (return)
iv_ctrl <= latch_iv;
next_state <= rti_state;
end case;
end if;
end if;
when int_wai_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
op_ctrl <= latch_op;
ea_ctrl <= latch_ea;
-- enable interrupts
left_ctrl <= sp_left;
right_ctrl <= plus_one_right;
alu_ctrl <= alu_cli;
cc_ctrl <= load_cc;
sp_ctrl <= latch_sp;
-- idle bus
addr_ctrl <= idle_ad;
dout_ctrl <= cc_dout;
if (nmi_req = '1') and (nmi_ack='0') then
iv_ctrl <= nmi_iv;
nmi_ctrl <= set_nmi;
next_state <= vect_hi_state;
else
--
-- nmi request is not cleared until nmi input goes low
--
if (nmi_req = '0') and (nmi_ack='1') then
nmi_ctrl <= reset_nmi;
else
nmi_ctrl <= latch_nmi;
end if;
--
-- IRQ is level sensitive
--
if (irq = '1') and (cc(IBIT) = '0') then
iv_ctrl <= irq_iv;
next_state <= int_mask_state;
else
iv_ctrl <= latch_iv;
next_state <= int_wai_state;
end if;
end if;
when int_mask_state =>
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- Mask IRQ
left_ctrl <= sp_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_sei;
cc_ctrl <= load_cc;
sp_ctrl <= latch_sp;
-- idle bus cycle
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= vect_hi_state;
when halt_state => -- halt CPU.
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- do nothing in ALU
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
-- idle bus cycle
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
if halt = '1' then
next_state <= halt_state;
else
next_state <= fetch_state;
end if;
when others => -- error state halt on undefine states
-- default
acca_ctrl <= latch_acca;
accb_ctrl <= latch_accb;
ix_ctrl <= latch_ix;
sp_ctrl <= latch_sp;
pc_ctrl <= latch_pc;
md_ctrl <= latch_md;
iv_ctrl <= latch_iv;
op_ctrl <= latch_op;
nmi_ctrl <= latch_nmi;
ea_ctrl <= latch_ea;
-- do nothing in ALU
left_ctrl <= acca_left;
right_ctrl <= zero_right;
alu_ctrl <= alu_nop;
cc_ctrl <= latch_cc;
-- idle bus cycle
addr_ctrl <= idle_ad;
dout_ctrl <= md_lo_dout;
next_state <= error_state;
end case;
end process;
--------------------------------
--
-- state machine
--
--------------------------------
change_state: process( clk, rst, state, hold )
begin
if clk'event and clk = '0' then
if rst = '1' then
state <= reset_state;
elsif hold = '1' then
state <= state;
else
state <= next_state;
end if;
end if;
end process;
-- output
end CPU_ARCH;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 09/01/2015 11:33:30 AM
-- Design Name:
-- Module Name: tb_counter_4_bit - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity tb_counter_4_bit is
end tb_counter_4_bit;
architecture Behavioral of tb_counter_4_bit is
signal CLK, direction, auto, tick, load : STD_LOGIC := '0';
signal load_input, output : STD_LOGIC_VECTOR(3 downto 0) := (others => '0');
component counter_4_bit is
Port( CLK : in STD_LOGIC;
direction : in STD_LOGIC;
auto : in STD_LOGIC;
tick : in STD_LOGIC;
load : in STD_LOGIC;
load_input : in STD_LOGIC_VECTOR(3 downto 0);
output : out STD_LOGIC_VECTOR(3 downto 0)
);
end component;
constant clk_period : time := 2ns;
begin
clk_process: process
begin
CLK <= NOT CLK;
wait for clk_period/2;
end process;
uut: counter_4_bit PORT MAP(
CLK => CLK,
direction => direction,
auto => auto,
tick => tick,
load => load,
load_input => load_input,
output => output
);
stimulus_process: process
begin
auto <= '1';
direction <= '1';
wait for 40ns;
direction <= '0';
wait for 34ns;
auto <= '0';
direction <= '1';
wait for 1ns;
for i in 0 to 3 loop
tick <= '1';
wait for 1ns;
tick <= '0';
wait for 1ns;
end loop;
direction <= '0';
wait for 1ns;
for i in 0 to 5 loop
tick <= '1';
wait for 1ns;
tick <= '0';
wait for 1ns;
end loop;
auto <= '1';
wait for 30ns;
load_input <= "0101";
load <='1';
wait for 1ns;
load <= '0';
wait;
end process;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity sub_488 is
port (
result : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end sub_488;
architecture augh of sub_488 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '0' - CI
-- If CI is not present, the operation is '0' - '0'
carry_inA <= '0' & in_a & '0';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB));
-- Set the outputs
result <= carry_res(32 downto 1);
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.numeric_std.all;
entity sub_488 is
port (
result : out std_logic_vector(31 downto 0);
in_a : in std_logic_vector(31 downto 0);
in_b : in std_logic_vector(31 downto 0)
);
end sub_488;
architecture augh of sub_488 is
signal carry_inA : std_logic_vector(33 downto 0);
signal carry_inB : std_logic_vector(33 downto 0);
signal carry_res : std_logic_vector(33 downto 0);
begin
-- To handle the CI input, the operation is '0' - CI
-- If CI is not present, the operation is '0' - '0'
carry_inA <= '0' & in_a & '0';
carry_inB <= '0' & in_b & '0';
-- Compute the result
carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB));
-- Set the outputs
result <= carry_res(32 downto 1);
end architecture;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity state_machine is
port(
input: in std_logic;
reset: in std_logic;
state : out std_logic_vector(2 downto 0);
clk : in std_logic
);
end state_machine;
architecture behave of state_machine is
begin
state(0) <= input;
state(2 downto 1) <= reset & reset;
end behave;
|
----------------------------------------------------------------------
-- brdLexSwx (for Fusion SCS Kit )
----------------------------------------------------------------------
-- (c) 2016 by Anton Mause
--
-- board/kit dependency : LEDs & SW polarity
--
----------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
----------------------------------------------------------------------
entity brdLexSwx is
port ( o_lex, o_pbx : out std_logic );
end brdLexSwx;
----------------------------------------------------------------------
architecture rtl of brdLexSwx is
begin
-- polarity of LED driver output
-- '0' = low idle, high active
-- '1' = high idle, low active
o_lex <= '1';
-- polarity of push button switch
-- '0' = low idle, high active (pressed)
-- '1' = high idle, low active (pressed)
o_pbx <= '0';
end rtl; |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.