content
stringlengths
1
1.04M
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity abs_1 is port ( si : in std_logic_vector(4 downto 0); smo : out std_logic_vector(4 downto 0); se : in std_logic_vector (0 downto 0); s : in std_logic_vector (0 downto 0) ); end entity; architecture behav of abs_1 is signal nsi : std_logic_vector(4 downto 0); signal sum, sum2 : std_logic_vector(4 downto 0); signal c : std_logic := '1'; signal t,f,g : std_logic := '0'; signal nse : std_logic_vector(0 downto 0); signal smo1, smo2 : std_logic_vector(4 downto 0); begin -- s = 1 for convert -- se = 1 select u1 nsi <= not si; nse <= not se; sum(0) <= si(0) xor s(0); sum(1) <= si(1) xor s(0); sum(2) <= si(2) xor s(0); sum(3) <= si(3) xor s(0); sum(4) <= si(4) xor s(0); sum2(0) <= nsi(0) xor (not se(0)); sum2(1) <= nsi(1) xor nsi(0); sum2(2) <= nsi(2) xor (nsi(0) and nsi(1)); sum2(3) <= nsi(3) xor (nsi(0) and nsi(1) and nsi(2)); sum2(4) <= nsi(4) xor (nsi(0) and nsi(1) and nsi(2) and nsi(3)); smo1(0) <= se(0) and sum(0); smo1(1) <= se(0) and sum(1); smo1(2) <= se(0) and sum(2); smo1(3) <= se(0) and sum(3); smo1(4) <= se(0) and sum(4); smo2(0) <= nse(0) and sum2(0); smo2(1) <= nse(0) and sum2(1); smo2(2) <= nse(0) and sum2(2); smo2(3) <= nse(0) and sum2(3); smo2(4) <= nse(0) and sum2(4); smo <= smo1 or smo2; --c <='1'; --sum(0) <= nsi(0) xor c; --t <= nsi(0) and c; --sum(1) <= nsi(1) xor t; --f <= nsi(1) and t; --sum(2) <= nsi(2) xor f; --g <= nsi(2) and f; --sum(3) <= nsi(3) xor g; --smo <= sum; ----only U2 for now --sum(0) <= nsi(0) xor c; --t <= sum(0) and c; --sum(1) <= nsi(1) xor t; --t <= sum(0) and sum(1); --sum(2) <= nsi(2) xor t; --t <= sum(2) and sum(1) and sum(1); --sum(3) <= nsi(3) xor t; --t <= sum(3) and t; --smo(3) <= sum(3); --smo(2) <= sum(2); --smo(1) <= sum(1); --smo(0) <= sum(0); --smo <= nsi(3 downto 0); end architecture;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BK14RtyK5x9caQhKowoeq0vSImP+S2YFAOt5+CI2ttYmjewkKoIjUwLEc8n7GjiM85M2nuh9pd+b 1u4B00vChg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block b0ytnGUe9wPUEQugI4/Dd5SX1po7EQvbfEz36MJFp44r0hNCyP5jC7RbWiVQzxVglmzUpwrC05M4 L0+GjlE6sTG/4cS5fDY98udpvjGQ+oXxzK45JAf1+OV95OCLD4dMvf79ueOpGP38rT5MUVAruyZA 0KveaRJU/kK990yXSmc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VpU/WVHPRQYNayjiQCX7HBDFLzXvqQ8fNWb5jdERiUCT5BSek4bUfU7O8R5+jrQCcXWZjCvOQjiD I2fEBm3eHDbd7QKUHJCSnWMzMgsKb09ON0RjWYKHO4L3piKgK9Cc8gjK8zgTV3VgFlBioHe+8NkJ u8qduyjAQn6rJNkEm2gDvFQQNwca2pSkpLE+J7JxLXVrE0YbTg+0Edy/D0AG5IwlJUtz6Va5MJvi 8jD9JzsbsZxOKc+ge41dATekgDPD1EPSE6HTTck5UKUd8GGbUvoL48PgwskKt2RsyDL/aM6B/UBt wrj41/PBtYu/xzITLX+wHdwrp9ZBmmQjgCcE7g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YX2rIlvNtRjFab705ZbLBONx05Vi9Eo2ufnA5fAy7e6iVn+Cpa34xAa+EodjDUZNnnpfKVo8jQfJ qJR2o7m+w75E13ChV5MGSkUHGEKUgyzeDdKVacPo4oFyMAFLAAUKPGT+vNN2sl1WlsRH7nE3+dhb BM1qGU0A/8lWBQqBQ5Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block teUjU1IDzXLQX5WAbldWTw3JtMLETWwFFzM5cHXEkso97IVq+/sy5gmAe/UAOSCowYlwSSQ31AbK HnRpxO81l+QLnGO50mlYVoUsM3qKsaPf3/zemm/GgcXtYOwZGhneVFrUhR71RO1osIVZNbWQDM0L 93gnvtMKBFJNqAEFdk79CgWBHe66L0q9epimYDE8XbXJPK/78SviKBajrotkJ9MI8prrfw9z96Lc 5xEaR+pQ5aWRfagg1Xbtva5dnOKrBLr6MhvWY+nvKR/vl5jocn3d7FL4g6778EnsyIoKB9D6v7co KvGYjTsBnsRe2WnD16FtbUt/Xcwom+rAPc+K4A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21472) `protect data_block hp0fWmmEBlpjoJsBVSgR2iybI2fg3btMMuC2H6b7UjFFGqmFkEeifdsdOP6Tngc5Tf6cb4rAodhd MoTgH68kR1L84OVgVB8wqYFz9rm0mea3I8GMyZO5O1q3pl1LN3anqZndSvIG/MqcWP7ZyZdsIxu/ I4b3Q7BU5aisww1hf5It9lFJpkLmk/uOjAi18JQXnoFJOhorAxcOpWudoYJGtElUOpZ+vkvf31TK wFuc0JPRIX+neNh37MuPt19VnqtcMvK6JIhFWywPHFJbYw8JFlIO2wIsBBeJY7n6RKc43FmGcR/U lKrxsTNvhgldfBy1U4Hduy+0SU5xdrNIaWQIQCJAQZIAu7SyebC1AkWYNL/GLoyYTTETiEMjnrYg gAzgMf2nw9yBHJtW8wqkgDW+qoy9LRnspdE6EKNd2q+uC41w7Frjd3HQzl+dNncpdGug4PNd9a1m O2xJLoAdVTzzo7NIvWNoDcv3JHHWlnwqntBIX7Nsvq7p5X6ZRqZmBPcXumFVyyUrFWnRBzdw+gay atQuBNrQdVxBV3Mi+hM5lqSpTwKbb73QCKVArF1sagTabPCuG6e09nK7juXkS9nAu3uLps+WDV8H EwkXOYZLigA59ZzjXLf/76BSGQ5kwZVXUlch1XE7dGQ40eCzVldsVpcgcCJRxd0es+RpMHTBXMJV IoUE1ILfrZAiLYC6vAWCSUSJTx8bbNc8U/sv/AMLhVJHquCbZCwd3X2g/aiQhURqXrSTTjobohXI gy5P1pMIy/kNF9WYbKIXNReDT4FVgWtd/0LeL3PeexavvaDpWw7+iMGj/BgBTRiRuOLhj8/RpIf6 /V84cmCOs1bDP/QwiIn1syck87ni/sEFnS/JVt4jHNtkAoOGOkNGpRI3whKbFzhicEZIZlfqfPsE P5Zu1swUD9kK+zoezyKSi8pm/W4irLQ3tbiGv4tRxJPdZp0xjY8tUESGTnfxop19Gnq28QooESz5 kwHBwGC4qrIzZToLSXHyqh+TxBve01GgC6qX6zbK2/Ri+z7WEqdyq/EvLkX/hMBBorCShuIYitoQ cgLTZVdbEMZWz0ni8ClVfi+Id270Xq1Bsatkbbi83gluhFdASqOPlBv8lHYUocVYHdZYTKUIwXbA CU7qGDHwQC5WMumurhkv1kVqzi5YwRozPR0ryzsjadfkksNlISVi6ODD0WY6d+3MOhoUvch/68js YIi/p4XXKurCJPRGVkD+RCeZtkG65rRNIbBvuVjWdZS/a9TLYhRPM8phMAUuzJDn7PP64uiT8RDj DjP+ztrJcZJCEk2RnUGo2ts2l37f/h8eKz7G6izNN+537VUQnWkJ7vgFOrLF6w1zroj7xy63VJMn cBK0fnt+qSlDI4rYc5BtkL85RcxCZSxhLKN/8t4A8YFW1bnlXBiY4ol+4PIsGgbK9Aq3AFwAS+H7 Nfoq/sOCTOR8QcsRpm3IWmkYfoCT6WLADLNx0dx4CujcZHVj0tI+y+KGQiN+jDI0IJPBYlfcvCjk HtSI7QJP3qXpZLnlEKn1Ai3VY5fmVVe2xYFY7cCuX3XN1v4hFpefiqJ4gGzyNruIvJ/BMGvjIf7d GBztfmDZl85nogCBc2kYG6WJ4z40fw7qKPZcAMytfn7J6RJtSfdjsLXTcLRv0Em0IkhKWf2ve8Eg TCuMtDM5zGKvti9Ea+2+3xXAaicQyYERaY5fdTP7scgH9UsW1IplerdepeftWjRE0udn2HWJ5Ebk YCbFr80PFjyqwjMcQoKdt96EUCZLJMvq+H3dCv+JAnHG/jZPcjNk5euKAU41FZpxfPBrZBioezu4 x/Vbd3Ri8tiYy1s9bZemvaprXRgJOQ6yv9rAohmgtDbv2Nb1/USlMLMTxSa4Oe/Zi6ESQjfpqIe8 9Zl49ACWmanjgwWfu9Xuyeqv9CMsh1KJuvWPncXnsNcfQzAALZc1iMzCxzutC/P9tXgZLHeqfZtt ad7Gb5Jh5qMM8Qk79zGBcrDj3rLO7/GQX3FEw/qdNUHQ6WVlEQWlynimvqUwkcuWUGEZkV25R5vF E5vyrXrJ6oJmm+/PPCks39NVVWfAOTIqGH0ZDpg86XHm6IYRExG7h+sPN6vLTLkzFVHz0jRswMyk R4tuk2uSzDEXLC/wH3svtluZv6rzeRVxuKBmtF8DNPimWr0SMlWa2xdlprsJCMbJaFFlqM1laXVb QauRSO18K5Rxuhf2cmnqTEyGBmdZk9rcWMurwsCcVnoAnM0D6e0qTeW7eigFnML6UEDdex0IfSrI J8mIyifcXA9+A/5dtlyHCsF6NYcLsKY8L6BYbvi8RH2KHeHKMQtL/mJcsgSiGYGt9gB2GQjgfV3d 3n40IqAMxJ2sW5CyBP4S+kCTW6Ozq8ckpwKCITzjaYMRh0FJkJ2kVDKu7nDq9l0mJn6DWYl8Kquw W5Dn4zONpDBQKvu8QOGqZIDCWpaJEytd2d4wTLRJKVSVc3t4BIWNLa12ekGi5ddjPUZywF3Cc1in Lv0DsWfO3bjCX3BoXtRtdZKVJD3FxF9CEypjOlip5ICROXYtW31wBJ7uEtDtGB/+7KIuEdjrN0Hh Ej2DTKI+Faulrt/+vSgP11UGJDX+I7gR0XZ2x7WYNvUL3YB6j9Q2j+dT3SZJ4pBQ+Qiq/1pHGXjT npBXnezvLAXukEI3YvFqXPhlm2HHm/la6Ig5GwXYD4QmauUkswzJ47B+SgaTyYGvcYVTfAftSUHD 289yLryWq+snHxXJHSmltJR1/MEa8zgPKZcDruAuA57hoaKGHvZDiwIZkG2NqLo9TiSJ3agTeYwC dY7Ia6rYt0/RdvMY518fD9hT086cxQx/H1qo9cjhVckzN/SCwcAFzniWKCzsPNiU6/CTeg05qEfU 3+qe7DPRyg3Cc7JvrqPWCu6BymU5RbvMODtL9iLD6vXKDWaVDamYBMJYORAxztvFKKKZwFiEVJFV 6cWOuqDa8nttJHu3aqIuHlXokegKufTI6JjWztXkbp8qarWRWgtNxOQhTzCVrwyHv9WYJrWApr/D 67iayfafHZcqfgkseZW2Baxi0vuYBkJ59NqlKFAPguacwyCa8xbESY43hPu65/kqunjBVGYljLmy tuOFPVfnoQKeV2aon/8CLT7YZZK3mFjseU9Up900xn8xm7WJl7u96RX51upoMXr6FaS8XEJmSrVK S+F1UMkGNIDVqzQfRIJ03Z184gAitDVbkU58aWnUwQBTczxHqqFWFsXxTq6ibA4sxR9igmKX51qn fnQvcKiDgPPxjrMLl24ZBT5/HZri5hU73ghzC+nOhXUINLbDNzuf0ZTaKnAKOednjJs+nMOlBSxY qXNW5leO8lyBXaS49bkGd/+bcvrW24mc1IDBSLwV0j5zNi9j0lu+UMA0rC5SPhia2KxAeu6k8/nC gJ5o+pIu+Gi/EfinjWluokFWpknx3Pb1NiG/PUuPcPVjCMeX0FNYcRUHe8RrvVgNPadvUog7V7Rc WCWXbPuxZ9cVF6OUhntfRlQxPBs06K5CiabobjBF2QUbA2EkcvCfzmcO6H9evb0G/3F1EP0xBHcW T4kFALYGs9rgPgI8QLhtGWWmGi93RYPbFrKWoa2j5r9TzzhDm5tWuRzbf8RI4CYIeaiiw6ZVJmFi pEMTY49gFKBkoP48j0FM30Gkh44Wi8nkL9I+KV4FagK2q/4yjWt/m1v9xAmOV0Omnj3wImNXSnUx 02VCQ4o4M4Vz9FsIJu+Q5weJ46bMV2ngWopF7/el1NXts4dmDQbHyr06BN7BvubaxPc4rPfnQ02n yp/4WWlB7VugTBwtTrHVu9d4Ky7Sd3lZEhq+VCZGa1b8u9pf82gtmW2LJsb5EEs+C3aRv2Or4pxq w0wgQ9goFbwFAtuAsBKCA5kjjwVwgXnjA+m7ubcPfWpUC2zykoXn/V8aW8rm/2gZ2tN+sW2YFtVd /4EZLVpEiTaUZ93sOZEeUyC6RtIThlKsvG4+F/f/6PHGoNnb1yjn6Ovz/gq5eCsyQAIPfGUjSJ2g yaxG6UgrWGL6kq+H37MPMVvkmuvuAMBd4SonHIbgXvw3V8DTXRALeM7IK9P0tgmHbQdj9Ftt6CQ3 zIX4eCNthDH35pON+h37rug09Ds9p3IaLags7mN4p41KptpiQr80CG7rJcetSnyLvM5Ye2bjYM3R Vj6et0Zt5ySObC8CpSE7Gs+Du3EWmzY7hsZrQhAYWJOVSy7wSQJXLKrg2ABgWqcMhutRr+NKKeQ+ jHiYixJbd5IbkllHyYoYLE+T0RsiMjWvbhzipzDlQsf1mFyKill91bRwcLh0T3c40HdQXK0kEACk QeLuUjADepMZsbyf22+6QSmOEx3Qmm99vcJEmaNfpuM87bID1cCXC9gtFk/o+mv9TrCujOvbjsjg 4R5hCcGf2Ej8rPLDjE79S433o8I4fnFrFVGieREiwoPN+UusO7XrsUJyP+VR2FHjhd13yvPYiNRs iXR6u7u7+Zc504XUk6uiQZUoWMAbC/zbJLsuZinX0K7D7FIkpr0utEI0/SeTD+e4QtZ9LdsRV4U/ GtCoF1V/XcKbXusMvrZYbK8bI1hL7nHIPJB7nubYkmN5lTjXw9t43Nu/zcU9t/h6WuTqcxGB99TR y9zUProwbmFx13NkvEHhKFdoDFYOPjgzNq6LpT0ipjVu2JNv9L9w9p3ku56oxo0H1tLwk/J8V3dB +Zy8NPVTaYOBCbKjvl25n3thf/aMASIjcxGLWz9JClb0+Va1OA/kiSjzf1iXJPY/6ZQU+G1sl/BB bPUm9bNa6/4ahukZo4YPnFwlh2wXGTgoVk+9UASEjAQTspUJJoTOFcc3u0H7rd0iQGRtATk16l0I Lo5GxwgS4Xqi5ZHQgt6swYdhhJMLZYwYrZU0rIhB5g7chJ2zB4i2C7DiFoojIcLHUDJoIHPAizYu inLaiZV/KIBRLKmCyC+uRmrwVCeENkZ3jsHkK4SRwuOmw4DPjyQyWVU3OQqVPk5AiGUhlTJOSdAp eqtpdF7Q6xq72vJ1PQDMeNMSBaXnhJEFtuCDv1Qr6exwMBV5938XXpdVe2S/Dtuovlh7izZpCcNH RwgAdpNq9/+1jdgy5m/rsFX3kPUm/ii82rakg2RYkgjuYp1GrMcB1EA5CzuTxdsw3JOFnRNrxI0w wTwdP8bzAviqS5BvWvDs+x8L6bYpZa/+uhI7H2WHH1HjvQN4qdfPGQSfyaXtQP4QeeFFnr36DjZh BfA1W05AFLcfh/CHGsXa1agff/aGxnO25ka6/w99kYmdfPVi7h9lYp2HeUMF0OqenPrkQRmqAAVJ s3pS9NTbAnUR41192QlDSf2Cegd5rndlC2Plf1IUyrUiVDXaBhN/7c4SQEB8VAtGBZam+ykapbOf fmDSSOeoZSGLdGT4XMNor4gZDABpooZQYpQN087IWVvsxSrWK46EOAP0GNL9gY/v2IGZzkmuiUOj Xgmq8jcVmejAIR1Ivp3p1pwkrjNiuUnj/oGTXQcNZPo0uiGgdfQallT5A1TIRN1YLo4Tk/CEp8H3 XV7SSOkQSP8M0cXLPYrXU/qWj/Uwtn2bmCxTgyhy8bg5KJmDa7uuLR94fmIwPqzSdk19PDe33M// 2dIsg1V4qBemCofzqZAaSErug2TJY9992YVPwIdw4kDYIONmB0E4DiEP1Sae3AMBJQ5PlXMB2M0W kdGhb5r34sNLEeHx3dEqdew6iQM73RxKbYaxgw+XgZ44TKdX0tSu1gIiV6jeYKd8s2oV4e7NDPWT /DNugn0tMfmzAgaQMr5ETcFbLxDgaSlLZRj+ZaMhe5xfwF7NfmY5YuIN3fpYPe+6x0inkb7Jy1c/ l6xNZgbaJwEshn08ZPjhEoNQFrPdrFPgbQ2In9BCUjEMLqUieDso4q1DWOOODf2GBD90au13nVZt x2yueRplMipfsceDfEZLKjnfhz7h3hYqLxw4YfblX9mrFqQ3r7qcIWm1LTfW+ao+yfC9HFj1Buqj aaXANU0fx2HOuS5vZOp0jqSb6ZDRRQKCHRjV4fRJ5E8+8a/DUA6cK8kDkfXgyjXXqqOFwkDcnXqg REK72cuUkbbKBEYu28VvFrbBDsTHXObY35llHdN2gUbUuJuxD249FBdzVIIi9phyj2xHEmq5fSH3 j8CSPNgMiU1l4QMbM1FnJXmnkzfCaQateQO26PVXP6Gvgh5c+6I5555ihfwVXsKVKbZDopAIHdJV GP5CWWrDmktTQ8D07rE56jO2wng6ehk/FbprJstyOhEBHRdiCsCwem1GUG+43s+Voi9G0LEEcAU7 ZJhkjokJ+VM7mGbm6zoYuY9KM8dv7dvK9OEvd/HuhntfUlazTlw/fsrPumxVqcZfw08C6pqnlrWn jlrBuW2DvCzRbwJ5aMgxZKrm3gbuMKALmxieqL5CwAgmzKGdKY8/EH3uWbAZZonbOO8N5AYHgeSD 3aI+30L5qKlaSj0xKJxguYw41PMgUzV67laaBl035HtEbZ84aOyD8W+GQ1pGuGimqnLbQsDxEk5m ivKHVjKCVCyBqN0h9GVA7TI0Kkq3mv6roV9zUzG6Qw1gK0AdiO4B17G/ivgGvJ/dmzK4slztpmHv Pk3fjCmDfChG+kpdan1yCCdkm63Z22VfgkGjKXhImGzJSeIHltc04JWaAjNbchgYpGprRcl1o28u FQmL9wThvMy8rRst6BCPoDX3NhmNL+ySV/MmqXQDhKFhheCXYSMj7A2CykojF2Kft//Xa0i7FLZa WkIeHuQh23A+QEVHO7wE/Y9GNKn19MZvKzfD6bTvta9ySxlR+scuGH0Os7W2qEHJXY0kRtxykmt8 8OpEBb7Y2yJ4BBk4RRQ0bTIpqWQo3iKJgoQeLJw+eIYL+VVNRk+9VSsWFLQkk5hpbZndkrw5ahtJ 3BlAU+fi6wnEb19RJMFGpXDiu5yiJzDMMGYWTWvjpE+wLIHqRXOTqwbnGY0I4sWrHGeOsC4kKAiq IzPiq9blo1aukOnW8HQ6OmSyOqFAXIj+s+7kkc+WSnI7TwLe6bKy2hn0LIK5SH1O9/ei2uW/ifBk Ii/mrzLbv597kiehRwkf1YUCQ2Ty8HjscLA65IKYiux+HF6G6tNTnjsvBGEYs/Rb8gCZHhPET3uA VIuvh8/0WqjePUf4WokaD6Tv0OYOgeN9L9dBI72mQ1crltcBwP9ZSifUu9p+Ik4KV9haud1ECQjm YT7pQTkYaeN3tvli6Z/I2g0Jr66QVoMsHlSrDS+YQzTIOFxAD5+pGnnKfyg+K24Tx7kv4/pK6JVH mxXPUj7y6JZBzx2wEDmaEMaC+tn2HKaP+7unvSoC8BNgrcoovc0yx9vlBlwGVfoa9k1ICa+j59Je nOQRfust0ctjY+Z3dqGWNYFTInW8369k6ny2KE5Q2hIRIBr1QtpNvNXkL/ve4/b0EiMUJQQZYSfy 0CO73XNMj/Ee4qFHRVRTl9CtKiwXk5jR99hYKjw32rPm20RcjfiGFH9XTGKMTqE4LLaGpZuUDpUM pPEahqswO9QL+Tu+a5j8XQKfL/GZNLHWB4q/15yv7M32oJC2oKHtzmUu1dB+kDm4tBotDJwqbdzL 4fx1mBcH0BCm6QqPbPRTZ4wNkYX4wYIalLjw2FSKf7w7FUe1oh2eUOrqvd88a8s9FiNh85mpTsGF HTmUSDXtgDNFg9XLzc1k8AHxSF1Gy7IAvre2o3OetiQmndoSExOK1QQRhFS41mgrT6gMMkKwVJBj 5vkNMN6gPTl/+/6UY+WJRQqLL6Bv9pIQPkk9VTiEfHtFlWdfma+7QOunB/R6XNmQv1G+6Bn0cj0P U7EVKbrq7txSBHVSrpDHjDBtIr7tGZJaDVwvx+3tDeiBc4+S/FEM2ulzIwI+G8GNkP2f/d2DlRrY rGoY5jCun9hfdJhiA1cdCqGpGS1oAePZ96AQfVyCKNCb4tuiSluNIGTOLiJ8gCuPcEwKJsTzDGf6 2p6IqMnETsBrEvu/T11RmVBQvFBFsXVwubZ5jMfZQgBU8bB3uTzWuwhM15b/Bs7WF8LA6Y+qxI3K EQ/u8cIGtsakInsZ5KlLwSepUd6Zjprezr6v0gWR/dIcLWcbD0HlLWAb3I3fyL8/9CnOQ1PPwyH9 BueJiQftTg/MgPQE4ki+F8zm+iIpMa6HMaEJfkgqmbPSvDPwFsavG+eJ0DqPxgoetwHNnQr9rtFG Fzh27DIzYa3yUCruNtl96jgYXRDNQP5RFtZ6GTSeBPrHO5pBsKysh6CsRcG0Ll8bO/0371UJpKLE ReFR7x6gdDa6LdTIe3vmUU19sFRnwQE7w4pIdTew+3BMWJfPxkfIC2jQOxlrUwOc/d7P++38hOIC fi8wI79wBYa2f6PPoorKU93IIp8B9dT3bYbOAyeqTtqhgd0xbvC+feGm6SByS0uG4yganNxo5drc /G3KF3+BvG2ED1GGYO1LRdc5HqwFLvF2K8BkAf10FLuAKB4Smgxr3ewZ4yh+m27vTVjk4VHpDJxq O8q5zqlKYlZyRDMQBGgjU3brpnKcVlZ19E/cTXyl0H7Znspon8Iczer1oLmaZ5aww5fJPwyX/iCw Hy7b12qPsi1Yni2zkQCd4OXFzM7qOlF/pTvzIhpKnyLVwTAfJb8s7NZxQzIL1JAbKxgItbdgooT1 b1gr55CgiOR3tf+PP9Exq7tw0PzSBzF7E7qne+Gqo5GKETvVi1hXsjErgKbgxAJhabUnvTk7f7YV vh6OLg8THmQP7Yu7xa6HzO50pjl+G+ugWSAr/RVANdC7PFybMwYqxmWYmoThWpcxrNIvoNdA6Vre qhrc9/idGkX0y8ADaABj3hkK2CMeR1dYAMsloXmVysFjQ/yjXG7fFPNPQ6Tekl+pP2Lh/CJpYqlz uZQDvLJYgV012lugeg9c9CnJlz5rWvs4jzjpE9YWmnGuvmDhA62G1QeSu1etjOA1MWPQu7GlvXwm FqJt2l+HNcQhrK4s2l+pVyXNf9GCet3BYeYanTnoBCFwP0zsV0KgW44E5wQp2j6VPV824mWG0zL6 taK3BOwRfOEtBB023CGratpEJxrZS0q3BX5uC/+L7NtQr390xMRbLMYpCZqV11nfohrZBeDqiEHx u6yZfkL2oGZFhPUQu1X+C4jPyLpPrDNfARrG+FyhCeb9zocpD9d0rS6apXmbTf0PJLk9kBPI4xBB d6BONPCCUwAa9rSGmFyz05AMWN5GiYoW8D6K5LpZKxsJDWqwZB65t+8I8Qzg17iHp+XU9vpbhmoH yogbny31wumAWGoV3EXeVu1tzo1mFDEQ4MmvwtC5lTRjyoEMzorWtAEArCO7kDJZ95ZnkNL1WECf 6VFskk3y5R6F2lXTdQfy8YsdEMVYIXNzUtaojmdulIRIk3mPyGa8cFgCMSRUiKOpy8+wYxM57ERl Ki4D+KWevKbaxzy/iyfrRu6XQU/gxTWd7/aiDfbl+SJjEJq9Skz/Bvbsc7nSgu+egTq23CUEZ950 LM7tfepPB6SKIgoJCg8SsaiqUQPF1KtwpUpi7JX8g2WLku7f4l+o1OamQQ4FQGwG7t1zm5vQfFY2 +1vtTFpNfR3AWoOAfV7HTBvoZZFxjbubj08WkL//oyvwS3H/J/EJwFjsxBuzDRQavS/4xeYVScmy Vib3C45a+7LezNUJswgwDOOff4crgdwbZ/+yId0FDDqZ1lXWvJqP4sm/v1KCeyUcFr/pJcGbAUi/ lNEiosMO5pJJPzt/P61umIl91mHZYxpQfG6fhgZ+StkxKACKYyWtAmiiAgTqkRLfHTL4iEikg+uQ 2d0Ido+r+G3CNc0Xx65KQmsv39aVmuql4hBk7AIBp5L/BudatGpEBTXm/HrLg2uJJF0T1Vq56jbp wtIHHYsMCLWaTSx9j4Sq55LUb2k1qPj5urlvsrxb61DwUMWv2ISFD7NZzpOGbHZ1+bK3t+RKbK/3 DmeZiRTcC/NyiqPwFLtCIE30EWDt34gFtwjCbgbTn7zvGk0bfHFK5V0bgDZ4BE0wENgFFLifl1EK n7go7/YJQhVqDliYKzspcgCaHzi76ysM7kkaS/NCyuYrYPBEVhjb4AZUMvKwjxnZzqnRoTnx8l91 4ovxxckNgsDG00lzVW7beU2pqEP/yzc0oj5NX/ofP6gce8+l77Jx8uOPFg4dbCIHYqg8zylrG6Vq phQ8BxPxPkOjOtONC9nZzEQ07an+PP+5OJbptoAwmgMdHS/ueXH+BBWEQHrXJDF9RRRw3X0EYzAb OSPw96yLCext0LTthKoHVIHSVWoqvBsgC3qbsQnTkw1wVt2AN5Tr8c6By10nUL7/QOQRvaS6+qon mb2pxcIF4ggszqzO6SuboEXzjiPN6oubSmipdlxrC9yEmZLFxmtXavYyvL5ElqvjXwX/3rDxoq7l kPsyFqurF2V8P/syYZaXPfRkvQCCu7zOiOpBkIMeN+dA2c8rnoswB0MRZfaqO0utTT5EsF0SdIxe 2Wha+6jxaeaSt75T/0Ioor0NqGLzalPqk+wKvEXBO7sUMYyjSwmS9BJTYzhoTaksXml0e7kjJKNm bEGqhtE824prUPkxLK1Kk5yFboXyZT/HM5mCb2XGNwwwXzekhI1wref7nHuXZdkmPT7NQBjW828q stWoo0ecv5R9RDHRl8F7AEeWSUotrKRAfLo5pNiDhqPRBjkfgLLDyY0yL+heypTgWoiO6eFwhE30 z2Z7Q8R/h8BcwODtNqUX9uI4yTEJDGipU0lUn4LEyuRPNqjoWWtbcP8RVtuCiDmDb75jR5g9bUgo r5MHzVmiNg4YXctr5NFTqzvPxATRQ4YyhhGFpFq1ocaHjadyWIS4DIgksoGbyosZIJZwWR25iFHb IpjbhqB1vspbcPafbOw6phho+MT2tYZKJ5tJieo5uCuKHUKRlEgs+ZWIctCEzg08VFUIOZqmYPnx ZjiTvB9U5/zo7tRcbYim0hUBAGB4s3VwY/HNORTKjemBrriUfRwbUftNFS39VpZeo4rSlA9frl8x bzE9DHO7JhgkxBqfobEp41K4rHA7zztpiAhao0mv1ave13w8N8Ee69F3p5T/46Ve1i83pR1nPVzA +KtC1d7ztoeVIqzysefeP9jwaV9RRJQa3a1gjiIpIqe7Sh68abT35vrTRC2dXFSDHh7X5Axxhp/t 7JDw7IJjz5XEdDxRxE9FkRdtP7Ius6xdFqq8p12iVSbwjicnuKLbsQkXSHUCul/enm9Fqt3XUQtj Y+WD4LXcQKV8Fu/YlNcEE9WgZhS3zttUZqnIOGsvs5eIHX5OTj8qOE/ooJ2PtRiTi5ryCV/7/GAx trQoycrZa4VaKYUzyIEYSjW3uWyOhJ5isUM4DxKwg8RpSHCiLoyQmP3O+9PeBtmsMTw2Z5Efz9h8 00hqoMOKsbN1cRb5B/L40xdx1uE37OC6gZchhaYmEZ87a5kX4yxf81vs9eCiyrqkCRlOrop7QxN2 nK/ydeG0eg5OWxudYCVWxFCNCQTuxjiI4EwjgV4SDbnayT/h8BYLIyuOYIbiVAs+vQ3DS18J8pFi bK7y7dLzW0+jmxDG44tUvrid1xEWEe+3mcPVB/DAE0Wlynuk8JDYAacXRRc1tS/57tN351/Euc6m zTwvtjeydkFB3YaRR1BOUBvZnb/wYexvmxK9BmRZkSlmyXMT81YZ2sZ+spgSq8mOlFCNWDcKCNRT uHYL/sd6UtKkqsYrHX6CwwAU8VQ9O/U5Uf0/bfM8T4994h8bzU4990bUCy52PXGeEm5iA8CANnh3 xJhZOvlsKB3PhZ681fL7c9JFDWB5feEPyiYy8lUPdkMYBPtuoYSH1daXS/LwVTfilI7G+XYyCYDv qj8ccXZoEHJRFrXqu8071ZNRavCKQxjM8vN3GzxT0AHNHKSp/Eg5HTahC5NUjBzi8WgelZFnkn93 mTesC781PljHzq8KzIvicjfozmTjQFsHh1OfgJ3GWkSaId5gk38PhqhqqDzpw67dFk5i21c29rBf Spf9oxxQC8TpvsfdeEeezHxy8+CV1U2lCdHAqLl3fxMVh68FvcDB4yZwHl7+ABaVxkHxYYyrATN0 Px4Ijmf1c2wZejdZwr1PwD4LameqLt2jRqf8sCbO35gHNCeacP/Hqk0KzN5g2JXV/m6vpuET9kNI yWsAv34qE7PUT1QkIXM4zbDuz6YXMXWJD63U33TmWzcc9k/wa9bSNxZ9VGqUa/ATF15sVvhhcjgm 7AciseER9opf3BcJA/ORAWuP4QcfG9402gFLox0sRAVFxzi+r9MkXzLX63VZ5k3FGOcw2d77MKhX bj7FFLYcGCymyIoGYUAxEBUGyP3E2kWw3VVFbdiw6NJAbZjZNR4B3ggxG+8Wo4UBJgQqlW7kpG79 vdL/acAfp/D7u9L5M7CCPyPqmpUG4FMK78gyVP79grMZ0cw6Z+E05HRyw80PdYVpr4xEsnW/kad5 TuxDnA2VuDxsTTt8CJ2oC56hHk5AsFCM7YE4ZPbpBwq92MQwN3h/7IBKOeMwjqW5BSfTSNnbojWU mcsHHJJibJgxoMfp7G8jiqKAmZ9AG0kfgwwaGGyRf7W1WiPkvk8ybzYL90OntNm8JlgyguN0HcJ6 kFgPP5lI2wID/myVJpp5DfFj+Lot2Os04j32t6hVcGGDHu0N41eDdAxQsgAkRpAzZl18LDUFa0So TyfzAqGqujCGj7qxdUo/vnVHO10s/p2aGCGjaIlCDKPpUOxNAaXWIr2JGMkZFhI9zGokMfPmb144 OARfRmFbtVCBtX3mob5pCtlm2A2XvHSYjM3F31ZUbFW3LykRE2qadmYuEnDp6fpZzzf+CM5M/n/D f3phOzaFRcaWhlgFTQ07AAsO1rNm7sRPFpp0VnXkMKfARLkPGK6cNxHGl+Cs/xYpg4yrRl6Xq6hY L9oRniEQf9Cr0lgqSddeKXwpMvUoFu0bY0/WZmJkgknV8Miii21bZngHLTDGkjrgSCPff1fdMC/7 Hhg9u41g091gtkhdO36LINpqbhnXrPQCAtaxCNnOjr1zC5BgffpgZiH5+DSpC3REyH/zJRt3K4YS dbczZJlT30UDAYQBKY2x/uPwIlaG7CdQmpEfvwcxgUaTxAx7kC15gxyhsAQkgVw1DAi4FgjEv03n sdnw6/Y2+jDP1MbJxJRe3hGjA918UBt5/0XZgk3dfcMd6N4ywUGg20IDCjkd9lXEYGOhHhbLRxcY Wsj5qjTCBcQ16Wv9pf7K3vljNLddsZfuphrfZX4v4nbh33CP5jHfl8aTdUIJIesNNSr4YR4mXhkh lBnE7U9664W4sAjMeAHp5l/HU2TtS9uqCuBr7WQWSnLzoLchPoKiNnFXBrHbeXU0jSj2fPcPpVrj 175jpwTokCuQc5QdP1qQxxbMHQbGjaF9XFpO9T8z84ELCzU7PxsTbAZPG9lWPSdqRDMPqloj3KJO d6Sc8PUJBsBADP5ZR8lZKf7LL9EvAKoDKAA2uSs8fYFPmkhdNSPSjd3Ii/Ft5jW4nwasj1+O7eM3 bbL0FFDXMgkOLzMJnmSmWcYtVXRmLJtEBLVY5uMqbhWjbYvilpLhc+rwvacFTweGPcC1JAmUeqvT QTvLZzQLMFrpTTXq2x/zT427ihvbbAspHk8lqPz4CiJqYgPfrhpAoLjcnaMLRg2K4bEDj8yA5pGn B2zauJ3qMEiMcYf33a7PmXZqoG367GA0SIs+TDjZL/KS4KycD48/lBP/oVWPJZ5DG7J2Q9h+Ogbj 9VJbxwyitqev3M6gQ1ckKxfDrIsKtE9vKDFbB39i/DAhNssVjyc1IwCZb8AO/S6NV9YnlJT3G41k zZFVDYGLK9nE3wd0PayaDG3mnkimzfNU6mD1Nqmr6q2ak+Wmc1GAE0e8s6arJqTzEPSsW6A3SNvW K5bfn318pBHiV1UlHctyD/+NuyhtEpZi601x3VH4E9UexNF3488oDU9MARZFABBwHLL0fng8NL5m eSreI9bK9vV1m8Wdzy6bhpo8MzKzu5wuZASZZfmNOaHIOE1+MH7tMsUxtmn52ZebH3o5DlCN1wsV KbLgZ5GgAX4+OAVJUN5HUZtEHJEH01j3/VLBuPsECBK4pimImqDY4q7UxI4GjczYd+GYJvHYe53b J6hPa/qMUFm5VBLJLu48DRHeeVzqmvUiIQXgU1natAiObSuVNIw79EYGzyLnQu3ECAZn9VMmSD4M frQjOhfh1baFB5OSKU/1CknJ+FfhgFfeNh8sC2+c/DYLb2qESdUO1FDXKVV/HDage/Xu5kgnUCjb RtCNAZraZy4o7SVhRjfXsTXfX7JBhtELbb2faJkkN+3AklIA8HfMIW+ku40BG3ITdujoM/1on2NY CFqys2nOqqzCSaOzENis6kyOtaRg4CSZB7IVCC8WxpM98H7mamJhTXuHr5W/eoxr0ckvLPWGoGBh hpcmmmd7WXLniuq8FtwOwFvtC7BdHJKnKgIShmfnHXMls1OAAWg74xbEmzJDyunoLogCmYVDqXBV 9wX4NAPe8O9GR/NNShtnl782S1EQQeK7Q9AICXn8Z2Mib7hmDn7jxlo+SmHMZeAP7TyNCMQoH9gN VAOhwJIcvyRf7nbHcRi8QwmRLUr/d6573z8jNC4jLFua7fQPArT/KgNxnVvYoWPHoJ5S63WtIy2Z Vy7RmxJ2q8RZ14NHsjmziAL3C826SQhDz29F5s+6HQLWGr+EonpaEnbQnywdK+bGXVRGvKPIkfkq YUTJjwq6qmhDocK7XPt6DEQUCuHcCnyZVaGg5ApuyPtT6egqzJwEKuZNxMrl+JPgQWIl8GNSd6Iy QTElka045w2MHInl8BALcMQLffl1fzRnwcijjO8mBQN+gkDOeZy1GdBiu/Pg6+k9odUj+VmwrP5+ GOPQIHLfBjy/MjEFwdVSphpt5IBIrpeNPncL+zUgCuyrw/N7UrJwGDNXdQymxMVy608F1J94qv+U 1xc5Jtzh6AwkE2gkFMMf4umO3luInGJ43Ep06vD6SbeGW9o2mgTCG5CoJZrOBd7F+frosNreMPIx L26FgLAEyNlEz0VH8DkIjKRSCHImU/imYvjQujPCkmi5sCu46+mbeXhiqnELrriu5oSlO93QEpXl nR4dlVouWx0O4S2+uyFlZiRzWXcwQhBedEqbKytVMPiqvRTI8HGFVV+Emh+bJehaM+nwgp1U4Ww9 csh9EtpMAkMfqLYHQEa742omDBNH8RGfiOubOneFhx2H6oETifbxgtMg/xXNNBgCm7nEz9SOwAmU 3gY4uEJ4xCUIM2T8lf7M06U7ViKHBa2j/CKyz2dgCCr8MCIrrzcn1AFu+vD2b5ycfqsIdT4yN6Ty KmVe1YhBx3F1i0R2Kkp44k8YzU9YF7gcRGM/J/wVa+QUiCSTk/EKe5lyGvgtX+y/MrVtFP/fe9YJ ra5+ydcLZHmKpsxxqS9Awh2K59p0MKV1XCzsFey48GduefT9yBoTG6D67ESufE94onUfjpJutlEE ZtgKBngPpYoxPvX+vguXhtO5DwYvuhbNNZW0ylV36TgQ1pBzzx98M9o10QxPzDjYU72s4o0XiFH8 +yxJygtbslOnx965XAYYx/WutPe6IdmBnNtkFVwJfZIlFzTN21JFSLiaYzgBsKxFZonw+v5CstpF 3V9BMheNqdm1MZxMUdy2oGJANOsx58GplKD1Ls1hc1IjpKyZp95w9xYDpmbdxLekO3A8gBfQQYY6 eFl12k//P8D7wlEvv0T1jof79VrSPPFtnfU3BXI5w0LByyhXy0qE82lFuO5KErmBYAHIC6CaE15N /91UVI1EUL1NbQiJ3WJDusXCgvDxV+Qwzknj93xiIXBEO5EK1is79V0sMXOeFNF6xqO09+4XcuDc OXvV0N8fhn33H40v4qgShDVTYn2x9Jh1ccWMQP9jkcgHCVfX5hWNBw7lo3ZYXewMJNxVp6J1Rx3A /VdHPyRQBGFsZMtyHG3hGrHf8tzKDMNiRXdABpMj/NhkS3d3xiE0T2YcPmEy8Hfrnznne8quA0tM hCq4xiYiMGl11doEXsqUJxjGTjoKG7a8F+qy2HugW/hprWaiQaKTLv1IWbRbYWWUBIkE28ONktan zzULyjhdlpzsNcK3EjV1WKvUeVG5U5oa4/hshPJBu5po1X2mRq56C8zh4OYa4w0FupUcZ0K5kJWn mL5w6RxJFr3VtWlv1rbfBuDNtrdVdTpGeTzdyWnyrzwCIUkRSe1QbNrTAuN00UXKIU+SiEfHB8AR 12VbGQAK0KbMOgWshueRoibwsXgKufcEIkAhEzz+Pxcv6GMQYSktXQQSEWP9vij0XgXanKsOtXus oMQMYpDLaRmBbngf5Hohrmh3aUl2Sv9WM9O6kMw425R8C+Fv3nLkSVAyyZf1UgBIv62eQzIGXfN+ zCrMk9D/5iP8NqE7sX/MOc0pm36oss9ofQmE4M/YpRQIYFEk9ANvzgKuVFWxTVunsnszEE6I7pGR Lk9jg56lv3b5cpgc12RyiITV4ov6O5L1z3Z+SiHlEPrDniYLhTdVRjNVCOWWpFgWLoCuUPzv/KnB wRAYPZg06ZUGUegMZkjOvt39s+Fe21iwhZ9w4rKB/ouBcZg0QYRRpZQxKmuNnuKyFGbuyV86IZh6 tHjLXa2/U1rQ6Hju/9Qku1xqdIbxYqQogorGh36JcJJdqwY4YYqizMvDb6qyDRiBxxBaHdqZo875 cnf8PwkYLe4zguE/sUcnP2W7a30HgJ4qjCZ9FmssfSf4ZxFlwB0W6vjn4J0+rcTtl/boCOu6Fql/ yO8jr4evP9dvQ4OK3JqsmaNTYnQTyl4olDzqmOO1ilEkk3IyFKTfCmRhYQcIt7Ne5tLewgK9PV5G sytfp1keO7nfS8Myz9nCL6e1/CwxfZx496mmBmoJEfjSRytDsVwDq2F6UCqGKZCViddca+6Oxr3W yWbRDUAx/THghyvGjFitYjTt+gvhMP7HBUczTEBVTqcb8vqDV0pbP+QBLdPsPHN0mKytLv/M4xF9 7PyZG4t+UYkKAiwSpVjJXdubQiZNCrt3n57pWPE7dnwiocauoPRxMoy/fzhn6IC/o2soDOPFH9f9 3ZEKlcmhCbWfmbJvlpLzPxsvGXxdXu0uJWF/qE3h+fOgjiet21pXcCfDpaJa70a/OpyPY0CFlngI ZzOKdPbJf9S5prLeSAwtIbrK0t1gmQu8BdDiAs2iTHgcaP078xoCtTVjYoSLia/gzHg8Owk2wTBc GRJyhSaqsaMN4nBAcHvGHSdMndpEfHpXaYWF56VDNhnRkrlScpK1iG03R+nMTaqqjLuwmXSrQOOR VFeMK+YEvD+uQvmd5zsamKHMo+L5fas7Fcf0PPPDdjDzYpR6ooE7p6ENBLOA8WTHRaPPgYL01eFj ZfrupxW7yCZnXsywnmT+kr9hjft8ejLEhZy6sv2DJUChq28iD8gjvB9S5hS2MqzbpycTxMF1nmdT zr1YWcMj2cDNkHdOScv1dYj2+XnpDa6c7Zv7vMvsyo21IauSOMGEEbo9Kvd10i7wo6cb7HcJR50K MvQ99/cDsmKVMapNin3AElK0LibV8HxeW4zueO6ai2gmlqXYxUZqN+n2uoYmA4y3RpBHmT/lhDSH jmNJgbuTzOlnMMpwrVQFwGCmdt40TCPZWGgJPeZh4gztYIZXWiRWaPmUd9ZgZeuVGSKFL9ME1ct0 +BPApDe1S0hSD2QaWL2HhtJ35x5Aozbg+mJRqG8nqj3IoToxSWwVnFatPHqiY2jHGHCDgmiZh4Nu h5e0cUVppvBgKJGIIM3XU6FpyzeU6y3k/+hEjo8VfxWO0M2WQcXsywV9/Q3C9jAa4i8OkpXxqvkA 7L04J8lFBPjS0BOilKnN44aDwdYZ2kD+g0wels7ys5LuJOy0+B8jYF96kEq+Q8mpfBHK2sVovwcA 7ZABuPPNjtK079CwgDSeI2eXQgLsBm4J4sAgLRGa8IoQatPJaoSSomuYq1tz+82zD4Y5oqAgbytW 9rIw+FwXMuQANRZaz0ba6TEIzQSqYkojOPWIccKYTpmsn+YzY6pblnQkzf3pKDU0KxUCOeBw+4DJ pWX9F6hby6PEm2nTbJddsOCIwJn6wCz+5VY9/xzcrpAYcO/mgWyH3wApjTVBSbNIgbB4Mpw9axdM vyu2cfWYMsUXS4Qj+67Y5pwJYGget+a+n8fp2TL2RY3dzELwd79F5e0s+AyZP3UvsfkgzkvL0hTR cxEykIYT3jrkLYLqG1IceldGGupPRCQziR5Pvqb1tsLGhJs79Lb83vLgXt9QMr/u5dHswQQ/Q5jG tCWL0i5TDeYhFDoyXjXIu5sfYULuBkJPuCXOQxg1k80paIwOJ6SEgnAttGw1rm3akoMB4K2DNrbK 36Hsy6c/8L06LkiGEyryfTYXCxFPFpGoUQLxhBIbLRyeURg01iplu9v1Cvt3hKdXIJymeYi9HVzi xtv3SyleDM2KOt4RHvgILu3BUqH34WvQTLr1Q0dMboLMGrgyu+ExdqHl9stqfJWroYFSgKLpMsxX RJhlAwISd8drTF3tzijFxeWEdYl/o/KJnJEA1p5AckVAHB/3WjQyikOHNBiAaTf7TdzQPK/Qr+X8 V+NpOscyQ7+ncSop1FrjiY5m6obp0gAy8XrxnYPJrmE2fN8+7O6nVi8+Iwdfew1XMDHqGggF3w+6 PftmggZpPzo+tcx8s7OGCtQdP9/3e5CiWUUYCpHSLowfwxW7ZnKByr6amInWOLKzWcNz1N1Wj60N BYCLHL6hdWbuBtMG94zDiBDPyzK7NN+pfV1Anjs0xQZbfMVWYaI3uGPeasE8S9P0+nreHoEj8biH HgMjmLbw4iG2XRG8tc91//HgtXHBE0hUStSRlp0vn/Ug9C2eStfkPmNefJPYEtSBbswojefqZYqS EpohsPWIYXdu6UI1izaCWNfZU/5qMdPqGKuLnpDbXdnP3wzJbnx1FQz8N32gzcBZ3nmt0GbDDZ82 5WEj8xJsvvGK6gpIekDinhrcnjAleogmJ3U3LFCPd+GtRo5bu9ar7LR27iv4gS4gP6SXCAyWQWji cf/0thElJM6f90dNpOe6W14jNHQ91XElQbi17ZCphNuKioCa3Ru8UfgnQPloLKNLIU+CHW1JOdTD pcgCeo6G2uBoHbQgqLbSvzXN7rFv43bysfY2w6IHNtQvb6q6avCSnp2RRjhS+X8r1l5m3q/7vvWQ kb8xxx7i/EApI/OryeHhHuSHrManv4A6TjQrsxmUBuFXWMbAapxEgmsHtoqDgrlKmo732129RTRZ Pdr6VoEC9yBvkPlRGcZCUMZTzat/uOG8/AZntPXvvTXf+f6iTgK7E/HRlCinow3fsxq47GLl+6Zg D+W1S7cxe+Mbr5ppDK/LPzdhouNph945VqZD0oAIdg8vK165DGCwgiMmhA2XfDQdrJtQlv8e6zSU /2E5uZ8XlRr480QF31+IIoRB7p2N8yOxbw2DvSvTzAJbmFzNb+RvAP9Sb3ZAE/e1VUFe9O4OBydW 0KNL0kQUaKoetg/jZ+9IW1Z6GkYwa1uzpMaQUepeZM8hXSH50ABxynM7YjNHGtB3/E+KHu7LipZP BaBlDSPXnYo+UAJ4JnowtW4DY0U4Zy72bUl0Sbw2iihOmiK6PAYbJAbqBTSMvRW4qklSYuMB+ddd YNKgirwATyNHmKdBhRsNEtYZQVkH43W3OYRRIdHdXHxcHdi6cF8ifA8MFjqvOk1j8NkvicydJbex KCBGNNu71QKMyT63iW1k0noGNWIOi0tjmgvS0r8h4r/K7ylQtuqLEidWilXxXYsLg65q2Cpp5tUg 8fYjhvJXlmgBzGkbzDACLb6yZs1xwOfbdMBfLsOGNg6CbAwVO51CqE2I8OsE166n0rTgwE5wI3yS bzPelq4NcKqL7XgGZBAD9ZkD5clfkVX3gYyzl1j7+k8WVYn/tEUyXlJ1npeA7aNuIW9MD+jWxm7n YS3VkSNjSm3cZ8dKqoNgeY3KG6NheMJ0yBL+YtgLadefe9N1eznhE0JR2lHdVWRjX+lyHUf+MRYd DYkkpFlt16SBcDYLDSeLy9UDbjHd8n8dpOpguhKndwncRzGINrjEwqGU6Z3sTcitCI9EgoXzzx10 HxgsTFOMdIShjJ853Pvl3mA8FNQ50wi6NmuUSvjfLChl3GXnoKmtr9/AdUbvLTzj7ssLyG2a6N9G ERad8O9kDd4kk/4T6IOg6tZT6ZrqakDvLBt5TbUt9iDymtPYXoVK5H7YtvRAAaDP9ynDz68TT5WO FsY9sVEg25QoYmrZ9KDmSAKVnsRzKOclrNV4KA7pn0nabtcUBVlUaI+1k8enWHUKIOqVzNnM5iDC fwTgYge/qfHu0NyQQekwgOxHCVY3Wub0pQGoZjEAANaTULSRni9sDNvzT1WzUTpxcvbOWgw1XKHq g0rXJ0oiNLQfvDZy0SDkXeKoMzXG9Y4hIC7J06XyL9M2e+ydClhbijQuRjNCEcNt4EqxUv6FV01R BvLDYXkE9k92EHrR9LP8FtWV8gLAAyQDAsVh0hvzWWpS9yWADvORpvUNwuREtPqT+xYpDuooS7vd SLTgDjIHjB+O3OZ5EgW6UmAXZJrECmXMachi8uX3EpYUWotJuWOpjtATVQEOgPTuRODO3UEK86EB t97WZn8u5CYZQQSfEO6G3fGR1hq+D2lGzPYmD9rWl9+1z9SKScPGi30J2Y0W/C3+NP0Vmu7LOMR1 Y0zDf7aXs2YjN6vaFJMoQUnbNQiHcw1HB0N/ikdhJdpelK4zqJNPkvj8Oeo+hTvkU3eo8ColI95G VvAN/7RwtRVZLPEA+woKEQR93QnfDtBHhKF7LFWTuCBLklZ2wgcoT8TXrZLjNFIZQgyFDUZuK6ON cdO/oXJh0AF+6pJIBIDnetVtVqbPD8OjMxowssKu0iKhIOoaa5gPb1K/UtNwnCQR51QuF8Ykslk1 tz++VkJfcVjud4CZdDeNi0gmWd48XpdHVW1qfW3qS1+p0maQeg30hcMbh8zSHg/c0E9vIRWrGliU 0OKviRTZY0rLFKpoCeqO2NwK20XA+SVR+afmhQl68XNoB3dH/Qc1C6jmRBuQgVX6SQ8NMIsusw4y vx+Rkw5q9LpYAm/jCH9/KoQE2Yv5o7ergChwdz0Wv4gvU2ahJOUMY8bOtIBIui1vbXCrtVzMljva I4hcLLPCRVyNNyG5LS+cmUwzMHZ2947Npagharr1PDMrMr1h/XXYtTpvYJyEqeVv7gc5h3rv0Dpt NvsFWZmdMswZrizDD20vM95VAxmj7erUQiR70XziR4CSV/UQIvi7u9/xbIMh5b2LNN0XbHx6a+C0 tGDkRYgKML7vtbqdaETNSxeC94q6irhLabwPuOBRb4oxV/+EV2t2+kfxzR/NFzMRXeC/BklAkvDT nSqHuQc6yLn6HSUE0cD67kM8J6hxXeDH8Sz/dGNW00VVu6DN/k6ixmABOMIog+u4G1oueqE7HzTn 7pLwzr/mqDtJzAdxmenJjvGO1rEAWUD96FZdHw0fASzQvj60PQEzyVBycBVRE9e6qtEfQJMXScJn cwGi8kq1Qql4u4wyqCueQ1dfOx6ihF9lSF+EZJ/hmJ+2EPZRxoC383DFMrphvRALLM9ufka2bj4z 8//duFEaBZ7qh7BfC1Cfdi/JXAhG6LSt2g3HAcuBqYv+H4W8sBoHggQAejOH27w249BzG3QzrJVv HRyKcEEUSnk9xjWZnexPKKKgFQGUyXgOIyDyc6uMNMRBHLIOElh1kekGZTB37T4CKDOqYnfpAUGd yKut2VuVqynYGNZ3WSHwiRyNePepK5fhaDp1zKD0ezNvEuFEyWMJz6asXBZa4/uvPYdhHujuIe+i wKwc1Zu58/mxr3QxKYdg1MyUTTcntFuXEdcG7xtDOsGXE6rTVMhc44vi0o1aMaX1G/vfdHbQrQFT 3mSnmqm5WT0qSUQ3XFyR8dWs5M4/SvkfMI2uhp3AF8LymcwYCnsvCHOwCoM6jPCui4jtDUoxpeRt d2q3P0qwS6kSd+9Pre7dDrDM3Gi1asVX9O/8XDQ2a1QGr8RhdoQOUpsfpFSllBKJ3dBbHWokxAoK 8EBxIlBbmPQu7uQolk57OdksdrV5wvjcMUBQVeL7T40AA4QIa5kUZ/n2nrlWriBeSL9w4w6PbFey /7b96E6akj0aMHpPBeWFN+KtdxSFQTr3nXF8VrLVAzeDtW1Ev57XRoXl8wf3Zk2SQCS27WsVCsjF /w1sPNVvg2relsO0pQr2H8Qd/XASeRkFsy0v6o91TwY4vuH/D/BjGSwsmASOYHX67H6ycgthhidD PXufogUYFjuLOUUNphZ+j5eD0QJZdEJVgNNMyQQFkV34ej2vTu9004Ocb9Uo72lmZkCJZp+ubOqa 6XvrJuVVqRBlhqeyIeVwd6ZjRc5CCvUdn7Hkcr8j3oO9kBnSNB1Nx3hL5n4hOnaeRGYaE4YAYzmM fsWfPF/jj9hkXJtdroSLY+JuUmALJ3PcNhkyP8cMCy97U7AvplcelSN+PsTWS+jRtptAvMtZGXxF 89cU9nH/YlGHsx3LSogoo1OqTVm/RKy4FDpHycvYIFbN6ZAVzdQZpf0iirtQCgtuQfOo3cezT8e0 UhpJ3bwQdgvKiSkdtceiuikxeS9W1X5zcx4OtkY1W2tFghPIgBTSs//FqnmpLO892kR0nl4C2Mlt R6R0/o9YDKJZmj08T1B0/QxQhbKdCffTwioC2pueiDrMhzF2Ho1rZklz7/zTwwBcC+VxrzdbFlQH S57ouER2S7Zjc/yjZPvq0d7a0b8ov9aGvr9cGvFfRLIT4ykDXwAYatoNY+Ez2KLFI4G5JbuIV83W J5PkjGc2P+7GsLKj4qFiPY9c9s/5v7DjiYcsDrnvnioWrt/SGUWJkQIRtVboX0YRh1nIrGJVHFgc 32ftJj9tf8gcTVWq1AbQ+3ddH/JIbN5OzKMPrVTjqYzFMmgAxviY/rt94LPM2oAwS+udRLYkAMbD TtcdKIdLbt02/KLi26/yyNKn30DrKG5DJIEGsK2u8strRcTnfap6pXyiCr95atHlkTFgqk/l7qc0 HlZWxZEmPwrglM9lWKPj0vkMppBfW9zuoQ/fyKb5MgXFABfLQQDdMkg3/44ve+gSE1v7yTW/MRbi 0nsE/kGuPAf1VFYarZWcAm+BOztLzoag73gARmqLRiXu0PT1LPBRBkkZyGBVfwbzAlWiBR8DCZIg oieRxcPbwbPlzkPQxyYDWKwAZvhwPny7iN4cWyCRkP6ivW5xNT/2GPCQ4WXEKABvf5jYuuea1m1E JwnKQKZZQexoWlH68sCxGekzDs0dXswnYnx4KgI05hPQUgcNn7ZoX39xvOvlpftS3XqFOFmuvqPK cobnJnexT8j8pRdRWwlFr1e7S9ZG5O1iGb8cE1t5ORSEqO5lctUuzt/pGYkO+KJZGxHpii5y87sE R25BTvBzcVsx1haAHtKaAWG29u7RvuH8CCUJ95BN72lkzBSV9dKbCJTBXDXcKYLXPQ8V34+D9uIh npOxbHjmPKzvl3EGS9pwMAVgnC70VMs+2cCGyNhVu0vn/4yFueK63OhkSzfTC+FAy3qrOtYPq2yn MdKDCmj7AMy8iVn3/Xbm12d0Fex5Z6odOb+Xio3mAsbHvbqkiAuMzg2e0S7Q+Zy9QaXcsqH5Tayq TvDNVgiWk0/SMVc7HBvG7x8wVzj/tIIc6MDtolZZW8PXDl6uCds/Tk9gtPpW/Kg8on4jRBCVCFeH rOCbPd9UiBFXke/kpn8c+BBe0WhqERnjFTQTxEHpFmVbkkCxcyys4IQrJDa1e8qjJGI6DX4Dr7jE ACe5A8HT9CS0cCw7wbXWJZZvj5zB0u1JqWnh2tXK7h2NaEUkHKy2vreGZ818lBX2SqlrpPBqb1XD g7SLHUvGxtF/xPhp9sCsac4r63FtPmjFdbFQVkYdw3vtUpAwSlyLh4fJC3T+b1XXd2WQGqbq+uPg N7fdDz3iZ+10FA/3dcLbKiaWZpgJDulx6nsIQO12GZjRYuA3kMryKtwjOwY8+YnzQEVrLWXhWLVB pj1gxBAcjkvMT/B80YKCQootVgC+ihqNRmeNlPx8sIAUTiOp24RG33u5Wty45i2OF0kcVO1OYL9e GWM/MtF+QwBHf0UXxDEN9tMm9hYS+qu/jGp+kDlUNjl5VOKQx5FZrUqAR8WxCeALXsx6rpUif/Vo ZvOY6aN/uOmWpMx8xLGkXTbPkE2oXF47lyxhZE1enRt65uCcGKtDIb3hgSyJMHjHDqjKQsH2yup3 h42sXN5hYHlgQ5w5lvK81wjwU6jGLuRFV6ZmkCkBUn7xp4muNYITKPkOIL14DI1O9EfONCZ0PELU f+vnlfN3QXoqb9ThlIHEHsa4h7K83+p7Ax40R1Fs3CAzEF66/9qsjdItH4DiVEv4REA76kMfao2c k/L4qA4mdhSrIp98iCqUB0rJbWLDrlyXrAuuMxu3UGx9vwDKzgB1JiR9u6IkT3UrLB2sehvt+tvz T4I83swfqAflstO3sLO1Kl4g7msuQoNRN7dG9m4ZR/4MzR3NNyp2dWPqFMMlmVxHwqWgHGT29MkC TPFPPOX7CK5WR4uHhNVIDlnBAz+jFzchhl3Gw+QbUh3JAF/5Dgnmrbf28k7N1bPHlh4F4xA4si2Q Pf0yrocfNINhd0tB3osmMPZ5ZPJi0hvyCbm152i5HQbM5cJCMQ/Pr68SGsDCvAmIeGSAe6AVXoy8 s5FlNDMqNzkQJPgIk4uUuu/jZkoWDE70WkEuX97B2frEpVW0YzBzUBGScvrqW/eZ+G5VnULn47ds bNFELg7YZn/IK9Rqe9EJcLYyUOKFaxoWtq4Oj3eD6PVK4sVWDoak4Vn+3aIhzYghll0+9oxNRMkw nHkLjQ4OA8AAa2TFh5qww9F1d4XtxcKMuGj3kTSfx2VX3tsYsmwOGirTvDchhzqqmCfW62pICgVC aZzP5P0vvs0OgKe8bQVokNhOXpKnJsKbJEqPEjMN3qca0XgzA+nKFkTfcO0gQZb8uxTCh4O8vp6f LgdQcSvL36qd0ENQppQ7pgYkTSnBgCZS4HEhUWHPEZ5EVCOgZZm2xwEGnIo9C7c6AL0v3B6Dk4Cc jKNQx0bSFvfd0/HxSzEYSmBFegL7o9if0JmWQSEcYBABhdqSH2JDwMUcOBvi5Lxf634HvlG0ga/k dSHoRBAy3AcYFbDhIX1ZC4APG3IUAydOHGaTZf4f9MplodX503zaVeKzYOIsiHfbQsrJUAQc18s5 dHzMjoiGHqN4ef3oMbqwhmxO0PpIdEsEKohAESwG/RuBBNx43eYDCwDtEd49VCLBaIMFQ6L4Cfz/ 5c8u/2kv8X1+NyR2NjKRCOvDdVr26L2LRPYiBJEv+6C0m7uOj6mXET7gWchcaqosuHN84mero5jm 9uid26/ZTzYRXTaw+rXf6wHMYzIlMAbkUy8BLDnfGA9r0fG1kSWS7n6ECeTecdJwl5XMVaIN4kHU 8pfAXMHnMhBrEx/rRvht7RO+G9MqoXayXswLik5BC4IFa9VO5NNd6/hWIyxiz9smLKenMw0u8Pgy 1+kTWd/WCzVKu12A/Zt5bAkWodsgEygCaXuMNYH1Q/qrpRcw3MReywvMKVwmepncrHe/sgWUAsOf sjIZ+vFttg8S894wbiDJjbFzLKwWvJB5q6BIVPrx0v6IY5oxm2rZth/SRPWS15xsi0CSJihoOcGV OEkJL8D20UQwSWLADhrh/DLNI0kQ0s6SkzS5ITAs13fZjijJsFO/1EbwbeUfNM0bJfXwiuXOWdO8 etewctBZasYlNCyoZHBE6VcNbYkpA200kAl4uJ9buv61eC30/aSc9l/GcnDx0CacIxc8TUaIy4T7 dT9n7vkJN9FHruBwClgsRohgzBGjgYl7oWG0iue6sX1z5tMsiHcDTerfDjH69EvfJA5FTUph3RuT mehDUCttxCG+lLHD5RK62mq18vyea2LmneQ+6DH+lJPOZYgfv+kyZhDNdNQxqyiMocAvRnN3mS8p mGR8BZSTxK1YE9Jza70+Mh6eBkr+1apT37sxiHFlLzkJ0vofLItShM+tfD9QnBQVQJPiuaVMUabX 6WelmLB/XzZ8ZOhzrnVMG12n5MSNo85eJ2aKcBe/fo8EQvL+31ZrqrTa7DTjIpzhCB8oo4DLWhEG SeAd+S8nrYuI0oS81GHembIQMihE3oWou8F65RetIjcPHNVEWtSfDXTyr7TAoiJh/GZSKoDNw0Er ukHiLx7T1GIsvsHPgEWoe45RQ3RNt+gSNtUUqAXQXw2nh2hCAkN/8faEsZDw2Mzr4MiB8qbFs1sq x1jKOwtY4raV2qQX9DFnVN6czE/BtS2Am4EvMQUz58m6R50p6eJdTk0tRedV0L9nVrReUu/urIR3 QNcg9Z7nsMTn1tWjj1Bd8fUmfUwn1dpmznKCdTflQXZpUfXwcI8gUAq2rTXC2832+COmldPCW6eP Pt0UV4IbVKySJNbSw89kCkMEZxlzypuAPjnCIgHpwhM3XA6a02isQUQOMarHadfIraOHPNEtcbvR y5P62Wpd+QEMwiEFzgbD99qlhYm2BXn70sAqiQ9JueFC/0fL4JFL7v/0y4Yo7LAcyIv1K9huNuQp 273WvOrHBdMMQkZbcQa4PwBUs3qKgI2ytKIg50hQV140AExSKWkEeEOU7K6PfclwJRf+UsaeOSoY creTSzWcMm6MTTVFyAogJ9T8bvtLODT7Hn3174Vx3nG0D366gRPNCS/aricyd4STxoEhAC9+FmSW /8WZaaJw6FvdnauE2ytaYuNWhp+5xVN2BTK8LeHKaiPVbtBsRSmpHG8eKNrz/XRskFrU/2ddgyRn r9IQvjS7RpXSVWf1uOf1mbtXSCjDLdACLjmF86GJNHkFAHUnEcSNlHE4lNZo6SFitC9dFfq3n4cF BguQVc414gOcilW7yjppIzP9sQ/jVnUOnXGB0kxIsPTWlNiTGRZ/II5klXv8V0EGH4XYvKq28oXP /ytVmG+FTt+G0k9e7imwrd510CPshm771tcLFMkrr497hr7ezZSZtbD/38b/oqTr9szAf/a7gMf3 K7qy+3PAM11wHOZjvqCULcvMLWLGdBmSiAXcjD+8iUGnlF6fUblmoHwNrpKlRikmVRJcZNZObCLq PJmXqFOcLYkE5XPARMRLLMvcG62a4/5d0nowf8NnH6AIVuWK4DhQLlFY+WMNKgE3plwD2egYJ7yl 8/mXS9wTJJcZlydjk8+Sc0t/4OXMGWRV6/UhftyLdxvi5qVo+Sq5inRFHO50H3ipaZr+BZmd28es yJHNU8QdDJUGkwD2eHC3rdhXvPLjcLXXr507Hn6Wa1ROYTzAGI7OaJy05U0xIDe0k73bgs5FX/Cy f9M2tU/ACqn2R6SZBowW5cqVvzIqb0MdhwWv4JMskSGksV6tnyna2y8t/Id/8xiVLIY8HxYODaGz GdU0AvUxe6K9TAkonipDqpby39vVAnLZhoqxD7Fcm/ZNGEDRd84762h8c36srLzBcx88SkWzBK8W mDstTnze+ujpUpsa5sgEo0bt8vuOyun+gPaNlhc2lnFgh51VhPuIt629vNLHmHAo6O/ydr2/VHmJ uSr8I9BhXJwMG1eD957LqvvJa86pW1Es55T8lZdKriahtJ88DJyvFua+HTNLqvH7KQaJXypE85KD O0hpRuxEPkDEvmZJC2etch+7Lt0JPtZ7g6AG4Ip31b9iLLqEuLejFx8RUubF431NgeO881Y4AY7f FNEzt67wEdEz4jp9PHly6G3xi/zDxJXR4Sjy7VvWq4KziXWhSOq9wix7YgcjkiflKXxIlqT0BwxD RzawyVf6qhEmbQHXUbF8CrhzhsPEkftwNmD9QJyBLKg+vmynKhfHvnlmRq+xQtQ9roy8SrVkptl6 46kDc5q97vzGVX6cvGjDzCpWMOs/AhSrS5kC2qU5zYyMfuYxCOWGvmx9bsjQbI5SXlFziX2VfuhR imeHYzxGpEX3IufqiwI/ZvRwtyquW1+Texv5eThU8cX7CzxtuiZnAf6irPXUAj02VQ36J0lJIIfV ok6PjQAmrHGHz9jlyA0E9v++FL4yV2WEWpY5ajb8BIOkQrITzVSKPxAi8mJ2Es1opSh2N2gHyOKp B4dfA0J2Z6VfqBaImoQB+1gknELfc2EBQXAzuWsFrO949N1UT/xWrTmBXxCt2s2zr7oqw3K9dVog x0rfQKOlWlj5GZ7brO2tNuUw+/Oz/Xl6x1XkAiYi+iFDwMF0zNmtcj4BPtlcGdvu3IvPrMBU+TTY KmL6lVh2jb2eoASeMFPdlrgzHmJKk6pjmdgKqkeQQz1hgTe+PPFXto0ksoQVLPO/lLE+jcBJ6m1H Mcq9HMrPfZPFZTAJhyCINPVDstkXe+diP7Sj5+eE+pJQFr42AWX5FXcp28JzlgPAN1DIR+ymPEaH CtJnm1D/j59Q64XCXLvkCg7aUtqAvyOY3sQmJrPiUxGFnxXbVjHIact7VusgXOetRIfIZLvHxhBV XfGEsNWU+bQ1UkAz7kD12pEhRKs6OG0WD+O++0fk06nD5pZLpbo4JA== `protect end_protected
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: config_types -- File: config_types.vhd -- Description: GRLIB Global configuration types package. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; package config_types is ----------------------------------------------------------------------------- -- Configuration constants part of GRLIB configuration array ----------------------------------------------------------------------------- -- debug level and debug mask controls debug output from tech map constant grlib_debug_level : integer := 0; constant grlib_debug_mask : integer := 1; -- Defines if strict RAM techmap should be used. Otherwise small (shallow) -- RAMs may be mapped to inferred technology. constant grlib_techmap_strict_ram : integer := 2; -- Expand testin vector to syncrams with additional bits constant grlib_techmap_testin_extra : integer := 3; -- Add synchronous resets to all registers (requires support in IP cores) constant grlib_sync_reset_enable_all : integer := 4; -- Use asynchronous reset, with this option enabled all registers will be -- reset using asynchronous reset (within IP cores that support this). constant grlib_async_reset_enable : integer := 5; -- Disable automatic ECC config in syncram_(2p)ft constant grlib_syncramft_autosel_disable : integer := 6; -- Enable (sim only) self-checking modules in syncram/_2p/_dp constant grlib_syncram_selftest_enable : integer := 7; -- Disable testoen control even if scantest enabled (for using external boundary -- scan or other test logic) constant grlib_external_testoen : integer := 8; -- Increase maximum number of interrupts (32 + x*32) constant grlib_amba_inc_nirq : integer := 9; type grlib_config_array_type is array (0 to 10) of integer; end;
------------------------------------------------------------------------------- -- -- T48 Microcontroller Core -- -- $Id: t48_core.vhd,v 1.12 2006-07-14 01:12:08 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2004, 2005, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- -- Limitations : -- ============= -- -- Compared to the original MCS-48 architecture, the following limitations -- apply: -- -- * Single-step mode not implemented. -- Not selected for future implementation. -- -- * Reading of internal Program Memory not implemented. -- Not selected for future implementation. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t48_core is generic ( -- divide XTAL1 by 3 to derive Clock States xtal_div_3_g : integer := 1; -- store mnemonic in flip-flops (registered-out) register_mnemonic_g : integer := 1; -- include the port 1 module include_port1_g : integer := 1; -- include the port 2 module include_port2_g : integer := 1; -- include the BUS module include_bus_g : integer := 1; -- include the timer module include_timer_g : integer := 1; -- state in which T1 is sampled (3 or 4) sample_t1_state_g : integer := 4 ); port ( -- T48 Interface ---------------------------------------------------------- xtal_i : in std_logic; xtal_en_i : in std_logic; reset_i : in std_logic; t0_i : in std_logic; t0_o : out std_logic; t0_dir_o : out std_logic; int_n_i : in std_logic; ea_i : in std_logic; rd_n_o : out std_logic; psen_n_o : out std_logic; wr_n_o : out std_logic; ale_o : out std_logic; db_i : in std_logic_vector( 7 downto 0); db_o : out std_logic_vector( 7 downto 0); db_dir_o : out std_logic; t1_i : in std_logic; p2_i : in std_logic_vector( 7 downto 0); p2_o : out std_logic_vector( 7 downto 0); p2l_low_imp_o : out std_logic; p2h_low_imp_o : out std_logic; p1_i : in std_logic_vector( 7 downto 0); p1_o : out std_logic_vector( 7 downto 0); p1_low_imp_o : out std_logic; prog_n_o : out std_logic; -- Core Interface --------------------------------------------------------- clk_i : in std_logic; en_clk_i : in std_logic; xtal3_o : out std_logic; dmem_addr_o : out std_logic_vector( 7 downto 0); dmem_we_o : out std_logic; dmem_data_i : in std_logic_vector( 7 downto 0); dmem_data_o : out std_logic_vector( 7 downto 0); pmem_addr_o : out std_logic_vector(11 downto 0); pmem_data_i : in std_logic_vector( 7 downto 0) ); end t48_core; use work.t48_alu_pack.alu_op_t; use work.t48_cond_branch_pack.branch_conditions_t; use work.t48_cond_branch_pack.comp_value_t; use work.t48_dmem_ctrl_pack.dmem_addr_ident_t; use work.t48_pmem_ctrl_pack.pmem_addr_ident_t; use work.t48_comp_pack.all; use work.t48_pack.bus_idle_level_c; use work.t48_pack.word_t; use work.t48_pack.pmem_addr_t; use work.t48_pack.mstate_t; use work.t48_pack.to_stdLogic; use work.t48_pack.to_boolean; architecture struct of t48_core is signal t48_data_s : word_t; signal xtal_en_s : boolean; signal en_clk_s : boolean; -- ALU signals signal alu_data_s : word_t; signal alu_write_accu_s : boolean; signal alu_write_shadow_s : boolean; signal alu_write_temp_reg_s : boolean; signal alu_read_alu_s : boolean; signal alu_carry_s : std_logic; signal alu_aux_carry_s : std_logic; signal alu_op_s : alu_op_t; signal alu_use_carry_s : boolean; signal alu_da_high_s : boolean; signal alu_da_overflow_s : boolean; signal alu_accu_low_s : boolean; signal alu_p06_temp_reg_s : boolean; signal alu_p60_temp_reg_s : boolean; -- BUS signals signal bus_write_bus_s : boolean; signal bus_read_bus_s : boolean; signal bus_output_pcl_s : boolean; signal bus_bidir_bus_s : boolean; signal bus_data_s : word_t; -- Clock Controller signals signal clk_multi_cycle_s : boolean; signal clk_assert_psen_s : boolean; signal clk_assert_prog_s : boolean; signal clk_assert_rd_s : boolean; signal clk_assert_wr_s : boolean; signal clk_mstate_s : mstate_t; signal clk_second_cycle_s : boolean; signal psen_s : boolean; signal prog_s : boolean; signal rd_s : boolean; signal wr_s : boolean; signal ale_s : boolean; signal xtal3_s : boolean; -- Conditional Branch Logic signals signal cnd_compute_take_s : boolean; signal cnd_branch_cond_s : branch_conditions_t; signal cnd_take_branch_s : boolean; signal cnd_comp_value_s : comp_value_t; signal cnd_f1_s : std_logic; signal cnd_tf_s : std_logic; -- Data Memory Controller signals signal dm_write_dmem_addr_s : boolean; signal dm_write_dmem_s : boolean; signal dm_read_dmem_s : boolean; signal dm_addr_type_s : dmem_addr_ident_t; signal dm_data_s : word_t; -- Decoder signals signal dec_data_s : word_t; -- Port 1 signals signal p1_write_p1_s : boolean; signal p1_read_p1_s : boolean; signal p1_read_reg_s : boolean; signal p1_data_s : word_t; -- Port 2 signals signal p2_write_p2_s : boolean; signal p2_write_exp_s : boolean; signal p2_read_p2_s : boolean; signal p2_read_reg_s : boolean; signal p2_read_exp_s : boolean; signal p2_output_pch_s : boolean; signal p2_data_s : word_t; -- Program Memory Controller signals signal pm_write_pcl_s : boolean; signal pm_read_pcl_s : boolean; signal pm_write_pch_s : boolean; signal pm_read_pch_s : boolean; signal pm_read_pmem_s : boolean; signal pm_inc_pc_s : boolean; signal pm_write_pmem_addr_s : boolean; signal pm_data_s : word_t; signal pm_addr_type_s : pmem_addr_ident_t; signal pmem_addr_s : pmem_addr_t; -- PSW signals signal psw_read_psw_s : boolean; signal psw_read_sp_s : boolean; signal psw_write_psw_s : boolean; signal psw_write_sp_s : boolean; signal psw_carry_s : std_logic; signal psw_aux_carry_s : std_logic; signal psw_f0_s : std_logic; signal psw_bs_s : std_logic; signal psw_special_data_s : std_logic; signal psw_inc_stackp_s : boolean; signal psw_dec_stackp_s : boolean; signal psw_write_carry_s : boolean; signal psw_write_aux_carry_s : boolean; signal psw_write_f0_s : boolean; signal psw_write_bs_s : boolean; signal psw_data_s : word_t; -- Timer signals signal tim_overflow_s : boolean; signal tim_of_s : std_logic; signal tim_read_timer_s : boolean; signal tim_write_timer_s : boolean; signal tim_start_t_s : boolean; signal tim_start_cnt_s : boolean; signal tim_stop_tcnt_s : boolean; signal tim_data_s : word_t; begin ----------------------------------------------------------------------------- -- Check generics for valid values. ----------------------------------------------------------------------------- -- pragma translate_off assert include_timer_g = 0 or include_timer_g = 1 report "include_timer_g must be either 1 or 0!" severity failure; assert include_port1_g = 0 or include_port1_g = 1 report "include_port1_g must be either 1 or 0!" severity failure; assert include_port2_g = 0 or include_port2_g = 1 report "include_port2_g must be either 1 or 0!" severity failure; assert include_bus_g = 0 or include_bus_g = 1 report "include_bus_g must be either 1 or 0!" severity failure; -- pragma translate_on xtal_en_s <= to_boolean(xtal_en_i); en_clk_s <= to_boolean(en_clk_i); alu_b : t48_alu port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, data_i => t48_data_s, data_o => alu_data_s, write_accu_i => alu_write_accu_s, write_shadow_i => alu_write_shadow_s, write_temp_reg_i => alu_write_temp_reg_s, read_alu_i => alu_read_alu_s, carry_i => psw_carry_s, carry_o => alu_carry_s, aux_carry_o => alu_aux_carry_s, alu_op_i => alu_op_s, use_carry_i => alu_use_carry_s, da_high_i => alu_da_high_s, da_overflow_o => alu_da_overflow_s, accu_low_i => alu_accu_low_s, p06_temp_reg_i => alu_p06_temp_reg_s, p60_temp_reg_i => alu_p60_temp_reg_s ); bus_mux_b : t48_bus_mux port map ( alu_data_i => alu_data_s, bus_data_i => bus_data_s, dec_data_i => dec_data_s, dm_data_i => dm_data_s, pm_data_i => pm_data_s, p1_data_i => p1_data_s, p2_data_i => p2_data_s, psw_data_i => psw_data_s, tim_data_i => tim_data_s, data_o => t48_data_s ); clock_ctrl_b : t48_clock_ctrl generic map ( xtal_div_3_g => xtal_div_3_g ) port map ( clk_i => clk_i, xtal_i => xtal_i, xtal_en_i => xtal_en_s, res_i => reset_i, en_clk_i => en_clk_s, xtal3_o => xtal3_s, t0_o => t0_o, multi_cycle_i => clk_multi_cycle_s, assert_psen_i => clk_assert_psen_s, assert_prog_i => clk_assert_prog_s, assert_rd_i => clk_assert_rd_s, assert_wr_i => clk_assert_wr_s, mstate_o => clk_mstate_s, second_cycle_o => clk_second_cycle_s, ale_o => ale_s, psen_o => psen_s, prog_o => prog_s, rd_o => rd_s, wr_o => wr_s ); cond_branch_b : t48_cond_branch port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, compute_take_i => cnd_compute_take_s, branch_cond_i => cnd_branch_cond_s, take_branch_o => cnd_take_branch_s, accu_i => alu_data_s, t0_i => To_X01Z(t0_i), t1_i => To_X01Z(t1_i), int_n_i => int_n_i, f0_i => psw_f0_s, f1_i => cnd_f1_s, tf_i => cnd_tf_s, carry_i => psw_carry_s, comp_value_i => cnd_comp_value_s ); use_db_bus: if include_bus_g = 1 generate db_bus_b : t48_db_bus port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, ea_i => ea_i, data_i => t48_data_s, data_o => bus_data_s, write_bus_i => bus_write_bus_s, read_bus_i => bus_read_bus_s, output_pcl_i => bus_output_pcl_s, bidir_bus_i => bus_bidir_bus_s, pcl_i => pmem_addr_s(word_t'range), db_i => db_i, db_o => db_o, db_dir_o => db_dir_o ); end generate; skip_db_bus: if include_bus_g = 0 generate bus_data_s <= (others => bus_idle_level_c); db_o <= (others => '0'); db_dir_o <= '0'; end generate; decoder_b : t48_decoder generic map ( register_mnemonic_g => register_mnemonic_g ) port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, xtal_i => xtal_i, xtal_en_i => xtal_en_s, ea_i => ea_i, ale_i => ale_s, int_n_i => int_n_i, t0_dir_o => t0_dir_o, data_i => t48_data_s, data_o => dec_data_s, alu_write_accu_o => alu_write_accu_s, alu_write_shadow_o => alu_write_shadow_s, alu_write_temp_reg_o => alu_write_temp_reg_s, alu_read_alu_o => alu_read_alu_s, bus_write_bus_o => bus_write_bus_s, bus_read_bus_o => bus_read_bus_s, dm_write_dmem_addr_o => dm_write_dmem_addr_s, dm_write_dmem_o => dm_write_dmem_s, dm_read_dmem_o => dm_read_dmem_s, p1_write_p1_o => p1_write_p1_s, p1_read_p1_o => p1_read_p1_s, pm_write_pcl_o => pm_write_pcl_s, p2_write_p2_o => p2_write_p2_s, p2_write_exp_o => p2_write_exp_s, p2_read_p2_o => p2_read_p2_s, pm_read_pcl_o => pm_read_pcl_s, pm_write_pch_o => pm_write_pch_s, pm_read_pch_o => pm_read_pch_s, pm_read_pmem_o => pm_read_pmem_s, psw_read_psw_o => psw_read_psw_s, psw_read_sp_o => psw_read_sp_s, psw_write_psw_o => psw_write_psw_s, psw_write_sp_o => psw_write_sp_s, alu_carry_i => alu_carry_s, alu_op_o => alu_op_s, alu_use_carry_o => alu_use_carry_s, alu_da_high_o => alu_da_high_s, alu_da_overflow_i => alu_da_overflow_s, alu_accu_low_o => alu_accu_low_s, alu_p06_temp_reg_o => alu_p06_temp_reg_s, alu_p60_temp_reg_o => alu_p60_temp_reg_s, bus_output_pcl_o => bus_output_pcl_s, bus_bidir_bus_o => bus_bidir_bus_s, clk_multi_cycle_o => clk_multi_cycle_s, clk_assert_psen_o => clk_assert_psen_s, clk_assert_prog_o => clk_assert_prog_s, clk_assert_rd_o => clk_assert_rd_s, clk_assert_wr_o => clk_assert_wr_s, clk_mstate_i => clk_mstate_s, clk_second_cycle_i => clk_second_cycle_s, cnd_compute_take_o => cnd_compute_take_s, cnd_branch_cond_o => cnd_branch_cond_s, cnd_take_branch_i => cnd_take_branch_s, cnd_comp_value_o => cnd_comp_value_s, cnd_f1_o => cnd_f1_s, cnd_tf_o => cnd_tf_s, dm_addr_type_o => dm_addr_type_s, tim_read_timer_o => tim_read_timer_s, tim_write_timer_o => tim_write_timer_s, tim_start_t_o => tim_start_t_s, tim_start_cnt_o => tim_start_cnt_s, tim_stop_tcnt_o => tim_stop_tcnt_s, p1_read_reg_o => p1_read_reg_s, p2_read_reg_o => p2_read_reg_s, p2_read_exp_o => p2_read_exp_s, p2_output_pch_o => p2_output_pch_s, pm_inc_pc_o => pm_inc_pc_s, pm_write_pmem_addr_o => pm_write_pmem_addr_s, pm_addr_type_o => pm_addr_type_s, psw_special_data_o => psw_special_data_s, psw_carry_i => psw_carry_s, psw_aux_carry_i => psw_aux_carry_s, psw_f0_i => psw_f0_s, psw_inc_stackp_o => psw_inc_stackp_s, psw_dec_stackp_o => psw_dec_stackp_s, psw_write_carry_o => psw_write_carry_s, psw_write_aux_carry_o => psw_write_aux_carry_s, psw_write_f0_o => psw_write_f0_s, psw_write_bs_o => psw_write_bs_s, tim_overflow_i => tim_overflow_s ); dmem_ctrl_b : t48_dmem_ctrl port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, data_i => t48_data_s, write_dmem_addr_i => dm_write_dmem_addr_s, write_dmem_i => dm_write_dmem_s, read_dmem_i => dm_read_dmem_s, addr_type_i => dm_addr_type_s, bank_select_i => psw_bs_s, data_o => dm_data_s, dmem_data_i => dmem_data_i, dmem_addr_o => dmem_addr_o, dmem_we_o => dmem_we_o, dmem_data_o => dmem_data_o ); use_timer: if include_timer_g = 1 generate timer_b : t48_timer generic map ( sample_t1_state_g => sample_t1_state_g ) port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, t1_i => To_X01Z(t1_i), clk_mstate_i => clk_mstate_s, data_i => t48_data_s, data_o => tim_data_s, read_timer_i => tim_read_timer_s, write_timer_i => tim_write_timer_s, start_t_i => tim_start_t_s, start_cnt_i => tim_start_cnt_s, stop_tcnt_i => tim_stop_tcnt_s, overflow_o => tim_of_s ); end generate; skip_timer: if include_timer_g = 0 generate tim_data_s <= (others => bus_idle_level_c); tim_of_s <= '0'; end generate; tim_overflow_s <= to_boolean(tim_of_s); use_p1: if include_port1_g = 1 generate p1_b : t48_p1 port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, data_i => t48_data_s, data_o => p1_data_s, write_p1_i => p1_write_p1_s, read_p1_i => p1_read_p1_s, read_reg_i => p1_read_reg_s, p1_i => p1_i, p1_o => p1_o, p1_low_imp_o => p1_low_imp_o ); end generate; skip_p1: if include_port1_g = 0 generate p1_data_s <= (others => bus_idle_level_c); p1_o <= (others => '0'); p1_low_imp_o <= '0'; end generate; use_p2: if include_port2_g = 1 generate p2_b : t48_p2 port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, xtal_i => xtal_i, xtal_en_i => xtal_en_s, data_i => t48_data_s, data_o => p2_data_s, write_p2_i => p2_write_p2_s, write_exp_i => p2_write_exp_s, read_p2_i => p2_read_p2_s, read_reg_i => p2_read_reg_s, read_exp_i => p2_read_exp_s, output_pch_i => p2_output_pch_s, pch_i => pmem_addr_s(11 downto 8), p2_i => p2_i, p2_o => p2_o, p2l_low_imp_o => p2l_low_imp_o, p2h_low_imp_o => p2h_low_imp_o ); end generate; skip_p2: if include_port2_g = 0 generate p2_data_s <= (others => bus_idle_level_c); p2_o <= (others => '0'); p2l_low_imp_o <= '0'; p2h_low_imp_o <= '0'; end generate; pmem_ctrl_b : t48_pmem_ctrl port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, data_i => t48_data_s, data_o => pm_data_s, write_pcl_i => pm_write_pcl_s, read_pcl_i => pm_read_pcl_s, write_pch_i => pm_write_pch_s, read_pch_i => pm_read_pch_s, inc_pc_i => pm_inc_pc_s, write_pmem_addr_i => pm_write_pmem_addr_s, addr_type_i => pm_addr_type_s, read_pmem_i => pm_read_pmem_s, pmem_addr_o => pmem_addr_s, pmem_data_i => pmem_data_i ); psw_b : t48_psw port map ( clk_i => clk_i, res_i => reset_i, en_clk_i => en_clk_s, data_i => t48_data_s, data_o => psw_data_s, read_psw_i => psw_read_psw_s, read_sp_i => psw_read_sp_s, write_psw_i => psw_write_psw_s, write_sp_i => psw_write_sp_s, special_data_i => psw_special_data_s, inc_stackp_i => psw_inc_stackp_s, dec_stackp_i => psw_dec_stackp_s, write_carry_i => psw_write_carry_s, write_aux_carry_i => psw_write_aux_carry_s, write_f0_i => psw_write_f0_s, write_bs_i => psw_write_bs_s, carry_o => psw_carry_s, aux_carry_i => alu_aux_carry_s, aux_carry_o => psw_aux_carry_s, f0_o => psw_f0_s, bs_o => psw_bs_s ); ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- ale_o <= to_stdLogic(ale_s); psen_n_o <= to_stdLogic(not psen_s); prog_n_o <= to_stdLogic(not prog_s); rd_n_o <= to_stdLogic(not rd_s); wr_n_o <= to_stdLogic(not wr_s); xtal3_o <= to_stdLogic(xtal3_s); pmem_addr_o <= pmem_addr_s; end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.11 2006/06/20 00:46:04 arniml -- new input xtal_en_i -- -- Revision 1.10 2005/11/01 21:32:58 arniml -- wire signals for P2 low impeddance marker issue -- -- Revision 1.9 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.8 2005/05/04 20:12:37 arniml -- Fix bug report: -- "Wrong clock applied to T0" -- t0_o is generated inside clock_ctrl with a separate flip-flop running -- with xtal_i -- -- Revision 1.7 2004/05/01 11:58:04 arniml -- update notice about expander port instructions -- -- Revision 1.6 2004/04/07 22:09:03 arniml -- remove unused signals -- -- Revision 1.5 2004/04/04 14:18:53 arniml -- add measures to implement XCHD -- -- Revision 1.4 2004/03/29 19:39:58 arniml -- rename pX_limp to pX_low_imp -- -- Revision 1.3 2004/03/28 21:27:50 arniml -- update wiring for DA support -- -- Revision 1.2 2004/03/28 13:13:20 arniml -- connect control signal for Port 2 expander -- -- Revision 1.1 2004/03/23 21:31:53 arniml -- initial check-in -- -------------------------------------------------------------------------------
--Frequency Divider LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DIV_FREQ IS PORT( CLK_IN_1HZ :IN STD_LOGIC; RST :IN STD_LOGIC; CLK_OUT_05HZ:OUT STD_LOGIC); END ENTITY DIV_FREQ; ARCHITECTURE ART1 OF DIV_FREQ IS BEGIN PROCESS (CLK_IN_1HZ,RST) VARIABLE CLK_OUT_TEMP:STD_LOGIC_VECTOR (1 DOWNTO 0):="00"; BEGIN IF(RST='1')THEN CLK_OUT_TEMP:="00"; ELSE IF(CLK_IN_1HZ 'EVENT AND CLK_IN_1HZ='1')THEN CLK_OUT_TEMP := CLK_OUT_TEMP + "01"; END IF; END IF; CLK_OUT_05HZ <= CLK_OUT_TEMP(0); END PROCESS; END ARCHITECTURE ART1;
------------------------------------------------------------------------------- -- axi_datamover_skid2mm_buf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_skid2mm_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_wr_demux; ------------------------------------------------------------------------------- entity axi_datamover_skid2mm_buf is generic ( C_MDATA_WIDTH : INTEGER range 32 to 1024 := 32 ; -- Width of the MMap Write Data bus (in bits) C_SDATA_WIDTH : INTEGER range 8 to 1024 := 32 ; -- Width of the Stream Data bus (in bits) C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5 -- Width of the LS address bus needed to Demux the WSTRB ); port ( -- Clock and Reset Inputs ------------------------------------------- -- ACLK : In std_logic ; -- ARST : In std_logic ; -- --------------------------------------------------------------------- -- Slave Side (Wr Data Controller Input Side) ----------------------- -- S_ADDR_LSB : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); -- S_VALID : In std_logic ; -- S_READY : Out std_logic ; -- S_DATA : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); -- S_STRB : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); -- S_LAST : In std_logic ; -- --------------------------------------------------------------------- -- Master Side (MMap Write Data Output Side) ------------------------ M_VALID : Out std_logic ; -- M_READY : In std_logic ; -- M_DATA : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); -- M_STRB : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); -- M_LAST : Out std_logic -- --------------------------------------------------------------------- ); end entity axi_datamover_skid2mm_buf; architecture implementation of axi_datamover_skid2mm_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH; Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) M_VALID <= sig_m_valid_out; S_READY <= sig_s_ready_out; M_STRB <= sig_strb_reg_out; M_LAST <= sig_last_reg_out; M_DATA <= sig_mirror_data_out; -- Assign the special S_READY FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup); -- Generate the skid inpit register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else S_DATA; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') --Else S_STRB; Else sig_wstrb_demux_out; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else S_LAST; -- m_valid combinational logic sig_m_valid_comb <= S_VALID or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(M_READY))); -- s_ready combinational logic sig_s_ready_comb <= M_READY or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(S_VALID))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (ACLK) begin if (ACLK'event and ACLK = '1') then sig_reset_reg <= ARST; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers S_READY handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers M_VALID handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the Skid register for the -- Skid Buffer Data signals. -- ------------------------------------------------------------- SKID_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= S_DATA; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- Skid Buffer Control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_wstrb_demux_out; sig_last_skid_reg <= S_LAST; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the Output register for the -- Data signals. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_WR_DATA_MIRROR -- -- Process Description: -- Implement the Write Data Mirror structure -- -- Note that it is required that the Stream Width be less than -- or equal to the MMap WData width. -- ------------------------------------------------------------- DO_WR_DATA_MIRROR : process (sig_data_reg_out) begin for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1 downto C_SDATA_WIDTH*slice_index) <= sig_data_reg_out; end loop; end process DO_WR_DATA_MIRROR; ------------------------------------------------------------ -- Instance: I_WSTRB_DEMUX -- -- Description: -- Instance for the Write Strobe DeMux. -- ------------------------------------------------------------ I_WSTRB_DEMUX : entity axi_datamover_v5_1.axi_datamover_wr_demux generic map ( C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH , C_MMAP_DWIDTH => C_MDATA_WIDTH , C_STREAM_DWIDTH => C_SDATA_WIDTH ) port map ( wstrb_in => S_STRB , demux_wstrb_out => sig_wstrb_demux_out , debeat_saddr_lsb => S_ADDR_LSB ); end implementation;
------------------------------------------------------------------------------- -- axi_datamover_skid2mm_buf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_skid2mm_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_wr_demux; ------------------------------------------------------------------------------- entity axi_datamover_skid2mm_buf is generic ( C_MDATA_WIDTH : INTEGER range 32 to 1024 := 32 ; -- Width of the MMap Write Data bus (in bits) C_SDATA_WIDTH : INTEGER range 8 to 1024 := 32 ; -- Width of the Stream Data bus (in bits) C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5 -- Width of the LS address bus needed to Demux the WSTRB ); port ( -- Clock and Reset Inputs ------------------------------------------- -- ACLK : In std_logic ; -- ARST : In std_logic ; -- --------------------------------------------------------------------- -- Slave Side (Wr Data Controller Input Side) ----------------------- -- S_ADDR_LSB : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); -- S_VALID : In std_logic ; -- S_READY : Out std_logic ; -- S_DATA : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); -- S_STRB : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); -- S_LAST : In std_logic ; -- --------------------------------------------------------------------- -- Master Side (MMap Write Data Output Side) ------------------------ M_VALID : Out std_logic ; -- M_READY : In std_logic ; -- M_DATA : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); -- M_STRB : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); -- M_LAST : Out std_logic -- --------------------------------------------------------------------- ); end entity axi_datamover_skid2mm_buf; architecture implementation of axi_datamover_skid2mm_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH; Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) M_VALID <= sig_m_valid_out; S_READY <= sig_s_ready_out; M_STRB <= sig_strb_reg_out; M_LAST <= sig_last_reg_out; M_DATA <= sig_mirror_data_out; -- Assign the special S_READY FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup); -- Generate the skid inpit register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else S_DATA; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') --Else S_STRB; Else sig_wstrb_demux_out; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else S_LAST; -- m_valid combinational logic sig_m_valid_comb <= S_VALID or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(M_READY))); -- s_ready combinational logic sig_s_ready_comb <= M_READY or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(S_VALID))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (ACLK) begin if (ACLK'event and ACLK = '1') then sig_reset_reg <= ARST; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers S_READY handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers M_VALID handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the Skid register for the -- Skid Buffer Data signals. -- ------------------------------------------------------------- SKID_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= S_DATA; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- Skid Buffer Control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_wstrb_demux_out; sig_last_skid_reg <= S_LAST; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the Output register for the -- Data signals. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_WR_DATA_MIRROR -- -- Process Description: -- Implement the Write Data Mirror structure -- -- Note that it is required that the Stream Width be less than -- or equal to the MMap WData width. -- ------------------------------------------------------------- DO_WR_DATA_MIRROR : process (sig_data_reg_out) begin for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1 downto C_SDATA_WIDTH*slice_index) <= sig_data_reg_out; end loop; end process DO_WR_DATA_MIRROR; ------------------------------------------------------------ -- Instance: I_WSTRB_DEMUX -- -- Description: -- Instance for the Write Strobe DeMux. -- ------------------------------------------------------------ I_WSTRB_DEMUX : entity axi_datamover_v5_1.axi_datamover_wr_demux generic map ( C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH , C_MMAP_DWIDTH => C_MDATA_WIDTH , C_STREAM_DWIDTH => C_SDATA_WIDTH ) port map ( wstrb_in => S_STRB , demux_wstrb_out => sig_wstrb_demux_out , debeat_saddr_lsb => S_ADDR_LSB ); end implementation;
------------------------------------------------------------------------------- -- axi_datamover_skid2mm_buf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_skid2mm_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_wr_demux; ------------------------------------------------------------------------------- entity axi_datamover_skid2mm_buf is generic ( C_MDATA_WIDTH : INTEGER range 32 to 1024 := 32 ; -- Width of the MMap Write Data bus (in bits) C_SDATA_WIDTH : INTEGER range 8 to 1024 := 32 ; -- Width of the Stream Data bus (in bits) C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5 -- Width of the LS address bus needed to Demux the WSTRB ); port ( -- Clock and Reset Inputs ------------------------------------------- -- ACLK : In std_logic ; -- ARST : In std_logic ; -- --------------------------------------------------------------------- -- Slave Side (Wr Data Controller Input Side) ----------------------- -- S_ADDR_LSB : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); -- S_VALID : In std_logic ; -- S_READY : Out std_logic ; -- S_DATA : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); -- S_STRB : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); -- S_LAST : In std_logic ; -- --------------------------------------------------------------------- -- Master Side (MMap Write Data Output Side) ------------------------ M_VALID : Out std_logic ; -- M_READY : In std_logic ; -- M_DATA : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); -- M_STRB : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); -- M_LAST : Out std_logic -- --------------------------------------------------------------------- ); end entity axi_datamover_skid2mm_buf; architecture implementation of axi_datamover_skid2mm_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH; Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) M_VALID <= sig_m_valid_out; S_READY <= sig_s_ready_out; M_STRB <= sig_strb_reg_out; M_LAST <= sig_last_reg_out; M_DATA <= sig_mirror_data_out; -- Assign the special S_READY FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup); -- Generate the skid inpit register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else S_DATA; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') --Else S_STRB; Else sig_wstrb_demux_out; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else S_LAST; -- m_valid combinational logic sig_m_valid_comb <= S_VALID or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(M_READY))); -- s_ready combinational logic sig_s_ready_comb <= M_READY or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(S_VALID))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (ACLK) begin if (ACLK'event and ACLK = '1') then sig_reset_reg <= ARST; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers S_READY handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers M_VALID handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the Skid register for the -- Skid Buffer Data signals. -- ------------------------------------------------------------- SKID_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= S_DATA; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- Skid Buffer Control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_wstrb_demux_out; sig_last_skid_reg <= S_LAST; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the Output register for the -- Data signals. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_WR_DATA_MIRROR -- -- Process Description: -- Implement the Write Data Mirror structure -- -- Note that it is required that the Stream Width be less than -- or equal to the MMap WData width. -- ------------------------------------------------------------- DO_WR_DATA_MIRROR : process (sig_data_reg_out) begin for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1 downto C_SDATA_WIDTH*slice_index) <= sig_data_reg_out; end loop; end process DO_WR_DATA_MIRROR; ------------------------------------------------------------ -- Instance: I_WSTRB_DEMUX -- -- Description: -- Instance for the Write Strobe DeMux. -- ------------------------------------------------------------ I_WSTRB_DEMUX : entity axi_datamover_v5_1.axi_datamover_wr_demux generic map ( C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH , C_MMAP_DWIDTH => C_MDATA_WIDTH , C_STREAM_DWIDTH => C_SDATA_WIDTH ) port map ( wstrb_in => S_STRB , demux_wstrb_out => sig_wstrb_demux_out , debeat_saddr_lsb => S_ADDR_LSB ); end implementation;
------------------------------------------------------------------------------- -- axi_datamover_skid2mm_buf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_skid2mm_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_wr_demux; ------------------------------------------------------------------------------- entity axi_datamover_skid2mm_buf is generic ( C_MDATA_WIDTH : INTEGER range 32 to 1024 := 32 ; -- Width of the MMap Write Data bus (in bits) C_SDATA_WIDTH : INTEGER range 8 to 1024 := 32 ; -- Width of the Stream Data bus (in bits) C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5 -- Width of the LS address bus needed to Demux the WSTRB ); port ( -- Clock and Reset Inputs ------------------------------------------- -- ACLK : In std_logic ; -- ARST : In std_logic ; -- --------------------------------------------------------------------- -- Slave Side (Wr Data Controller Input Side) ----------------------- -- S_ADDR_LSB : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); -- S_VALID : In std_logic ; -- S_READY : Out std_logic ; -- S_DATA : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); -- S_STRB : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); -- S_LAST : In std_logic ; -- --------------------------------------------------------------------- -- Master Side (MMap Write Data Output Side) ------------------------ M_VALID : Out std_logic ; -- M_READY : In std_logic ; -- M_DATA : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); -- M_STRB : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); -- M_LAST : Out std_logic -- --------------------------------------------------------------------- ); end entity axi_datamover_skid2mm_buf; architecture implementation of axi_datamover_skid2mm_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH; Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) M_VALID <= sig_m_valid_out; S_READY <= sig_s_ready_out; M_STRB <= sig_strb_reg_out; M_LAST <= sig_last_reg_out; M_DATA <= sig_mirror_data_out; -- Assign the special S_READY FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup); -- Generate the skid inpit register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else S_DATA; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') --Else S_STRB; Else sig_wstrb_demux_out; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else S_LAST; -- m_valid combinational logic sig_m_valid_comb <= S_VALID or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(M_READY))); -- s_ready combinational logic sig_s_ready_comb <= M_READY or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(S_VALID))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (ACLK) begin if (ACLK'event and ACLK = '1') then sig_reset_reg <= ARST; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers S_READY handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers M_VALID handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the Skid register for the -- Skid Buffer Data signals. -- ------------------------------------------------------------- SKID_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= S_DATA; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- Skid Buffer Control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_wstrb_demux_out; sig_last_skid_reg <= S_LAST; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the Output register for the -- Data signals. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_WR_DATA_MIRROR -- -- Process Description: -- Implement the Write Data Mirror structure -- -- Note that it is required that the Stream Width be less than -- or equal to the MMap WData width. -- ------------------------------------------------------------- DO_WR_DATA_MIRROR : process (sig_data_reg_out) begin for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1 downto C_SDATA_WIDTH*slice_index) <= sig_data_reg_out; end loop; end process DO_WR_DATA_MIRROR; ------------------------------------------------------------ -- Instance: I_WSTRB_DEMUX -- -- Description: -- Instance for the Write Strobe DeMux. -- ------------------------------------------------------------ I_WSTRB_DEMUX : entity axi_datamover_v5_1.axi_datamover_wr_demux generic map ( C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH , C_MMAP_DWIDTH => C_MDATA_WIDTH , C_STREAM_DWIDTH => C_SDATA_WIDTH ) port map ( wstrb_in => S_STRB , demux_wstrb_out => sig_wstrb_demux_out , debeat_saddr_lsb => S_ADDR_LSB ); end implementation;
------------------------------------------------------------------------------- -- axi_datamover_skid2mm_buf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_skid2mm_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_wr_demux; ------------------------------------------------------------------------------- entity axi_datamover_skid2mm_buf is generic ( C_MDATA_WIDTH : INTEGER range 32 to 1024 := 32 ; -- Width of the MMap Write Data bus (in bits) C_SDATA_WIDTH : INTEGER range 8 to 1024 := 32 ; -- Width of the Stream Data bus (in bits) C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5 -- Width of the LS address bus needed to Demux the WSTRB ); port ( -- Clock and Reset Inputs ------------------------------------------- -- ACLK : In std_logic ; -- ARST : In std_logic ; -- --------------------------------------------------------------------- -- Slave Side (Wr Data Controller Input Side) ----------------------- -- S_ADDR_LSB : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); -- S_VALID : In std_logic ; -- S_READY : Out std_logic ; -- S_DATA : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); -- S_STRB : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); -- S_LAST : In std_logic ; -- --------------------------------------------------------------------- -- Master Side (MMap Write Data Output Side) ------------------------ M_VALID : Out std_logic ; -- M_READY : In std_logic ; -- M_DATA : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); -- M_STRB : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); -- M_LAST : Out std_logic -- --------------------------------------------------------------------- ); end entity axi_datamover_skid2mm_buf; architecture implementation of axi_datamover_skid2mm_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH; Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) M_VALID <= sig_m_valid_out; S_READY <= sig_s_ready_out; M_STRB <= sig_strb_reg_out; M_LAST <= sig_last_reg_out; M_DATA <= sig_mirror_data_out; -- Assign the special S_READY FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup); -- Generate the skid inpit register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else S_DATA; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') --Else S_STRB; Else sig_wstrb_demux_out; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else S_LAST; -- m_valid combinational logic sig_m_valid_comb <= S_VALID or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(M_READY))); -- s_ready combinational logic sig_s_ready_comb <= M_READY or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(S_VALID))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (ACLK) begin if (ACLK'event and ACLK = '1') then sig_reset_reg <= ARST; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers S_READY handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers M_VALID handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the Skid register for the -- Skid Buffer Data signals. -- ------------------------------------------------------------- SKID_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= S_DATA; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- Skid Buffer Control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_wstrb_demux_out; sig_last_skid_reg <= S_LAST; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the Output register for the -- Data signals. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_WR_DATA_MIRROR -- -- Process Description: -- Implement the Write Data Mirror structure -- -- Note that it is required that the Stream Width be less than -- or equal to the MMap WData width. -- ------------------------------------------------------------- DO_WR_DATA_MIRROR : process (sig_data_reg_out) begin for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1 downto C_SDATA_WIDTH*slice_index) <= sig_data_reg_out; end loop; end process DO_WR_DATA_MIRROR; ------------------------------------------------------------ -- Instance: I_WSTRB_DEMUX -- -- Description: -- Instance for the Write Strobe DeMux. -- ------------------------------------------------------------ I_WSTRB_DEMUX : entity axi_datamover_v5_1.axi_datamover_wr_demux generic map ( C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH , C_MMAP_DWIDTH => C_MDATA_WIDTH , C_STREAM_DWIDTH => C_SDATA_WIDTH ) port map ( wstrb_in => S_STRB , demux_wstrb_out => sig_wstrb_demux_out , debeat_saddr_lsb => S_ADDR_LSB ); end implementation;
------------------------------------------------------------------------------- -- axi_datamover_skid2mm_buf.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_datamover_skid2mm_buf.vhd -- -- Description: -- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode. -- -- This Module also provides Write Data Bus Mirroring and WSTRB -- Demuxing to match a narrow Stream to a wider MMap Write -- Channel. By doing this in the skid buffer, the resource -- utilization of the skid buffer can be minimized by only -- having to buffer/mux the Stream data width, not the MMap -- Data width. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_datamover_v5_1; use axi_datamover_v5_1.axi_datamover_wr_demux; ------------------------------------------------------------------------------- entity axi_datamover_skid2mm_buf is generic ( C_MDATA_WIDTH : INTEGER range 32 to 1024 := 32 ; -- Width of the MMap Write Data bus (in bits) C_SDATA_WIDTH : INTEGER range 8 to 1024 := 32 ; -- Width of the Stream Data bus (in bits) C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5 -- Width of the LS address bus needed to Demux the WSTRB ); port ( -- Clock and Reset Inputs ------------------------------------------- -- ACLK : In std_logic ; -- ARST : In std_logic ; -- --------------------------------------------------------------------- -- Slave Side (Wr Data Controller Input Side) ----------------------- -- S_ADDR_LSB : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); -- S_VALID : In std_logic ; -- S_READY : Out std_logic ; -- S_DATA : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); -- S_STRB : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); -- S_LAST : In std_logic ; -- --------------------------------------------------------------------- -- Master Side (MMap Write Data Output Side) ------------------------ M_VALID : Out std_logic ; -- M_READY : In std_logic ; -- M_DATA : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); -- M_STRB : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); -- M_LAST : Out std_logic -- --------------------------------------------------------------------- ); end entity axi_datamover_skid2mm_buf; architecture implementation of axi_datamover_skid2mm_buf is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH; Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH; -- Signals decalrations ------------------------- Signal sig_reset_reg : std_logic := '0'; signal sig_spcl_s_ready_set : std_logic := '0'; signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_reg : std_logic := '0'; signal sig_skid_reg_en : std_logic := '0'; signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_skid_mux_out : std_logic := '0'; signal sig_skid_mux_sel : std_logic := '0'; signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0'); signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); signal sig_last_reg_out : std_logic := '0'; signal sig_data_reg_out_en : std_logic := '0'; signal sig_m_valid_out : std_logic := '0'; signal sig_m_valid_dup : std_logic := '0'; signal sig_m_valid_comb : std_logic := '0'; signal sig_s_ready_out : std_logic := '0'; signal sig_s_ready_dup : std_logic := '0'; signal sig_s_ready_comb : std_logic := '0'; signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0'); signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0'); -- Register duplication attribute assignments to control fanout -- on handshake output signals Attribute KEEP : string; -- declaration Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no"; Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no"; begin --(architecture implementation) M_VALID <= sig_m_valid_out; S_READY <= sig_s_ready_out; M_STRB <= sig_strb_reg_out; M_LAST <= sig_last_reg_out; M_DATA <= sig_mirror_data_out; -- Assign the special S_READY FLOP set signal sig_spcl_s_ready_set <= sig_reset_reg; -- Generate the ouput register load enable control sig_data_reg_out_en <= M_READY or not(sig_m_valid_dup); -- Generate the skid inpit register load enable control sig_skid_reg_en <= sig_s_ready_dup; -- Generate the skid mux select control sig_skid_mux_sel <= not(sig_s_ready_dup); -- Skid Mux sig_data_skid_mux_out <= sig_data_skid_reg When (sig_skid_mux_sel = '1') Else S_DATA; sig_strb_skid_mux_out <= sig_strb_skid_reg When (sig_skid_mux_sel = '1') --Else S_STRB; Else sig_wstrb_demux_out; sig_last_skid_mux_out <= sig_last_skid_reg When (sig_skid_mux_sel = '1') Else S_LAST; -- m_valid combinational logic sig_m_valid_comb <= S_VALID or (sig_m_valid_dup and (not(sig_s_ready_dup) or not(M_READY))); -- s_ready combinational logic sig_s_ready_comb <= M_READY or (sig_s_ready_dup and (not(sig_m_valid_dup) or not(S_VALID))); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_THE_RST -- -- Process Description: -- Register input reset -- ------------------------------------------------------------- REG_THE_RST : process (ACLK) begin if (ACLK'event and ACLK = '1') then sig_reset_reg <= ARST; end if; end process REG_THE_RST; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: S_READY_FLOP -- -- Process Description: -- Registers S_READY handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- S_READY_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_s_ready_out <= '0'; sig_s_ready_dup <= '0'; Elsif (sig_spcl_s_ready_set = '1') Then sig_s_ready_out <= '1'; sig_s_ready_dup <= '1'; else sig_s_ready_out <= sig_s_ready_comb; sig_s_ready_dup <= sig_s_ready_comb; end if; end if; end process S_READY_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: M_VALID_FLOP -- -- Process Description: -- Registers M_VALID handshake signals per Skid Buffer -- Option 2 scheme -- ------------------------------------------------------------- M_VALID_FLOP : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1' or sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA sig_m_valid_out <= '0'; sig_m_valid_dup <= '0'; else sig_m_valid_out <= sig_m_valid_comb; sig_m_valid_dup <= sig_m_valid_comb; end if; end if; end process M_VALID_FLOP; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_DATA_REG -- -- Process Description: -- This process implements the Skid register for the -- Skid Buffer Data signals. -- ------------------------------------------------------------- SKID_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_skid_reg_en = '1') then sig_data_skid_reg <= S_DATA; else null; -- hold current state end if; end if; end process SKID_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: SKID_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- Skid Buffer Control signals -- ------------------------------------------------------------- SKID_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_skid_reg <= (others => '0'); sig_last_skid_reg <= '0'; elsif (sig_skid_reg_en = '1') then sig_strb_skid_reg <= sig_wstrb_demux_out; sig_last_skid_reg <= S_LAST; else null; -- hold current state end if; end if; end process SKID_CNTL_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_DATA_REG -- -- Process Description: -- This process implements the Output register for the -- Data signals. -- ------------------------------------------------------------- OUTPUT_DATA_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (sig_data_reg_out_en = '1') then sig_data_reg_out <= sig_data_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_DATA_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: OUTPUT_CNTL_REG -- -- Process Description: -- This process implements the Output registers for the -- control signals. -- ------------------------------------------------------------- OUTPUT_CNTL_REG : process (ACLK) begin if (ACLK'event and ACLK = '1') then if (ARST = '1') then sig_strb_reg_out <= (others => '0'); sig_last_reg_out <= '0'; elsif (sig_data_reg_out_en = '1') then sig_strb_reg_out <= sig_strb_skid_mux_out; sig_last_reg_out <= sig_last_skid_mux_out; else null; -- hold current state end if; end if; end process OUTPUT_CNTL_REG; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_WR_DATA_MIRROR -- -- Process Description: -- Implement the Write Data Mirror structure -- -- Note that it is required that the Stream Width be less than -- or equal to the MMap WData width. -- ------------------------------------------------------------- DO_WR_DATA_MIRROR : process (sig_data_reg_out) begin for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1 downto C_SDATA_WIDTH*slice_index) <= sig_data_reg_out; end loop; end process DO_WR_DATA_MIRROR; ------------------------------------------------------------ -- Instance: I_WSTRB_DEMUX -- -- Description: -- Instance for the Write Strobe DeMux. -- ------------------------------------------------------------ I_WSTRB_DEMUX : entity axi_datamover_v5_1.axi_datamover_wr_demux generic map ( C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH , C_MMAP_DWIDTH => C_MDATA_WIDTH , C_STREAM_DWIDTH => C_SDATA_WIDTH ) port map ( wstrb_in => S_STRB , demux_wstrb_out => sig_wstrb_demux_out , debeat_saddr_lsb => S_ADDR_LSB ); end implementation;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- -- Testbench: testbench for a reset signal synchronizer -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.utils.all; entity sync_Reset_tb is end; architecture test of sync_Reset_tb is constant CLOCK_1_PERIOD : TIME := 10 ns; constant CLOCK_2_PERIOD : TIME := 17 ns; constant CLOCK_2_OFFSET : TIME := 2 ps; signal Clock1 : STD_LOGIC := '1'; signal Clock2_i : STD_LOGIC := '1'; signal Clock2 : STD_LOGIC; signal Sync_in : STD_LOGIC := '0'; signal Sync_out : STD_LOGIC; begin ClockProcess1 : process(Clock1) begin Clock1 <= not Clock1 after CLOCK_1_PERIOD / 2; end process; ClockProcess2 : process(Clock2_i) begin Clock2_i <= not Clock2_i after CLOCK_2_PERIOD / 2; end process; Clock2 <= Clock2_i'delayed(CLOCK_2_OFFSET); process begin wait for 4 * CLOCK_1_PERIOD; Sync_in <= 'X'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '0'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '1'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '0'; wait for 2 * CLOCK_1_PERIOD; Sync_in <= '1'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '0'; wait for 6 * CLOCK_1_PERIOD; Sync_in <= '1'; wait for 16 * CLOCK_1_PERIOD; Sync_in <= '0'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '1'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '0'; wait for 6 * CLOCK_1_PERIOD; wait; end process; syncReset : entity PoC.sync_Reset port map ( Clock => Clock2, -- input clock domain Input => Sync_in, -- input bits Output => Sync_out -- output bits ); end;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- -- Testbench: testbench for a reset signal synchronizer -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.utils.all; entity sync_Reset_tb is end; architecture test of sync_Reset_tb is constant CLOCK_1_PERIOD : TIME := 10 ns; constant CLOCK_2_PERIOD : TIME := 17 ns; constant CLOCK_2_OFFSET : TIME := 2 ps; signal Clock1 : STD_LOGIC := '1'; signal Clock2_i : STD_LOGIC := '1'; signal Clock2 : STD_LOGIC; signal Sync_in : STD_LOGIC := '0'; signal Sync_out : STD_LOGIC; begin ClockProcess1 : process(Clock1) begin Clock1 <= not Clock1 after CLOCK_1_PERIOD / 2; end process; ClockProcess2 : process(Clock2_i) begin Clock2_i <= not Clock2_i after CLOCK_2_PERIOD / 2; end process; Clock2 <= Clock2_i'delayed(CLOCK_2_OFFSET); process begin wait for 4 * CLOCK_1_PERIOD; Sync_in <= 'X'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '0'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '1'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '0'; wait for 2 * CLOCK_1_PERIOD; Sync_in <= '1'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '0'; wait for 6 * CLOCK_1_PERIOD; Sync_in <= '1'; wait for 16 * CLOCK_1_PERIOD; Sync_in <= '0'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '1'; wait for 1 * CLOCK_1_PERIOD; Sync_in <= '0'; wait for 6 * CLOCK_1_PERIOD; wait; end process; syncReset : entity PoC.sync_Reset port map ( Clock => Clock2, -- input clock domain Input => Sync_in, -- input bits Output => Sync_out -- output bits ); end;
--***************************************************************************** -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: 3.92 -- \ \ Application: MIG -- / / Filename: phy_dm_iob.vhd -- /___/ /\ Date Last Modified: $Date: 2011/06/02 07:18:12 $ -- \ \ / \ Date Created: Aug 03 2009 -- \___\/\___\ -- --Device: Virtex-6 --Design Name: DDR3 SDRAM --Purpose: -- This module places the data mask signals into the IOBs. --Reference: --Revision History: --***************************************************************************** --****************************************************************************** --**$Id: phy_dm_iob.vhd,v 1.1 2011/06/02 07:18:12 mishra Exp $ --**$Date: 2011/06/02 07:18:12 $ --**$Author: mishra $ --**$Revision: 1.1 $ --**$Source: /devl/xcs/repo/env/Databases/ip/src2/O/mig_v3_9/data/dlib/virtex6/ddr3_sdram/vhdl/rtl/phy/phy_dm_iob.vhd,v $ --****************************************************************************** library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity phy_dm_iob is generic ( TCQ : integer := 100; -- clk->out delay (sim only) nCWL : integer := 5; -- CAS Write Latency DRAM_TYPE : string := "DDR3"; -- Memory I/F type: "DDR3", "DDR2" WRLVL : string := "ON"; -- "OFF" for "DDR3" component interface REFCLK_FREQ : real := 300.0; -- IODELAY Reference Clock freq (MHz) IODELAY_HP_MODE : string := "ON"; -- IODELAY High Performance Mode IODELAY_GRP : string := "IODELAY_MIG" -- May be assigned unique name -- when mult IP cores in design ); port ( clk_mem : in std_logic; clk : in std_logic; clk_rsync : in std_logic; rst : in std_logic; -- IODELAY I/F dlyval : in std_logic_vector(4 downto 0); dm_ce : in std_logic; inv_dqs : in std_logic; wr_calib_dly : in std_logic_vector(1 downto 0); mask_data_rise0 : in std_logic; mask_data_fall0 : in std_logic; mask_data_rise1 : in std_logic; mask_data_fall1 : in std_logic; ddr_dm : out std_logic ); end phy_dm_iob; architecture trans_phy_dm_iob of phy_dm_iob is -- Set performance mode for IODELAY (power vs. performance tradeoff) function CALC_HIGH_PERF_MODE return boolean is begin if (IODELAY_HP_MODE = "OFF") then return FALSE; elsif (IODELAY_HP_MODE = "ON") then return TRUE; else return FALSE; end if; end function CALC_HIGH_PERF_MODE; constant HIGH_PERFORMANCE_MODE : boolean := CALC_HIGH_PERF_MODE; signal dm_odelay : std_logic; signal dm_oq : std_logic; signal mask_data_fall0_r1 : std_logic; signal mask_data_fall0_r2 : std_logic; signal mask_data_fall0_r3 : std_logic; signal mask_data_fall0_r4 : std_logic; signal mask_data_fall1_r1 : std_logic; signal mask_data_fall1_r2 : std_logic; signal mask_data_fall1_r3 : std_logic; signal mask_data_fall1_r4 : std_logic; signal mask_data_rise0_r1 : std_logic; signal mask_data_rise0_r2 : std_logic; signal mask_data_rise0_r3 : std_logic; signal mask_data_rise0_r4 : std_logic; signal mask_data_rise1_r1 : std_logic; signal mask_data_rise1_r2 : std_logic; signal mask_data_rise1_r3 : std_logic; signal mask_data_rise1_r4 : std_logic; signal out_d1 : std_logic; signal out_d2 : std_logic; signal out_d3 : std_logic; signal out_d4 : std_logic; signal xhdl1 : std_logic_vector(2 downto 0); attribute IODELAY_GROUP : string; attribute IODELAY_GROUP of u_odelay_dm : label is IODELAY_GRP; begin -- drive xhdl1 from wr_calib_dly(1 downto 0) and inv_dqs xhdl1 <= wr_calib_dly(1 downto 0) & inv_dqs; --*************************************************************************** -- Data Mask Bitslip --*************************************************************************** -- dfi_wrdata_en0 - even clk cycles channel 0 -- dfi_wrdata_en1 - odd clk cycles channel 1 -- tphy_wrlat set to 0 clk cycle for CWL = 5,6,7,8 -- Valid dfi_wrdata* sent 1 clk cycle after dfi_wrdata_en* is asserted -- mask_data_rise0 - first rising edge data mask (rise0) -- mask_data_fall0 - first falling edge data mask (fall0) -- mask_data_rise1 - second rising edge data mask (rise1) -- mask_data_fall1 - second falling edge data mask (fall1) process (clk) begin if (clk'event and clk = '1') then if (DRAM_TYPE = "DDR3") then mask_data_rise0_r1 <= dm_ce and mask_data_rise0 after (TCQ)*1 ps; mask_data_fall0_r1 <= dm_ce and mask_data_fall0 after (TCQ)*1 ps; mask_data_rise1_r1 <= dm_ce and mask_data_rise1 after (TCQ)*1 ps; mask_data_fall1_r1 <= dm_ce and mask_data_fall1 after (TCQ)*1 ps; else mask_data_rise0_r1 <= mask_data_rise0 after (TCQ)*1 ps; mask_data_fall0_r1 <= mask_data_fall0 after (TCQ)*1 ps; mask_data_rise1_r1 <= mask_data_rise1 after (TCQ)*1 ps; mask_data_fall1_r1 <= mask_data_fall1 after (TCQ)*1 ps; end if; mask_data_rise0_r2 <= mask_data_rise0_r1 after (TCQ)*1 ps; mask_data_fall0_r2 <= mask_data_fall0_r1 after (TCQ)*1 ps; mask_data_rise1_r2 <= mask_data_rise1_r1 after (TCQ)*1 ps; mask_data_fall1_r2 <= mask_data_fall1_r1 after (TCQ)*1 ps; mask_data_rise0_r3 <= mask_data_rise0_r2 after (TCQ)*1 ps; mask_data_fall0_r3 <= mask_data_fall0_r2 after (TCQ)*1 ps; mask_data_rise1_r3 <= mask_data_rise1_r2 after (TCQ)*1 ps; mask_data_fall1_r3 <= mask_data_fall1_r2 after (TCQ)*1 ps; mask_data_rise0_r4 <= mask_data_rise0_r3 after (TCQ)*1 ps; mask_data_fall0_r4 <= mask_data_fall0_r3 after (TCQ)*1 ps; mask_data_rise1_r4 <= mask_data_rise1_r3 after (TCQ)*1 ps; mask_data_fall1_r4 <= mask_data_fall1_r3 after (TCQ)*1 ps; end if; end process; -- Different nCWL values: 5, 6, 7, 8, 9 gen_dm_ddr3_write_lat : if (DRAM_TYPE = "DDR3") generate gen_dm_ncwl5_odd : if ((nCWL = 5) or (nCWL = 7) or (nCWL = 9)) generate process (clk) begin if (clk'event and clk = '1') then if (WRLVL = "OFF") then out_d1 <= mask_data_rise0_r1 after (TCQ)*1 ps; out_d2 <= mask_data_fall0_r1 after (TCQ)*1 ps; out_d3 <= mask_data_rise1_r1 after (TCQ)*1 ps; out_d4 <= mask_data_fall1_r1 after (TCQ)*1 ps; else -- write command sent by MC on channel1 -- D3,D4 inputs of the OCB used to send write command to DDR3 -- Shift bitslip logic by 1 or 2 clk_mem cycles -- Write calibration currently supports only upto 2 clk_mem cycles case (xhdl1) is -- 0 clk_mem delay required as per write calibration when "000" => out_d1 <= mask_data_fall0_r1 after (TCQ)*1 ps; out_d2 <= mask_data_rise1_r1 after (TCQ)*1 ps; out_d3 <= mask_data_fall1_r1 after (TCQ)*1 ps; out_d4 <= mask_data_rise0 after (TCQ)*1 ps; -- DQS inverted during write leveling when "001" => out_d1 <= mask_data_rise0_r1 after (TCQ)*1 ps; out_d2 <= mask_data_fall0_r1 after (TCQ)*1 ps; out_d3 <= mask_data_rise1_r1 after (TCQ)*1 ps; out_d4 <= mask_data_fall1_r1 after (TCQ)*1 ps; -- 1 clk_mem delay required as per write cal when "010" => out_d1 <= mask_data_fall1_r2 after (TCQ)*1 ps; out_d2 <= mask_data_rise0_r1 after (TCQ)*1 ps; out_d3 <= mask_data_fall0_r1 after (TCQ)*1 ps; out_d4 <= mask_data_rise1_r1 after (TCQ)*1 ps; -- DQS inverted during write leveling -- 1 clk_mem delay required as per write cal when "011" => out_d1 <= mask_data_rise1_r2 after (TCQ)*1 ps; out_d2 <= mask_data_fall1_r2 after (TCQ)*1 ps; out_d3 <= mask_data_rise0_r1 after (TCQ)*1 ps; out_d4 <= mask_data_fall0_r1 after (TCQ)*1 ps; -- 2 clk_mem delay required as per write cal when "100" => out_d1 <= mask_data_fall0_r2 after (TCQ)*1 ps; out_d2 <= mask_data_rise1_r2 after (TCQ)*1 ps; out_d3 <= mask_data_fall1_r2 after (TCQ)*1 ps; out_d4 <= mask_data_rise0_r1 after (TCQ)*1 ps; -- DQS inverted during write leveling -- 2 clk_mem delay required as per write cal when "101" => out_d1 <= mask_data_rise0_r2 after (TCQ)*1 ps; out_d2 <= mask_data_fall0_r2 after (TCQ)*1 ps; out_d3 <= mask_data_rise1_r2 after (TCQ)*1 ps; out_d4 <= mask_data_fall1_r2 after (TCQ)*1 ps; -- 3 clk_mem delay required as per write cal when "110" => out_d1 <= mask_data_fall1_r3 after (TCQ)*1 ps; out_d2 <= mask_data_rise0_r2 after (TCQ)*1 ps; out_d3 <= mask_data_fall0_r2 after (TCQ)*1 ps; out_d4 <= mask_data_rise1_r2 after (TCQ)*1 ps; -- DQS inverted during write leveling -- 3 clk_mem delay required as per write cal when "111" => out_d1 <= mask_data_rise1_r3 after (TCQ)*1 ps; out_d2 <= mask_data_fall1_r3 after (TCQ)*1 ps; out_d3 <= mask_data_rise0_r2 after (TCQ)*1 ps; out_d4 <= mask_data_fall0_r2 after (TCQ)*1 ps; -- defaults to 0 clk_mem delay when others => out_d1 <= mask_data_fall0_r1 after (TCQ)*1 ps; out_d2 <= mask_data_rise1_r1 after (TCQ)*1 ps; out_d3 <= mask_data_fall1_r1 after (TCQ)*1 ps; out_d4 <= mask_data_rise0 after (TCQ)*1 ps; end case; end if; end if; end process; end generate; gen_dm_ncwl_even : if ((nCWL = 6) or (nCWL = 8)) generate process (clk) begin if (clk'event and clk = '1') then if (WRLVL = "OFF") then out_d1 <= mask_data_rise1_r2 after (TCQ)*1 ps; out_d2 <= mask_data_fall1_r2 after (TCQ)*1 ps; out_d3 <= mask_data_rise0_r1 after (TCQ)*1 ps; out_d4 <= mask_data_fall0_r1 after (TCQ)*1 ps; else -- write command sent by MC on channel1 -- D3,D4 inputs of the OCB used to send write command to DDR3 -- Shift bitslip logic by 1 or 2 clk_mem cycles -- Write calibration currently supports only upto 2 clk_mem cycles case (xhdl1) is -- 0 clk_mem delay required as per write calibration -- could not test 0011 case when "000" => out_d1 <= mask_data_fall1_r2 after (TCQ)*1 ps; out_d2 <= mask_data_rise0_r1 after (TCQ)*1 ps; out_d3 <= mask_data_fall0_r1 after (TCQ)*1 ps; out_d4 <= mask_data_rise1_r1 after (TCQ)*1 ps; -- DQS inverted during write leveling when "001" => out_d1 <= mask_data_rise1_r2 after (TCQ)*1 ps; out_d2 <= mask_data_fall1_r2 after (TCQ)*1 ps; out_d3 <= mask_data_rise0_r1 after (TCQ)*1 ps; out_d4 <= mask_data_fall0_r1 after (TCQ)*1 ps; -- 1 clk_mem delay required as per write cal when "010" => out_d1 <= mask_data_fall0_r2 after (TCQ)*1 ps; out_d2 <= mask_data_rise1_r2 after (TCQ)*1 ps; out_d3 <= mask_data_fall1_r2 after (TCQ)*1 ps; out_d4 <= mask_data_rise0_r1 after (TCQ)*1 ps; -- DQS inverted during write leveling -- 1 clk_mem delay required as per write cal when "011" => out_d1 <= mask_data_rise0_r2 after (TCQ)*1 ps; out_d2 <= mask_data_fall0_r2 after (TCQ)*1 ps; out_d3 <= mask_data_rise1_r2 after (TCQ)*1 ps; out_d4 <= mask_data_fall1_r2 after (TCQ)*1 ps; -- 2 clk_mem delay required as per write cal when "100" => out_d1 <= mask_data_fall1_r3 after (TCQ)*1 ps; out_d2 <= mask_data_rise0_r2 after (TCQ)*1 ps; out_d3 <= mask_data_fall0_r2 after (TCQ)*1 ps; out_d4 <= mask_data_rise1_r2 after (TCQ)*1 ps; -- DQS inverted during write leveling -- 2 clk_mem delay required as per write cal when "101" => out_d1 <= mask_data_rise1_r3 after (TCQ)*1 ps; out_d2 <= mask_data_fall1_r3 after (TCQ)*1 ps; out_d3 <= mask_data_rise0_r2 after (TCQ)*1 ps; out_d4 <= mask_data_fall0_r2 after (TCQ)*1 ps; -- 3 clk_mem delay required as per write cal when "110" => out_d1 <= mask_data_fall0_r3 after (TCQ)*1 ps; out_d2 <= mask_data_rise1_r3 after (TCQ)*1 ps; out_d3 <= mask_data_fall1_r3 after (TCQ)*1 ps; out_d4 <= mask_data_rise0_r2 after (TCQ)*1 ps; -- DQS inverted during write leveling -- 3 clk_mem delay required as per write cal when "111" => out_d1 <= mask_data_rise0_r3 after (TCQ)*1 ps; out_d2 <= mask_data_fall0_r3 after (TCQ)*1 ps; out_d3 <= mask_data_rise1_r3 after (TCQ)*1 ps; out_d4 <= mask_data_fall1_r3 after (TCQ)*1 ps; -- defaults to 0 clk_mem delay when others => out_d1 <= mask_data_fall1_r2 after (TCQ)*1 ps; out_d2 <= mask_data_rise0_r1 after (TCQ)*1 ps; out_d3 <= mask_data_fall0_r1 after (TCQ)*1 ps; out_d4 <= mask_data_rise1_r1 after (TCQ)*1 ps; end case; end if; end if; end process; end generate; end generate; gen_dm_lat_ddr2 : if (DRAM_TYPE = "DDR2") generate gen_ddr2_ncwl2 : if (nCWL = 2) generate process (mask_data_rise1_r1, mask_data_fall1_r1, mask_data_rise0, mask_data_fall0) begin out_d1 <= mask_data_rise1_r1; out_d2 <= mask_data_fall1_r1; out_d3 <= mask_data_rise0; out_d4 <= mask_data_fall0; end process; end generate; gen_ddr2_ncwl3 : if (nCWL = 3) generate process (clk) begin if (clk'event and clk = '1') then out_d1 <= mask_data_rise0 after (TCQ)*1 ps; out_d2 <= mask_data_fall0 after (TCQ)*1 ps; out_d3 <= mask_data_rise1 after (TCQ)*1 ps; out_d4 <= mask_data_fall1 after (TCQ)*1 ps; end if; end process; end generate; gen_ddr2_ncwl4 : if (nCWL = 4) generate process (clk) begin if (clk'event and clk = '1') then out_d1 <= mask_data_rise1_r1 ; out_d2 <= mask_data_fall1_r1 ; out_d3 <= mask_data_rise0 ; out_d4 <= mask_data_fall0 ; end if; end process; end generate; gen_ddr2_ncwl5 : if (nCWL = 5) generate process (clk) begin if (clk'event and clk = '1') then out_d1 <= mask_data_rise0_r1 after (TCQ)*1 ps; out_d2 <= mask_data_fall0_r1 after (TCQ)*1 ps; out_d3 <= mask_data_rise1_r1 after (TCQ)*1 ps; out_d4 <= mask_data_fall1_r1 after (TCQ)*1 ps; end if; end process; end generate; gen_ddr2_ncwl6 : if (nCWL = 6) generate process (clk) begin if (clk'event and clk = '1') then out_d1 <= mask_data_rise1_r2; out_d2 <= mask_data_fall1_r2; out_d3 <= mask_data_rise0_r1; out_d4 <= mask_data_fall0_r1; end if; end process; end generate; end generate; --*************************************************************************** u_oserdes_dm : OSERDESE1 generic map ( DATA_RATE_OQ => "DDR", DATA_RATE_TQ => "DDR", DATA_WIDTH => 4, DDR3_DATA => 0, INIT_OQ => '0', INIT_TQ => '0', INTERFACE_TYPE => "DEFAULT", ODELAY_USED => 0, SERDES_MODE => "MASTER", SRVAL_OQ => '0', SRVAL_TQ => '0', TRISTATE_WIDTH => 4 ) port map ( OCBEXTEND => open, OFB => open, OQ => dm_oq, SHIFTOUT1 => open, SHIFTOUT2 => open, TQ => open, CLK => clk_mem, CLKDIV => clk, CLKPERF => 'Z', CLKPERFDELAY => 'Z', D1 => out_d1, D2 => out_d2, D3 => out_d3, D4 => out_d4, D5 => 'Z', D6 => 'Z', OCE => '1', ODV => '0', SHIFTIN1 => 'Z', SHIFTIN2 => 'Z', RST => rst, T1 => '0', T2 => '0', T3 => '0', T4 => '0', TFB => open, TCE => '1', WC => '0' ); -- Output of OSERDES drives IODELAY (ODELAY) u_odelay_dm : IODELAYE1 generic map ( cinvctrl_sel => FALSE, delay_src => "O", high_performance_mode => HIGH_PERFORMANCE_MODE, idelay_type => "FIXED", idelay_value => 0, odelay_type => "VAR_LOADABLE", odelay_value => 0, refclk_frequency => REFCLK_FREQ, signal_pattern => "DATA" ) port map ( dataout => dm_odelay, c => clk_rsync, ce => '0', datain => 'Z', idatain => 'Z', inc => '0', odatain => dm_oq, rst => '1', t => 'Z', cntvaluein => dlyval, cntvalueout => open, clkin => 'Z', cinvctrl => '0' ); -- Output of ODELAY drives OBUF u_obuf_dm : OBUF port map ( i => dm_odelay, o => ddr_dm ); end trans_phy_dm_iob;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mem_addr_counter is port ( clock : in std_logic; load_value : in unsigned(25 downto 0); do_load : in std_logic; do_inc : in std_logic; inc_by_4 : in std_logic; address : out unsigned(25 downto 0) ); end mem_addr_counter; architecture test of mem_addr_counter is signal addr_i : unsigned(address'range) := (others => '0'); begin process(clock) begin if rising_edge(clock) then if do_load='1' then addr_i <= load_value; elsif do_inc='1' then if inc_by_4='1' then addr_i <= addr_i + 4; else addr_i <= addr_i + 1; end if; end if; end if; end process; address <= addr_i; end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mem_addr_counter is port ( clock : in std_logic; load_value : in unsigned(25 downto 0); do_load : in std_logic; do_inc : in std_logic; inc_by_4 : in std_logic; address : out unsigned(25 downto 0) ); end mem_addr_counter; architecture test of mem_addr_counter is signal addr_i : unsigned(address'range) := (others => '0'); begin process(clock) begin if rising_edge(clock) then if do_load='1' then addr_i <= load_value; elsif do_inc='1' then if inc_by_4='1' then addr_i <= addr_i + 4; else addr_i <= addr_i + 1; end if; end if; end if; end process; address <= addr_i; end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mem_addr_counter is port ( clock : in std_logic; load_value : in unsigned(25 downto 0); do_load : in std_logic; do_inc : in std_logic; inc_by_4 : in std_logic; address : out unsigned(25 downto 0) ); end mem_addr_counter; architecture test of mem_addr_counter is signal addr_i : unsigned(address'range) := (others => '0'); begin process(clock) begin if rising_edge(clock) then if do_load='1' then addr_i <= load_value; elsif do_inc='1' then if inc_by_4='1' then addr_i <= addr_i + 4; else addr_i <= addr_i + 1; end if; end if; end if; end process; address <= addr_i; end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity sub_517 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end sub_517; architecture augh of sub_517 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); begin -- To handle the CI input, the operation is '0' - CI -- If CI is not present, the operation is '0' - '0' carry_inA <= '0' & in_a & '0'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); end architecture;
library ieee; use ieee.std_logic_1164.all; library ieee; use ieee.numeric_std.all; entity sub_517 is port ( result : out std_logic_vector(31 downto 0); in_a : in std_logic_vector(31 downto 0); in_b : in std_logic_vector(31 downto 0) ); end sub_517; architecture augh of sub_517 is signal carry_inA : std_logic_vector(33 downto 0); signal carry_inB : std_logic_vector(33 downto 0); signal carry_res : std_logic_vector(33 downto 0); begin -- To handle the CI input, the operation is '0' - CI -- If CI is not present, the operation is '0' - '0' carry_inA <= '0' & in_a & '0'; carry_inB <= '0' & in_b & '0'; -- Compute the result carry_res <= std_logic_vector(unsigned(carry_inA) - unsigned(carry_inB)); -- Set the outputs result <= carry_res(32 downto 1); end architecture;
entity sub is port ( i : in bit_vector(0 to 7); o : out bit_vector(0 to 7) ); end entity; architecture test of sub is begin o <= not i after 1 ns; end architecture; ------------------------------------------------------------------------------- entity elab22 is end entity; architecture test of elab22 is signal a : bit_vector(0 to 1); signal b : bit_vector(0 to 5); signal c : bit_vector(2 to 5); signal d : bit_vector(0 to 3); begin sub_i: entity work.sub port map ( i(0 to 1) => a, i(2 to 7) => b, o(0 to 3) => c, o(4 to 7) => d ); process is begin assert c = "0000"; assert d = "0000"; wait for 2 ns; assert c = "1111"; assert d = "1111"; a <= "11"; wait for 2 ns; assert c = "0011"; assert d = "1111"; b <= "011110"; wait for 2 ns; assert c = "0010"; assert d = "0001"; wait; end process; end architecture;
entity sub is port ( i : in bit_vector(0 to 7); o : out bit_vector(0 to 7) ); end entity; architecture test of sub is begin o <= not i after 1 ns; end architecture; ------------------------------------------------------------------------------- entity elab22 is end entity; architecture test of elab22 is signal a : bit_vector(0 to 1); signal b : bit_vector(0 to 5); signal c : bit_vector(2 to 5); signal d : bit_vector(0 to 3); begin sub_i: entity work.sub port map ( i(0 to 1) => a, i(2 to 7) => b, o(0 to 3) => c, o(4 to 7) => d ); process is begin assert c = "0000"; assert d = "0000"; wait for 2 ns; assert c = "1111"; assert d = "1111"; a <= "11"; wait for 2 ns; assert c = "0011"; assert d = "1111"; b <= "011110"; wait for 2 ns; assert c = "0010"; assert d = "0001"; wait; end process; end architecture;
entity sub is port ( i : in bit_vector(0 to 7); o : out bit_vector(0 to 7) ); end entity; architecture test of sub is begin o <= not i after 1 ns; end architecture; ------------------------------------------------------------------------------- entity elab22 is end entity; architecture test of elab22 is signal a : bit_vector(0 to 1); signal b : bit_vector(0 to 5); signal c : bit_vector(2 to 5); signal d : bit_vector(0 to 3); begin sub_i: entity work.sub port map ( i(0 to 1) => a, i(2 to 7) => b, o(0 to 3) => c, o(4 to 7) => d ); process is begin assert c = "0000"; assert d = "0000"; wait for 2 ns; assert c = "1111"; assert d = "1111"; a <= "11"; wait for 2 ns; assert c = "0011"; assert d = "1111"; b <= "011110"; wait for 2 ns; assert c = "0010"; assert d = "0001"; wait; end process; end architecture;
entity sub is port ( i : in bit_vector(0 to 7); o : out bit_vector(0 to 7) ); end entity; architecture test of sub is begin o <= not i after 1 ns; end architecture; ------------------------------------------------------------------------------- entity elab22 is end entity; architecture test of elab22 is signal a : bit_vector(0 to 1); signal b : bit_vector(0 to 5); signal c : bit_vector(2 to 5); signal d : bit_vector(0 to 3); begin sub_i: entity work.sub port map ( i(0 to 1) => a, i(2 to 7) => b, o(0 to 3) => c, o(4 to 7) => d ); process is begin assert c = "0000"; assert d = "0000"; wait for 2 ns; assert c = "1111"; assert d = "1111"; a <= "11"; wait for 2 ns; assert c = "0011"; assert d = "1111"; b <= "011110"; wait for 2 ns; assert c = "0010"; assert d = "0001"; wait; end process; end architecture;
entity sub is port ( i : in bit_vector(0 to 7); o : out bit_vector(0 to 7) ); end entity; architecture test of sub is begin o <= not i after 1 ns; end architecture; ------------------------------------------------------------------------------- entity elab22 is end entity; architecture test of elab22 is signal a : bit_vector(0 to 1); signal b : bit_vector(0 to 5); signal c : bit_vector(2 to 5); signal d : bit_vector(0 to 3); begin sub_i: entity work.sub port map ( i(0 to 1) => a, i(2 to 7) => b, o(0 to 3) => c, o(4 to 7) => d ); process is begin assert c = "0000"; assert d = "0000"; wait for 2 ns; assert c = "1111"; assert d = "1111"; a <= "11"; wait for 2 ns; assert c = "0011"; assert d = "1111"; b <= "011110"; wait for 2 ns; assert c = "0010"; assert d = "0001"; wait; end process; end architecture;
library ieee; use ieee.s_1164.all; entity dff is generic (len : natural := 8); port (clk : in std_logic; t_n : in std_logic; d : c_vector (len - 1 downto 0); q : out stdector (len - 1 downto 0)); end dff; architecture behav of dff is begin p: process (clk) begin if rising_edge (clk) then if rst_n then q <= (others => '0'); else q <= d; end if; end if; end process p; end behav; entity hello is end hello; architecture behav of hello is signal clk : std_logic; signal rst_n : std_logic; signal din, dout, dout2 : std_logic_vector (7 downto 0); component dff is generic (len : natural := 8); port (clk : in std_logic; st_n : in std_logic; d : std_logic_vector (len - 1 downto 0); q : out std_logic_vector (len - 1 downto 0)); end component; begin mydff : entity work.dff generic m!p (} => 8) port map (clk => clk, rst_n => rst_n, d => din, q => dout); dff2 : dff generic map (l => 8) port map (clk => clk, rst_n => rst_n, d => din, q => dout2); rst_n <= '0' after 0 ns, '1' after 4 ns; process begin clk <= '0'; wait for 1 ns; clk <= '1'; wait for 1 ns; end process; chkr: process (clk) begin if rst_n = '0' then null; elsif rising_edge (clk) then assert dout = dout2 report "incoherence" severity failure; end if; end process chkr; process variable v : natural := 0; begin wait until rst_n = '1'; wait until clk = '0'; report "start of tb" severity note; for i in din'range loop din(i) <= '0'; end loop; wait until clk = '0'; end process; assert false report "Hello world" severity note; end behav;
-- Projeto gerado via script. -- Data: Qua,20/07/2011-13:51:40 -- Autor: rogerio -- Comentario: Descrição da Entidade: and3. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity and3 is port (a,b,c: in std_logic; y: out std_logic); end and3; architecture logica of and3 is begin -- Comandos. y <= a and b and c; end logica;
library verilog; use verilog.vl_types.all; entity ex_stage is port( clk : in vl_logic; reset : in vl_logic; stall : in vl_logic; flush : in vl_logic; int_detect : in vl_logic; fwd_data : out vl_logic_vector(31 downto 0); id_pc : in vl_logic_vector(29 downto 0); id_en : in vl_logic; id_alu_op : in vl_logic_vector(3 downto 0); id_alu_in_0 : in vl_logic_vector(31 downto 0); id_alu_in_1 : in vl_logic_vector(31 downto 0); id_br_flag : in vl_logic; id_mem_op : in vl_logic_vector(1 downto 0); id_mem_wr_data : in vl_logic_vector(31 downto 0); id_ctrl_op : in vl_logic_vector(1 downto 0); id_dst_addr : in vl_logic_vector(4 downto 0); id_gpr_we_n : in vl_logic; id_exp_code : in vl_logic_vector(2 downto 0); ex_pc : out vl_logic_vector(29 downto 0); ex_en : out vl_logic; ex_br_flag : out vl_logic; ex_mem_op : out vl_logic_vector(1 downto 0); ex_mem_wr_data : out vl_logic_vector(31 downto 0); ex_ctrl_op : out vl_logic_vector(1 downto 0); ex_dst_addr : out vl_logic_vector(4 downto 0); ex_gpr_we_n : out vl_logic; ex_exp_code : out vl_logic_vector(2 downto 0); ex_out : out vl_logic_vector(31 downto 0) ); end ex_stage;
-------------------------------------------------------------------------------- -- -- FIFO Generator Core Demo Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: fg_tb_top.vhd -- -- Description: -- This is the demo testbench top file for fifo_generator core. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY ieee; LIBRARY std; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE IEEE.std_logic_arith.ALL; USE IEEE.std_logic_misc.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_textio.ALL; USE std.textio.ALL; LIBRARY work; USE work.fg_tb_pkg.ALL; ENTITY fg_tb_top IS END ENTITY; ARCHITECTURE fg_tb_arch OF fg_tb_top IS SIGNAL status : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00000000"; SIGNAL wr_clk : STD_LOGIC; SIGNAL reset : STD_LOGIC; SIGNAL sim_done : STD_LOGIC := '0'; SIGNAL end_of_sim : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); -- Write and Read clock periods CONSTANT wr_clk_period_by_2 : TIME := 24 ns; -- Procedures to display strings PROCEDURE disp_str(CONSTANT str:IN STRING) IS variable dp_l : line := null; BEGIN write(dp_l,str); writeline(output,dp_l); END PROCEDURE; PROCEDURE disp_hex(signal hex:IN STD_LOGIC_VECTOR(7 DOWNTO 0)) IS variable dp_lx : line := null; BEGIN hwrite(dp_lx,hex); writeline(output,dp_lx); END PROCEDURE; BEGIN -- Generation of clock PROCESS BEGIN WAIT FOR 110 ns; -- Wait for global reset WHILE 1 = 1 LOOP wr_clk <= '0'; WAIT FOR wr_clk_period_by_2; wr_clk <= '1'; WAIT FOR wr_clk_period_by_2; END LOOP; END PROCESS; -- Generation of Reset PROCESS BEGIN reset <= '1'; WAIT FOR 480 ns; reset <= '0'; WAIT; END PROCESS; -- Error message printing based on STATUS signal from fg_tb_synth PROCESS(status) BEGIN IF(status /= "0" AND status /= "1") THEN disp_str("STATUS:"); disp_hex(status); END IF; IF(status(7) = '1') THEN assert false report "Data mismatch found" severity error; END IF; IF(status(1) = '1') THEN END IF; IF(status(5) = '1') THEN assert false report "Empty flag Mismatch/timeout" severity error; END IF; IF(status(6) = '1') THEN assert false report "Full Flag Mismatch/timeout" severity error; END IF; END PROCESS; PROCESS BEGIN wait until sim_done = '1'; IF(status /= "0" AND status /= "1") THEN assert false report "Simulation failed" severity failure; ELSE assert false report "Simulation Complete" severity failure; END IF; END PROCESS; PROCESS BEGIN wait for 100 ms; assert false report "Test bench timed out" severity failure; END PROCESS; -- Instance of fg_tb_synth fg_tb_synth_inst:fg_tb_synth GENERIC MAP( FREEZEON_ERROR => 0, TB_STOP_CNT => 2, TB_SEED => 50 ) PORT MAP( CLK => wr_clk, RESET => reset, SIM_DONE => sim_done, STATUS => status ); END ARCHITECTURE;
library ieee; use ieee.std_logic_1164.all; entity mdim01 is port (a0, a1 : std_logic_vector (3 downto 0); o0 : out std_logic_vector (3 downto 0)); end mdim01; architecture behav of mdim01 is type t_matrix is array (0 to 1, 3 downto 0) of boolean; constant mat : t_matrix := (0 => (3 => true, 2 => true, 1 => false, 0 => false), 1 => (3 => true, 2 => false, 1 => true, 0 => false)); begin process (a0, a1) variable b : std_logic; begin for i in t_matrix'range(2) loop if mat (0, i) then b := a0 (i); else b := '0'; end if; if mat (1, i) then b := b xor a1 (i); end if; o0 (i) <= b; end loop; end process; end behav;
------------------------------------------------------------------------------- -- -- MSX1 FPGA project -- -- Copyright (c) 2016, Fabio Belavenuto ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity ssdram is generic ( freq_g : integer := 100; rfsh_cycles_g : integer := 4096; rfsh_period_g : integer := 64 ); port ( clock_i : in std_logic; reset_i : in std_logic; refresh_i : in std_logic := '1'; -- Static RAM bus addr_i : in std_logic_vector(22 downto 0); -- 8MB data_i : in std_logic_vector( 7 downto 0); data_o : out std_logic_vector( 7 downto 0); cs_i : in std_logic; oe_i : in std_logic; we_i : in std_logic; -- SD-RAM ports mem_cke_o : out std_logic; mem_cs_n_o : out std_logic; mem_ras_n_o : out std_logic; mem_cas_n_o : out std_logic; mem_we_n_o : out std_logic; mem_udq_o : out std_logic; mem_ldq_o : out std_logic; mem_ba_o : out std_logic_vector( 1 downto 0); mem_addr_o : out std_logic_vector(11 downto 0); mem_data_io : inout std_logic_vector(15 downto 0) ); end entity; architecture Behavior of ssdram is constant SdrCmd_de_c : std_logic_vector(3 downto 0) := "1111"; -- deselect constant SdrCmd_xx_c : std_logic_vector(3 downto 0) := "0111"; -- no operation constant SdrCmd_rd_c : std_logic_vector(3 downto 0) := "0101"; -- read constant SdrCmd_wr_c : std_logic_vector(3 downto 0) := "0100"; -- write constant SdrCmd_ac_c : std_logic_vector(3 downto 0) := "0011"; -- activate constant SdrCmd_pr_c : std_logic_vector(3 downto 0) := "0010"; -- precharge all constant SdrCmd_re_c : std_logic_vector(3 downto 0) := "0001"; -- refresh constant SdrCmd_ms_c : std_logic_vector(3 downto 0) := "0000"; -- mode regiser set -- SD-RAM control signals signal SdrCmd_s : std_logic_vector(3 downto 0); signal SdrBa_s : std_logic_vector(1 downto 0); signal SdrUdq_s : std_logic; signal SdrLdq_s : std_logic; signal SdrAdr_s : std_logic_vector(11 downto 0); signal SdrDat_s : std_logic_vector(15 downto 0); signal ram_req_s : std_logic; signal ram_ack_s : std_logic; signal ram_addr_s : std_logic_vector(22 downto 0); signal ram_din_s : std_logic_vector( 7 downto 0); signal ram_dout_s : std_logic_vector( 7 downto 0); signal ram_we_s : std_logic; begin -- Detect request process (reset_i, clock_i) variable pcs_v : std_logic_vector(1 downto 0); variable acess_v : std_logic; begin if reset_i = '1' then data_o <= (others => '1'); ram_we_s <= '0'; ram_req_s <= '0'; pcs_v := "00"; elsif rising_edge(clock_i) then if ram_req_s = '1' and ram_ack_s = '1' then if ram_we_s = '0' then data_o <= ram_dout_s; end if; ram_req_s <= '0'; end if; if pcs_v = "01" then ram_addr_s <= addr_i; ram_req_s <= '1'; if we_i = '1' then ram_din_s <= data_i; ram_we_s <= '1'; else ram_we_s <= '0'; end if; end if; acess_v := cs_i and (oe_i or we_i); pcs_v := pcs_v(0) & acess_v; end if; end process; ---------------------------- process (clock_i) type typSdrRoutine_t is ( SdrRoutine_Null, SdrRoutine_Init, SdrRoutine_Idle, SdrRoutine_RefreshAll, SdrRoutine_ReadOne, SdrRoutine_WriteOne ); variable SdrRoutine_v : typSdrRoutine_t := SdrRoutine_Null; variable SdrRoutineSeq_v : unsigned( 7 downto 0) := X"00"; variable refreshDelayCounter_v : unsigned(23 downto 0) := x"000000"; variable SdrRefreshCounter_v : unsigned(15 downto 0) := X"0000"; variable SdrAddress_v : std_logic_vector(22 downto 0); begin if rising_edge(clock_i) then ram_ack_s <= '0'; case SdrRoutine_v is when SdrRoutine_Null => SdrCmd_s <= SdrCmd_xx_c; SdrDat_s <= (others => 'Z'); if refreshDelayCounter_v = 0 then SdrRoutine_v := SdrRoutine_Init; end if; when SdrRoutine_Init => if SdrRoutineSeq_v = X"00" then SdrCmd_s <= SdrCmd_pr_c; SdrAdr_s <= (others => '1'); SdrBa_s <= "00"; SdrUdq_s <= '1'; SdrLdq_s <= '1'; SdrRoutineSeq_v := SdrRoutineSeq_v + 1; elsif SdrRoutineSeq_v = X"04" or SdrRoutineSeq_v = X"0C" then SdrCmd_s <= SdrCmd_re_c; SdrRoutineSeq_v := SdrRoutineSeq_v + 1; elsif SdrRoutineSeq_v = X"14" then SdrCmd_s <= SdrCmd_ms_c; SdrAdr_s <= "00" & "1" & "00" & "010" & "0" & "000"; -- Single, Standard, CAS Latency=2, WT=0(seq), BL=1 SdrRoutineSeq_v := SdrRoutineSeq_v + 1; elsif SdrRoutineSeq_v = X"17" then SdrCmd_s <= SdrCmd_xx_c; SdrRoutineSeq_v := X"00"; SdrRoutine_v := SdrRoutine_Idle; else SdrCmd_s <= SdrCmd_xx_c; SdrRoutineSeq_v := SdrRoutineSeq_v + 1; end if; when SdrRoutine_Idle => SdrCmd_s <= SdrCmd_xx_c; SdrDat_s <= (others => 'Z'); if ram_req_s = '1' and ram_ack_s = '0' then SdrAddress_v := ram_addr_s; if ram_we_s = '1' then SdrRoutine_v := SdrRoutine_WriteOne; else SdrRoutine_v := SdrRoutine_ReadOne; end if; elsif SdrRefreshCounter_v < rfsh_cycles_g and refresh_i = '1' then SdrRoutine_v := SdrRoutine_RefreshAll; SdrRefreshCounter_v := SdrRefreshCounter_v + 1; end if; when SdrRoutine_RefreshAll => if SdrRoutineSeq_v = X"00" then SdrCmd_s <= SdrCmd_re_c; SdrRoutineSeq_v := SdrRoutineSeq_v + 1; elsif SdrRoutineSeq_v = X"06" then SdrCmd_s <= SdrCmd_xx_c; SdrRoutineSeq_v := X"00"; SdrRoutine_v := SdrRoutine_Idle; else SdrCmd_s <= SdrCmd_xx_c; SdrRoutineSeq_v := SdrRoutineSeq_v + 1; end if; when SdrRoutine_ReadOne => if SdrRoutineSeq_v = X"00" then SdrCmd_s <= SdrCmd_ac_c; SdrBa_s <= SdrAddress_v(22 downto 21); SdrAdr_s <= SdrAddress_v(20 downto 9); -- Row (12 bits) SdrRoutineSeq_v := SdrRoutineSeq_v + 1; elsif SdrRoutineSeq_v = X"02" then SdrCmd_s <= SdrCmd_rd_c; SdrAdr_s(11 downto 8) <= "0100"; -- A10 = '1' => Auto Pre-charge SdrAdr_s(7 downto 0) <= SdrAddress_v(8 downto 1); -- Col (8 bits) SdrUdq_s <= '0'; SdrLdq_s <= '0'; SdrRoutineSeq_v := SdrRoutineSeq_v + 1; elsif SdrRoutineSeq_v = X"05" then if SdrAddress_v(0) = '0' then ram_dout_s <= mem_data_io(7 downto 0); else ram_dout_s <= mem_data_io(15 downto 8); end if; ram_ack_s <= '1'; SdrCmd_s <= SdrCmd_xx_c; SdrRoutineSeq_v := SdrRoutineSeq_v + 1; elsif SdrRoutineSeq_v = X"06" then SdrRoutineSeq_v := X"00"; SdrRoutine_v := SdrRoutine_Idle; else SdrCmd_s <= SdrCmd_xx_c; SdrRoutineSeq_v := SdrRoutineSeq_v + 1; end if; when SdrRoutine_WriteOne => if SdrRoutineSeq_v = X"00" then SdrCmd_s <= SdrCmd_ac_c; SdrBa_s <= SdrAddress_v(22 downto 21); SdrAdr_s <= SdrAddress_v(20 downto 9); SdrRoutineSeq_v := SdrRoutineSeq_v + 1; elsif SdrRoutineSeq_v = X"02" then SdrCmd_s <= SdrCmd_wr_c; SdrAdr_s(11 downto 8) <= "0100"; -- A10 = '1' => Auto Pre-charge SdrAdr_s(7 downto 0) <= SdrAddress_v(8 downto 1); SdrUdq_s <= not SdrAddress_v(0); SdrLdq_s <= SdrAddress_v(0); SdrDat_s <= ram_din_s & ram_din_s; SdrRoutineSeq_v := SdrRoutineSeq_v + 1; elsif SdrRoutineSeq_v = X"03" then ram_ack_s <= '1'; SdrCmd_s <= SdrCmd_xx_c; SdrDat_s <= (others => 'Z'); SdrRoutineSeq_v := SdrRoutineSeq_v + 1; elsif SdrRoutineSeq_v = X"05" then SdrRoutineSeq_v := X"00"; SdrRoutine_v := SdrRoutine_Idle; else SdrCmd_s <= SdrCmd_xx_c; SdrRoutineSeq_v := SdrRoutineSeq_v + 1; end if; end case; refreshDelayCounter_v := refreshDelayCounter_v + 1; if refreshDelayCounter_v >= ( freq_g * 1000 * rfsh_period_g ) then refreshDelayCounter_v := x"000000"; SdrRefreshCounter_v := x"0000"; end if; end if; end process; mem_cke_o <= '1'; mem_cs_n_o <= SdrCmd_s(3); mem_ras_n_o <= SdrCmd_s(2); mem_cas_n_o <= SdrCmd_s(1); mem_we_n_o <= SdrCmd_s(0); mem_udq_o <= SdrUdq_s; mem_ldq_o <= SdrLdq_s; mem_ba_o <= SdrBa_s; mem_addr_o <= SdrAdr_s; mem_data_io <= SdrDat_s; end architecture;
------------------------------------------------------------------------------- -- Title : Vectoring-mode cordic, slv version -- Project : ------------------------------------------------------------------------------- -- File : cordic_vectoring_slv.vhd -- Author : aylons <aylons@LNLS190> -- Company : -- Created : 2014-05-13 -- Last update: 2015-11-25 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: This is a top-block for vectoring mode using concordic, -- constrained standard_logic_vector version. ------------------------------------------------------------------------------- -- This file is part of Concordic. -- -- Concordic is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- Concordic is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with Foobar. If not, see <http://www.gnu.org/licenses/>. -- Copyright (c) 2014 Aylons Hazzud ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-05-13 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; use work.dsp_cores_pkg.all; ------------------------------------------------------------------------------- entity cordic_vectoring_slv is generic ( g_stages : natural := 20; g_width : natural := 32 ); port ( x_i : in std_logic_vector(g_width-1 downto 0) := (others => '0'); y_i : in std_logic_vector(g_width-1 downto 0) := (others => '0'); clk_i : in std_logic; ce_i : in std_logic; valid_i : in std_logic; rst_i : in std_logic; mag_o : out std_logic_vector(g_width-1 downto 0) := (others => '0'); phase_o : out std_logic_vector(g_width-1 downto 0) := (others => '0'); valid_o : out std_logic ); end entity cordic_vectoring_slv; ------------------------------------------------------------------------------- architecture str of cordic_vectoring_slv is signal adjusted_x : signed(g_width-1 downto 0) := (others => '0'); signal adjusted_y : signed(g_width-1 downto 0) := (others => '0'); signal adjusted_z : signed(g_width-1 downto 0) := (others => '0'); signal mag_temp : signed(g_width-1 downto 0) := (others => '0'); signal phase_temp : signed(g_width-1 downto 0) := (others => '0'); signal y_temp : signed(g_width-1 downto 0) := (others => '0'); signal x_i_signed : signed(g_width-1 downto 0); signal y_i_signed : signed(g_width-1 downto 0); signal valid_temp : std_logic := '0'; begin -- architecture str x_i_signed <= signed(x_i); y_i_signed <= signed(y_i); cmp_inversion : inversion_stage generic map ( g_mode => "rect_to_polar") port map ( x_i => x_i_signed, y_i => y_i_signed, z_i => (g_width-1 downto 0 => '0'), clk_i => clk_i, ce_i => ce_i, rst_i => rst_i, valid_i => valid_i, x_o => adjusted_x, y_o => adjusted_y, z_o => adjusted_z, valid_o => valid_temp); cmp_core : cordic_core generic map ( g_stages => g_stages, g_mode => "rect_to_polar", g_bit_growth => natural(ceil(log2(real(g_stages))))) port map ( x_i => adjusted_x, y_i => adjusted_y, z_i => adjusted_z, clk_i => clk_i, ce_i => ce_i, rst_i => rst_i, valid_i => valid_temp, x_o => mag_temp, y_o => y_temp, z_o => phase_temp, valid_o => valid_o); mag_o <= std_logic_vector(mag_temp); phase_o <= std_logic_vector(phase_temp); end architecture str; -------------------------------------------------------------------------------
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:00:23 11/19/2015 -- Design Name: -- Module Name: ImageFilter - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; --use IEEE.STD_LOGIC_ARITH.ALL; --use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ImageFilter is Port (Din : IN STD_LOGIC_VECTOR(7 downto 0); CLK : IN STD_LOGIC; RESET : IN STD_LOGIC; WR_EN: IN STD_LOGIC; m1 : in signed(3 downto 0); m2 : in signed(3 downto 0); m3 : in signed(3 downto 0); m4 : in signed(3 downto 0); m5 : in signed(3 downto 0); m6 : in signed(3 downto 0); m7 : in signed(3 downto 0); m8 : in signed(3 downto 0); m9 : in signed(3 downto 0); divider_selector: in std_logic; RD_EN: OUT STD_LOGIC; Dout : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)); end ImageFilter; architecture Behavioral of ImageFilter is component fifo port( clk : IN std_logic; rst : IN std_logic; din : IN std_logic_vector(7 downto 0); wr_en : IN std_logic; rd_en : IN std_logic; prog_full_thresh : IN std_logic_vector(9 downto 0); dout : OUT std_logic_vector(7 downto 0); full : OUT std_logic; almost_full : OUT std_logic; empty : OUT std_logic; prog_full : OUT std_logic ); end component; component FlipFlop generic (Bus_Width: integer := 8); port (D: IN STD_LOGIC_VECTOR (Bus_Width-1 downto 0); Q: OUT STD_LOGIC_VECTOR (Bus_Width-1 downto 0); CLK: IN STD_LOGIC; EN: IN STD_LOGIC; RESET: IN STD_LOGIC); end component; component kernel port( IN1 : in std_logic_vector(7 downto 0); IN2 : in std_logic_vector(7 downto 0); IN3 : in std_logic_vector(7 downto 0); IN4 : in std_logic_vector(7 downto 0); IN5 : in std_logic_vector(7 downto 0); IN6 : in std_logic_vector(7 downto 0); IN7 : in std_logic_vector(7 downto 0); IN8 : in std_logic_vector(7 downto 0); IN9 : in std_logic_vector(7 downto 0); m1 : in signed(3 downto 0); m2 : in signed(3 downto 0); m3 : in signed(3 downto 0); m4 : in signed(3 downto 0); m5 : in signed(3 downto 0); m6 : in signed(3 downto 0); m7 : in signed(3 downto 0); m8 : in signed(3 downto 0); m9 : in signed(3 downto 0); divider_selector: in std_logic; EN: in std_logic; OP : out std_logic_vector(7 downto 0); RESET : in std_logic; clk : in std_logic ); end component; signal temp1 : STD_LOGIC_VECTOR(7 downto 0); signal temp2 : STD_LOGIC_VECTOR(7 downto 0); signal temp3 : STD_LOGIC_VECTOR(7 downto 0); signal temp4 : STD_LOGIC_VECTOR(7 downto 0); signal temp5 : STD_LOGIC_VECTOR(7 downto 0); signal temp6 : STD_LOGIC_VECTOR(7 downto 0); signal temp7 : STD_LOGIC_VECTOR(7 downto 0); signal temp8 : STD_LOGIC_VECTOR(7 downto 0); signal temp9 : STD_LOGIC_VECTOR(7 downto 0); signal temp10 : STD_LOGIC_VECTOR(7 downto 0); signal temp11 : STD_LOGIC_VECTOR(7 downto 0); constant prog_full_thresh0 : STD_LOGIC_VECTOR(9 downto 0) := "00" & x"7B"; constant prog_full_thresh1 : STD_LOGIC_VECTOR(9 downto 0) := "00" & x"7B"; signal wr_en0 : std_logic := '0'; signal rd_en0 : std_logic := '0'; signal full0 : std_logic; signal almost_full0 : std_logic; signal empty0 : std_logic; signal prog_full0 : std_logic; signal wr_en1 : std_logic := '0'; signal rd_en1 : std_logic := '0'; signal full1 : std_logic; signal almost_full1 : std_logic; signal empty1 : std_logic; signal prog_full1 : std_logic; begin t_0: FlipFlop generic map (8) port map (D => Din, Q => temp1, CLK => CLK, EN => '1', RESET => RESET); t_1: FlipFlop generic map (8) port map (D => temp1, Q => temp2, CLK => CLK, EN => '1', RESET => RESET); t_2: FlipFlop generic map (8) port map (D => temp2, Q => temp3, CLK => CLK, EN => '1', RESET => RESET); fif0: fifo port map(clk => clk, rst => RESET, din => temp3, wr_en => '1', rd_en => prog_full0, prog_full_thresh => prog_full_thresh0, dout =>temp4, full => full0, almost_full => almost_full0, empty => empty0, prog_full => prog_full0); t_3: FlipFlop generic map (8) port map (D => temp4, Q => temp5, CLK => CLK, EN => '1', RESET => RESET); t_4: FlipFlop generic map (8) port map (D => temp5, Q => temp6, CLK => CLK, EN => '1', RESET => RESET); t_5: FlipFlop generic map (8) port map (D => temp6, Q => temp7, CLK => CLK, EN => '1', RESET => RESET); fif1: fifo port map(clk => clk, rst => RESET, din => temp7, wr_en => '1', rd_en => prog_full1, prog_full_thresh => prog_full_thresh1, dout =>temp8, full => full1, almost_full => almost_full1, empty => empty1, prog_full => prog_full1); t_6: FlipFlop generic map (8) port map (D => temp8, Q => temp9, CLK => CLK, EN => '1', RESET => RESET); t_7: FlipFlop generic map (8) port map (D => temp9, Q => temp10, CLK => CLK, EN => '1', RESET => RESET); t_8: FlipFlop generic map (8) port map (D => temp10, Q => temp11, CLK => CLK, EN => '1', RESET => RESET); rd_en_proc: process(CLK, RESET) variable counter : integer := 0; begin if (RESET = '1') then counter := 0; rd_en <= '0'; elsif rising_edge(clk) then if (wr_en = '1') then -- start change if (counter = 130+8) then rd_en <= '1'; else counter := counter + 1; end if; end if; if (wr_en = '0') then if (counter = 0) then rd_en <= '0'; else counter := counter - 1; end if; end if; end if; end process; kernel0: kernel port map ( IN1 => temp11, IN2 => temp10, IN3 => temp9, IN4 => temp7, IN5 => temp6, IN6 => temp5, IN7 => temp3, IN8 => temp2, IN9 => temp1, m1 => m1, m2 => m2, m3 => m3, m4 => m4, m5 => m5, m6 => m6, m7 => m7, m8 => m8, m9 => m9, divider_selector => divider_selector, EN => '1', OP => Dout, RESET => RESET, clk => clk); -- Dout <= temp11; end Behavioral;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016 -- Date : Thu Sep 28 11:37:19 2017 -- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ fifo_generator_rx_inst_sim_netlist.vhdl -- Design : fifo_generator_rx_inst -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7k325tffg676-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper is port ( dout : out STD_LOGIC_VECTOR ( 17 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "COMMON"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 18, READ_WIDTH_B => 18, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 18, WRITE_WIDTH_B => 18 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 4) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), ADDRARDADDR(3 downto 0) => B"1111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 4) => Q(10 downto 0), ADDRBWRADDR(3 downto 0) => B"1111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clk, CLKBWRCLK => clk, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 16) => B"0000000000000000", DIADI(15 downto 8) => din(16 downto 9), DIADI(7 downto 0) => din(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 2) => B"00", DIPADIP(1) => din(17), DIPADIP(0) => din(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 16) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 16), DOBDO(15 downto 8) => dout(16 downto 9), DOBDO(7 downto 0) => dout(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 2), DOPBDOP(1) => dout(17), DOPBDOP(0) => dout(8), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ram_full_fb_i_reg, ENBWREN => tmp_ram_rd_en, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => \out\(0), RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => ram_full_fb_i_reg, WEA(2) => ram_full_fb_i_reg, WEA(1) => ram_full_fb_i_reg, WEA(0) => ram_full_fb_i_reg, WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0\ is port ( dout : out STD_LOGIC_VECTOR ( 17 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0\ : entity is "blk_mem_gen_prim_wrapper"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0\ is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "COMMON"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 18, READ_WIDTH_B => 18, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 18, WRITE_WIDTH_B => 18 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 4) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), ADDRARDADDR(3 downto 0) => B"1111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 4) => Q(10 downto 0), ADDRBWRADDR(3 downto 0) => B"1111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clk, CLKBWRCLK => clk, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 16) => B"0000000000000000", DIADI(15 downto 8) => din(16 downto 9), DIADI(7 downto 0) => din(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 2) => B"00", DIPADIP(1) => din(17), DIPADIP(0) => din(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 16) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 16), DOBDO(15 downto 8) => dout(16 downto 9), DOBDO(7 downto 0) => dout(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 2), DOPBDOP(1) => dout(17), DOPBDOP(0) => dout(8), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ram_full_fb_i_reg, ENBWREN => tmp_ram_rd_en, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => \out\(0), RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => ram_full_fb_i_reg, WEA(2) => ram_full_fb_i_reg, WEA(1) => ram_full_fb_i_reg, WEA(0) => ram_full_fb_i_reg, WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized1\ is port ( dout : out STD_LOGIC_VECTOR ( 17 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized1\ : entity is "blk_mem_gen_prim_wrapper"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized1\ is signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "COMMON"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 18, READ_WIDTH_B => 18, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 18, WRITE_WIDTH_B => 18 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 4) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), ADDRARDADDR(3 downto 0) => B"1111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 4) => Q(10 downto 0), ADDRBWRADDR(3 downto 0) => B"1111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clk, CLKBWRCLK => clk, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 16) => B"0000000000000000", DIADI(15 downto 8) => din(16 downto 9), DIADI(7 downto 0) => din(7 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 2) => B"00", DIPADIP(1) => din(17), DIPADIP(0) => din(8), DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 16) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 16), DOBDO(15 downto 8) => dout(16 downto 9), DOBDO(7 downto 0) => dout(7 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 2), DOPBDOP(1) => dout(17), DOPBDOP(0) => dout(8), ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ram_full_fb_i_reg, ENBWREN => tmp_ram_rd_en, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => \out\(0), RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => ram_full_fb_i_reg, WEA(2) => ram_full_fb_i_reg, WEA(1) => ram_full_fb_i_reg, WEA(0) => ram_full_fb_i_reg, WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized2\ is port ( dout : out STD_LOGIC_VECTOR ( 9 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized2\ : entity is "blk_mem_gen_prim_wrapper"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized2\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized2\ is signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_69\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_70\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_71\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_77\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_78\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_79\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_91\ : STD_LOGIC; signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_92\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 16 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "COMMON"; attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 18, READ_WIDTH_B => 18, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 18, WRITE_WIDTH_B => 18 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 4) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), ADDRARDADDR(3 downto 0) => B"1111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 4) => Q(10 downto 0), ADDRBWRADDR(3 downto 0) => B"1111", CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clk, CLKBWRCLK => clk, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 13) => B"0000000000000000000", DIADI(12 downto 8) => din(9 downto 5), DIADI(7 downto 5) => B"000", DIADI(4 downto 0) => din(4 downto 0), DIBDI(31 downto 0) => B"00000000000000000000000000000000", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0), DOBDO(31 downto 16) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 16), DOBDO(15) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_69\, DOBDO(14) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_70\, DOBDO(13) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_71\, DOBDO(12 downto 8) => dout(9 downto 5), DOBDO(7) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_77\, DOBDO(6) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_78\, DOBDO(5) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_79\, DOBDO(4 downto 0) => dout(4 downto 0), DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0), DOPBDOP(3 downto 2) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 2), DOPBDOP(1) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_91\, DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_n_92\, ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => ram_full_fb_i_reg, ENBWREN => tmp_ram_rd_en, INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => \out\(0), RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => ram_full_fb_i_reg, WEA(2) => ram_full_fb_i_reg, WEA(1) => ram_full_fb_i_reg, WEA(0) => ram_full_fb_i_reg, WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare is port ( ram_full_comb : out STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 ); \gc0.count_d1_reg[10]\ : in STD_LOGIC; wr_en : in STD_LOGIC; comp1 : in STD_LOGIC; wr_rst_busy : in STD_LOGIC; \out\ : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare is signal carrynet_0 : STD_LOGIC; signal carrynet_1 : STD_LOGIC; signal carrynet_2 : STD_LOGIC; signal carrynet_3 : STD_LOGIC; signal carrynet_4 : STD_LOGIC; signal comp0 : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => carrynet_3, CO(2) => carrynet_2, CO(1) => carrynet_1, CO(0) => carrynet_0, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => carrynet_3, CO(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => comp0, CO(0) => carrynet_4, CYINIT => '0', DI(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"00", O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \gc0.count_d1_reg[10]\, S(0) => v1_reg(4) ); ram_full_fb_i_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0055000000FFC0C0" ) port map ( I0 => comp0, I1 => wr_en, I2 => comp1, I3 => wr_rst_busy, I4 => \out\, I5 => ram_empty_fb_i_reg(0), O => ram_full_comb ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_3 is port ( comp1 : out STD_LOGIC; v1_reg_0 : in STD_LOGIC_VECTOR ( 4 downto 0 ); \gc0.count_d1_reg[10]\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_3 : entity is "compare"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_3; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_3 is signal carrynet_0 : STD_LOGIC; signal carrynet_1 : STD_LOGIC; signal carrynet_2 : STD_LOGIC; signal carrynet_3 : STD_LOGIC; signal carrynet_4 : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => carrynet_3, CO(2) => carrynet_2, CO(1) => carrynet_1, CO(0) => carrynet_0, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg_0(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => carrynet_3, CO(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => comp1, CO(0) => carrynet_4, CYINIT => '0', DI(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"00", O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \gc0.count_d1_reg[10]\, S(0) => v1_reg_0(4) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_4 is port ( ram_empty_i_reg : out STD_LOGIC; \gcc0.gc0.count_d1_reg[0]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[2]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[4]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[6]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[8]\ : in STD_LOGIC; \gc0.count_d1_reg[10]\ : in STD_LOGIC; rd_en : in STD_LOGIC; \out\ : in STD_LOGIC; comp1 : in STD_LOGIC; wr_en : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_4 : entity is "compare"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_4; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_4 is signal carrynet_0 : STD_LOGIC; signal carrynet_1 : STD_LOGIC; signal carrynet_2 : STD_LOGIC; signal carrynet_3 : STD_LOGIC; signal carrynet_4 : STD_LOGIC; signal comp0 : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => carrynet_3, CO(2) => carrynet_2, CO(1) => carrynet_1, CO(0) => carrynet_0, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3) => \gcc0.gc0.count_d1_reg[6]\, S(2) => \gcc0.gc0.count_d1_reg[4]\, S(1) => \gcc0.gc0.count_d1_reg[2]\, S(0) => \gcc0.gc0.count_d1_reg[0]\ ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => carrynet_3, CO(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => comp0, CO(0) => carrynet_4, CYINIT => '0', DI(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"00", O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \gc0.count_d1_reg[10]\, S(0) => \gcc0.gc0.count_d1_reg[8]\ ); ram_empty_fb_i_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FCF0FCF05050FCF0" ) port map ( I0 => comp0, I1 => rd_en, I2 => \out\, I3 => comp1, I4 => wr_en, I5 => ram_full_fb_i_reg, O => ram_empty_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_5 is port ( comp1 : out STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 ); \gc0.count_reg[10]\ : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_5 : entity is "compare"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_5; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_5 is signal carrynet_0 : STD_LOGIC; signal carrynet_1 : STD_LOGIC; signal carrynet_2 : STD_LOGIC; signal carrynet_3 : STD_LOGIC; signal carrynet_4 : STD_LOGIC; signal \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \gmux.gm[0].gm1.m1_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type : string; attribute box_type of \gmux.gm[0].gm1.m1_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \gmux.gm[4].gms.ms_CARRY4\ : label is "(MUXCY,XORCY)"; attribute box_type of \gmux.gm[4].gms.ms_CARRY4\ : label is "PRIMITIVE"; begin \gmux.gm[0].gm1.m1_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => carrynet_3, CO(2) => carrynet_2, CO(1) => carrynet_1, CO(0) => carrynet_0, CYINIT => '1', DI(3 downto 0) => B"0000", O(3 downto 0) => \NLW_gmux.gm[0].gm1.m1_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 0) => v1_reg(3 downto 0) ); \gmux.gm[4].gms.ms_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => carrynet_3, CO(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => comp1, CO(0) => carrynet_4, CYINIT => '0', DI(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"00", O(3 downto 0) => \NLW_gmux.gm[4].gms.ms_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_gmux.gm[4].gms.ms_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \gc0.count_reg[10]\, S(0) => v1_reg(4) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_bin_cntr is port ( ram_full_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 10 downto 0 ); ram_empty_i_reg : out STD_LOGIC; ram_full_i_reg_0 : out STD_LOGIC; ram_empty_i_reg_0 : out STD_LOGIC; \gc0.count_d1_reg[9]_0\ : out STD_LOGIC_VECTOR ( 9 downto 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_reg[10]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); clk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_bin_cntr; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_bin_cntr is signal \^q\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \gc0.count[10]_i_2_n_0\ : STD_LOGIC; signal \^gc0.count_d1_reg[9]_0\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal plusOp : STD_LOGIC_VECTOR ( 10 downto 0 ); signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 10 to 10 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[1]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \gc0.count[6]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \gc0.count[7]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \gc0.count[8]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \gc0.count[9]_i_1\ : label is "soft_lutpair0"; begin Q(10 downto 0) <= \^q\(10 downto 0); \gc0.count_d1_reg[9]_0\(9 downto 0) <= \^gc0.count_d1_reg[9]_0\(9 downto 0); \gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^gc0.count_d1_reg[9]_0\(0), O => plusOp(0) ); \gc0.count[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^gc0.count_d1_reg[9]_0\(8), I1 => \^gc0.count_d1_reg[9]_0\(6), I2 => \gc0.count[10]_i_2_n_0\, I3 => \^gc0.count_d1_reg[9]_0\(7), I4 => \^gc0.count_d1_reg[9]_0\(9), I5 => rd_pntr_plus1(10), O => plusOp(10) ); \gc0.count[10]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => \^gc0.count_d1_reg[9]_0\(5), I1 => \^gc0.count_d1_reg[9]_0\(3), I2 => \^gc0.count_d1_reg[9]_0\(1), I3 => \^gc0.count_d1_reg[9]_0\(0), I4 => \^gc0.count_d1_reg[9]_0\(2), I5 => \^gc0.count_d1_reg[9]_0\(4), O => \gc0.count[10]_i_2_n_0\ ); \gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gc0.count_d1_reg[9]_0\(0), I1 => \^gc0.count_d1_reg[9]_0\(1), O => plusOp(1) ); \gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^gc0.count_d1_reg[9]_0\(0), I1 => \^gc0.count_d1_reg[9]_0\(1), I2 => \^gc0.count_d1_reg[9]_0\(2), O => plusOp(2) ); \gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^gc0.count_d1_reg[9]_0\(1), I1 => \^gc0.count_d1_reg[9]_0\(0), I2 => \^gc0.count_d1_reg[9]_0\(2), I3 => \^gc0.count_d1_reg[9]_0\(3), O => plusOp(3) ); \gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^gc0.count_d1_reg[9]_0\(2), I1 => \^gc0.count_d1_reg[9]_0\(0), I2 => \^gc0.count_d1_reg[9]_0\(1), I3 => \^gc0.count_d1_reg[9]_0\(3), I4 => \^gc0.count_d1_reg[9]_0\(4), O => plusOp(4) ); \gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^gc0.count_d1_reg[9]_0\(3), I1 => \^gc0.count_d1_reg[9]_0\(1), I2 => \^gc0.count_d1_reg[9]_0\(0), I3 => \^gc0.count_d1_reg[9]_0\(2), I4 => \^gc0.count_d1_reg[9]_0\(4), I5 => \^gc0.count_d1_reg[9]_0\(5), O => plusOp(5) ); \gc0.count[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gc0.count[10]_i_2_n_0\, I1 => \^gc0.count_d1_reg[9]_0\(6), O => plusOp(6) ); \gc0.count[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \gc0.count[10]_i_2_n_0\, I1 => \^gc0.count_d1_reg[9]_0\(6), I2 => \^gc0.count_d1_reg[9]_0\(7), O => plusOp(7) ); \gc0.count[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^gc0.count_d1_reg[9]_0\(6), I1 => \gc0.count[10]_i_2_n_0\, I2 => \^gc0.count_d1_reg[9]_0\(7), I3 => \^gc0.count_d1_reg[9]_0\(8), O => plusOp(8) ); \gc0.count[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^gc0.count_d1_reg[9]_0\(7), I1 => \gc0.count[10]_i_2_n_0\, I2 => \^gc0.count_d1_reg[9]_0\(6), I3 => \^gc0.count_d1_reg[9]_0\(8), I4 => \^gc0.count_d1_reg[9]_0\(9), O => plusOp(9) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^gc0.count_d1_reg[9]_0\(0), Q => \^q\(0) ); \gc0.count_d1_reg[10]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => rd_pntr_plus1(10), Q => \^q\(10) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^gc0.count_d1_reg[9]_0\(1), Q => \^q\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^gc0.count_d1_reg[9]_0\(2), Q => \^q\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^gc0.count_d1_reg[9]_0\(3), Q => \^q\(3) ); \gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^gc0.count_d1_reg[9]_0\(4), Q => \^q\(4) ); \gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^gc0.count_d1_reg[9]_0\(5), Q => \^q\(5) ); \gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^gc0.count_d1_reg[9]_0\(6), Q => \^q\(6) ); \gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^gc0.count_d1_reg[9]_0\(7), Q => \^q\(7) ); \gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^gc0.count_d1_reg[9]_0\(8), Q => \^q\(8) ); \gc0.count_d1_reg[9]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^gc0.count_d1_reg[9]_0\(9), Q => \^q\(9) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => E(0), D => plusOp(0), PRE => AR(0), Q => \^gc0.count_d1_reg[9]_0\(0) ); \gc0.count_reg[10]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => plusOp(10), Q => rd_pntr_plus1(10) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => plusOp(1), Q => \^gc0.count_d1_reg[9]_0\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => plusOp(2), Q => \^gc0.count_d1_reg[9]_0\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => plusOp(3), Q => \^gc0.count_d1_reg[9]_0\(3) ); \gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => plusOp(4), Q => \^gc0.count_d1_reg[9]_0\(4) ); \gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => plusOp(5), Q => \^gc0.count_d1_reg[9]_0\(5) ); \gc0.count_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => plusOp(6), Q => \^gc0.count_d1_reg[9]_0\(6) ); \gc0.count_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => plusOp(7), Q => \^gc0.count_d1_reg[9]_0\(7) ); \gc0.count_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => plusOp(8), Q => \^gc0.count_d1_reg[9]_0\(8) ); \gc0.count_reg[9]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => plusOp(9), Q => \^gc0.count_d1_reg[9]_0\(9) ); \gmux.gm[5].gms.ms_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(10), I1 => \gcc0.gc0.count_d1_reg[10]\(0), O => ram_full_i_reg ); \gmux.gm[5].gms.ms_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => rd_pntr_plus1(10), I1 => \gcc0.gc0.count_d1_reg[10]\(0), O => ram_empty_i_reg ); \gmux.gm[5].gms.ms_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(10), I1 => \gcc0.gc0.count_reg[10]\(0), O => ram_full_i_reg_0 ); \gmux.gm[5].gms.ms_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(10), I1 => \gcc0.gc0.count_d1_reg[10]\(0), O => ram_empty_i_reg_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff is port ( \out\ : out STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); clk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_0 is port ( \out\ : out STD_LOGIC; \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_0 : entity is "synchronizer_ff"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_0; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_0 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_1 is port ( AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; clk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_1 : entity is "synchronizer_ff"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_1; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_1 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_2 is port ( AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; clk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_2 : entity is "synchronizer_ff"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_2; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_2 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_bin_cntr is port ( Q : out STD_LOGIC_VECTOR ( 0 to 0 ); v1_reg_0 : out STD_LOGIC_VECTOR ( 4 downto 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : out STD_LOGIC_VECTOR ( 10 downto 0 ); v1_reg : out STD_LOGIC_VECTOR ( 4 downto 0 ); v1_reg_1 : out STD_LOGIC_VECTOR ( 4 downto 0 ); ram_empty_i_reg : out STD_LOGIC; ram_empty_i_reg_0 : out STD_LOGIC; ram_empty_i_reg_1 : out STD_LOGIC; ram_empty_i_reg_2 : out STD_LOGIC; ram_empty_i_reg_3 : out STD_LOGIC; \gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); \gc0.count_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); clk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_bin_cntr; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_bin_cntr is signal \^device_7series.no_bmm_info.sdp.simple_prim36.ram\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gcc0.gc0.count[10]_i_2_n_0\ : STD_LOGIC; signal p_12_out : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \plusOp__0\ : STD_LOGIC_VECTOR ( 10 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gcc0.gc0.count[1]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gcc0.gc0.count[2]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gcc0.gc0.count[3]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \gcc0.gc0.count[4]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \gcc0.gc0.count[6]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \gcc0.gc0.count[7]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \gcc0.gc0.count[8]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gcc0.gc0.count[9]_i_1\ : label is "soft_lutpair4"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(10 downto 0) <= \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(10 downto 0); Q(0) <= \^q\(0); \gcc0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => p_12_out(0), O => \plusOp__0\(0) ); \gcc0.gc0.count[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => p_12_out(8), I1 => p_12_out(6), I2 => \gcc0.gc0.count[10]_i_2_n_0\, I3 => p_12_out(7), I4 => p_12_out(9), I5 => \^q\(0), O => \plusOp__0\(10) ); \gcc0.gc0.count[10]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"8000000000000000" ) port map ( I0 => p_12_out(5), I1 => p_12_out(3), I2 => p_12_out(1), I3 => p_12_out(0), I4 => p_12_out(2), I5 => p_12_out(4), O => \gcc0.gc0.count[10]_i_2_n_0\ ); \gcc0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_12_out(0), I1 => p_12_out(1), O => \plusOp__0\(1) ); \gcc0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => p_12_out(0), I1 => p_12_out(1), I2 => p_12_out(2), O => \plusOp__0\(2) ); \gcc0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => p_12_out(1), I1 => p_12_out(0), I2 => p_12_out(2), I3 => p_12_out(3), O => \plusOp__0\(3) ); \gcc0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => p_12_out(2), I1 => p_12_out(0), I2 => p_12_out(1), I3 => p_12_out(3), I4 => p_12_out(4), O => \plusOp__0\(4) ); \gcc0.gc0.count[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => p_12_out(3), I1 => p_12_out(1), I2 => p_12_out(0), I3 => p_12_out(2), I4 => p_12_out(4), I5 => p_12_out(5), O => \plusOp__0\(5) ); \gcc0.gc0.count[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gcc0.gc0.count[10]_i_2_n_0\, I1 => p_12_out(6), O => \plusOp__0\(6) ); \gcc0.gc0.count[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \gcc0.gc0.count[10]_i_2_n_0\, I1 => p_12_out(6), I2 => p_12_out(7), O => \plusOp__0\(7) ); \gcc0.gc0.count[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => p_12_out(6), I1 => \gcc0.gc0.count[10]_i_2_n_0\, I2 => p_12_out(7), I3 => p_12_out(8), O => \plusOp__0\(8) ); \gcc0.gc0.count[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => p_12_out(7), I1 => \gcc0.gc0.count[10]_i_2_n_0\, I2 => p_12_out(6), I3 => p_12_out(8), I4 => p_12_out(9), O => \plusOp__0\(9) ); \gcc0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => p_12_out(0), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0) ); \gcc0.gc0.count_d1_reg[10]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \^q\(0), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(10) ); \gcc0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => p_12_out(1), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1) ); \gcc0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => p_12_out(2), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(2) ); \gcc0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => p_12_out(3), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(3) ); \gcc0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => p_12_out(4), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(4) ); \gcc0.gc0.count_d1_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => p_12_out(5), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(5) ); \gcc0.gc0.count_d1_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => p_12_out(6), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(6) ); \gcc0.gc0.count_d1_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => p_12_out(7), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(7) ); \gcc0.gc0.count_d1_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => p_12_out(8), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(8) ); \gcc0.gc0.count_d1_reg[9]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => p_12_out(9), Q => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(9) ); \gcc0.gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => E(0), D => \plusOp__0\(0), PRE => AR(0), Q => p_12_out(0) ); \gcc0.gc0.count_reg[10]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(10), Q => \^q\(0) ); \gcc0.gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(1), Q => p_12_out(1) ); \gcc0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(2), Q => p_12_out(2) ); \gcc0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(3), Q => p_12_out(3) ); \gcc0.gc0.count_reg[4]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(4), Q => p_12_out(4) ); \gcc0.gc0.count_reg[5]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(5), Q => p_12_out(5) ); \gcc0.gc0.count_reg[6]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(6), Q => p_12_out(6) ); \gcc0.gc0.count_reg[7]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(7), Q => p_12_out(7) ); \gcc0.gc0.count_reg[8]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(8), Q => p_12_out(8) ); \gcc0.gc0.count_reg[9]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), CLR => AR(0), D => \plusOp__0\(9), Q => p_12_out(9) ); \gmux.gm[0].gm1.m1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0), I1 => \gc0.count_d1_reg[9]\(0), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1), I3 => \gc0.count_d1_reg[9]\(1), O => v1_reg_0(0) ); \gmux.gm[0].gm1.m1_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0), I1 => \gc0.count_reg[9]\(0), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1), I3 => \gc0.count_reg[9]\(1), O => v1_reg(0) ); \gmux.gm[0].gm1.m1_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_12_out(0), I1 => \gc0.count_d1_reg[9]\(0), I2 => p_12_out(1), I3 => \gc0.count_d1_reg[9]\(1), O => v1_reg_1(0) ); \gmux.gm[0].gm1.m1_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(0), I1 => \gc0.count_d1_reg[9]\(0), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(1), I3 => \gc0.count_d1_reg[9]\(1), O => ram_empty_i_reg ); \gmux.gm[1].gms.ms_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(2), I1 => \gc0.count_d1_reg[9]\(2), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(3), I3 => \gc0.count_d1_reg[9]\(3), O => v1_reg_0(1) ); \gmux.gm[1].gms.ms_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(2), I1 => \gc0.count_reg[9]\(2), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(3), I3 => \gc0.count_reg[9]\(3), O => v1_reg(1) ); \gmux.gm[1].gms.ms_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_12_out(2), I1 => \gc0.count_d1_reg[9]\(2), I2 => p_12_out(3), I3 => \gc0.count_d1_reg[9]\(3), O => v1_reg_1(1) ); \gmux.gm[1].gms.ms_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(2), I1 => \gc0.count_d1_reg[9]\(2), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(3), I3 => \gc0.count_d1_reg[9]\(3), O => ram_empty_i_reg_0 ); \gmux.gm[2].gms.ms_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(4), I1 => \gc0.count_d1_reg[9]\(4), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(5), I3 => \gc0.count_d1_reg[9]\(5), O => v1_reg_0(2) ); \gmux.gm[2].gms.ms_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(4), I1 => \gc0.count_reg[9]\(4), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(5), I3 => \gc0.count_reg[9]\(5), O => v1_reg(2) ); \gmux.gm[2].gms.ms_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_12_out(4), I1 => \gc0.count_d1_reg[9]\(4), I2 => p_12_out(5), I3 => \gc0.count_d1_reg[9]\(5), O => v1_reg_1(2) ); \gmux.gm[2].gms.ms_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(4), I1 => \gc0.count_d1_reg[9]\(4), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(5), I3 => \gc0.count_d1_reg[9]\(5), O => ram_empty_i_reg_1 ); \gmux.gm[3].gms.ms_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(6), I1 => \gc0.count_d1_reg[9]\(6), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(7), I3 => \gc0.count_d1_reg[9]\(7), O => v1_reg_0(3) ); \gmux.gm[3].gms.ms_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(6), I1 => \gc0.count_reg[9]\(6), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(7), I3 => \gc0.count_reg[9]\(7), O => v1_reg(3) ); \gmux.gm[3].gms.ms_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_12_out(6), I1 => \gc0.count_d1_reg[9]\(6), I2 => p_12_out(7), I3 => \gc0.count_d1_reg[9]\(7), O => v1_reg_1(3) ); \gmux.gm[3].gms.ms_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(6), I1 => \gc0.count_d1_reg[9]\(6), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(7), I3 => \gc0.count_d1_reg[9]\(7), O => ram_empty_i_reg_2 ); \gmux.gm[4].gms.ms_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(8), I1 => \gc0.count_d1_reg[9]\(8), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(9), I3 => \gc0.count_d1_reg[9]\(9), O => v1_reg_0(4) ); \gmux.gm[4].gms.ms_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(8), I1 => \gc0.count_reg[9]\(8), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(9), I3 => \gc0.count_reg[9]\(9), O => v1_reg(4) ); \gmux.gm[4].gms.ms_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => p_12_out(8), I1 => \gc0.count_d1_reg[9]\(8), I2 => p_12_out(9), I3 => \gc0.count_d1_reg[9]\(9), O => v1_reg_1(4) ); \gmux.gm[4].gms.ms_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(8), I1 => \gc0.count_d1_reg[9]\(8), I2 => \^device_7series.no_bmm_info.sdp.simple_prim36.ram\(9), I3 => \gc0.count_d1_reg[9]\(9), O => ram_empty_i_reg_3 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width is port ( dout : out STD_LOGIC_VECTOR ( 17 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width is begin \prim_noinit.ram\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(17 downto 0) => din(17 downto 0), dout(17 downto 0) => dout(17 downto 0), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ is port ( dout : out STD_LOGIC_VECTOR ( 17 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ is begin \prim_noinit.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized0\ port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(17 downto 0) => din(17 downto 0), dout(17 downto 0) => dout(17 downto 0), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ is port ( dout : out STD_LOGIC_VECTOR ( 17 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 17 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ is begin \prim_noinit.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized1\ port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(17 downto 0) => din(17 downto 0), dout(17 downto 0) => dout(17 downto 0), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2\ is port ( dout : out STD_LOGIC_VECTOR ( 9 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2\ : entity is "blk_mem_gen_prim_width"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2\ is begin \prim_noinit.ram\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_wrapper__parameterized2\ port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(9 downto 0) => din(9 downto 0), dout(9 downto 0) => dout(9 downto 0), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_status_flags_ss is port ( \out\ : out STD_LOGIC; empty : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[0]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[2]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[4]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[6]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[8]\ : in STD_LOGIC; \gc0.count_d1_reg[10]\ : in STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 ); \gc0.count_reg[10]\ : in STD_LOGIC; clk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC; wr_en : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_status_flags_ss; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_status_flags_ss is signal c1_n_0 : STD_LOGIC; signal comp1 : STD_LOGIC; signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin empty <= ram_empty_i; \out\ <= ram_empty_fb_i; c1: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_4 port map ( comp1 => comp1, \gc0.count_d1_reg[10]\ => \gc0.count_d1_reg[10]\, \gcc0.gc0.count_d1_reg[0]\ => \gcc0.gc0.count_d1_reg[0]\, \gcc0.gc0.count_d1_reg[2]\ => \gcc0.gc0.count_d1_reg[2]\, \gcc0.gc0.count_d1_reg[4]\ => \gcc0.gc0.count_d1_reg[4]\, \gcc0.gc0.count_d1_reg[6]\ => \gcc0.gc0.count_d1_reg[6]\, \gcc0.gc0.count_d1_reg[8]\ => \gcc0.gc0.count_d1_reg[8]\, \out\ => ram_empty_fb_i, ram_empty_i_reg => c1_n_0, ram_full_fb_i_reg => ram_full_fb_i_reg, rd_en => rd_en, wr_en => wr_en ); c2: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_5 port map ( comp1 => comp1, \gc0.count_reg[10]\ => \gc0.count_reg[10]\, v1_reg(4 downto 0) => v1_reg(4 downto 0) ); \gc0.count_d1[10]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => rd_en, I1 => ram_empty_fb_i, O => E(0) ); ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => c1_n_0, PRE => AR(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => c1_n_0, PRE => AR(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_reset_blk_ramfifo is port ( \out\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; tmp_ram_rd_en : out STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; rd_en : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_reset_blk_ramfifo; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_reset_blk_ramfifo is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\ : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(1) <= rd_rst_reg(2); \gc0.count_reg[1]\(0) <= rd_rst_reg(0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(0) <= wr_rst_reg(1); wr_rst_busy <= rst_d3; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"BA" ) port map ( I0 => rd_rst_reg(0), I1 => ram_empty_fb_i_reg, I2 => rd_en, O => tmp_ram_rd_en ); \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff port map ( clk => clk, in0(0) => rd_rst_asreg, \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst_n_1\, \out\ => p_7_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_0 port map ( clk => clk, in0(0) => wr_rst_asreg, \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst_n_1\, \out\ => p_8_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_1 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\, clk => clk, in0(0) => rd_rst_asreg, \out\ => p_7_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_synchronizer_ff_2 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\, clk => clk, in0(0) => wr_rst_asreg, \out\ => p_8_out ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_0\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => '0', PRE => rst, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => rst_rd_reg1, PRE => rst, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => '0', PRE => rst, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => rst_wr_reg1, PRE => rst, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_0\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_status_flags_ss is port ( \out\ : out STD_LOGIC; full : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 ); \gc0.count_d1_reg[10]\ : in STD_LOGIC; v1_reg_0 : in STD_LOGIC_VECTOR ( 4 downto 0 ); \gc0.count_d1_reg[10]_0\ : in STD_LOGIC; clk : in STD_LOGIC; \grstd1.grst_full.grst_f.rst_d2_reg\ : in STD_LOGIC; wr_en : in STD_LOGIC; wr_rst_busy : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_status_flags_ss; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_status_flags_ss is signal comp1 : STD_LOGIC; signal ram_afull_fb : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_afull_fb : signal is std.standard.true; signal ram_afull_i : STD_LOGIC; attribute DONT_TOUCH of ram_afull_i : signal is std.standard.true; signal ram_full_comb : STD_LOGIC; signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin full <= ram_full_i; \out\ <= ram_full_fb_i; \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => wr_en, I1 => ram_full_fb_i, O => E(0) ); c0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare port map ( comp1 => comp1, \gc0.count_d1_reg[10]\ => \gc0.count_d1_reg[10]\, \out\ => ram_full_fb_i, ram_empty_fb_i_reg(0) => ram_empty_fb_i_reg(0), ram_full_comb => ram_full_comb, v1_reg(4 downto 0) => v1_reg(4 downto 0), wr_en => wr_en, wr_rst_busy => wr_rst_busy ); c1: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_compare_3 port map ( comp1 => comp1, \gc0.count_d1_reg[10]\ => \gc0.count_d1_reg[10]_0\, v1_reg_0(4 downto 0) => v1_reg_0(4 downto 0) ); i_0: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '1', O => ram_afull_i ); i_1: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '1', O => ram_afull_fb ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => ram_full_comb, PRE => \grstd1.grst_full.grst_f.rst_d2_reg\, Q => ram_full_fb_i ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => ram_full_comb, PRE => \grstd1.grst_full.grst_f.rst_d2_reg\, Q => ram_full_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr is port ( dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(17 downto 0) => din(17 downto 0), dout(17 downto 0) => dout(17 downto 0), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); \ramloop[1].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized0\ port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(17 downto 0) => din(35 downto 18), dout(17 downto 0) => dout(35 downto 18), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); \ramloop[2].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized1\ port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(17 downto 0) => din(53 downto 36), dout(17 downto 0) => dout(53 downto 36), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); \ramloop[3].ram.r\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_prim_width__parameterized2\ port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(9 downto 0) => din(63 downto 54), dout(9 downto 0) => dout(63 downto 54), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_logic is port ( \out\ : out STD_LOGIC; empty : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_full_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 10 downto 0 ); \gc0.count_d1_reg[9]\ : out STD_LOGIC_VECTOR ( 9 downto 0 ); ram_full_i_reg_0 : out STD_LOGIC; \gcc0.gc0.count_d1_reg[0]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[2]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[4]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[6]\ : in STD_LOGIC; \gcc0.gc0.count_d1_reg[8]\ : in STD_LOGIC; v1_reg : in STD_LOGIC_VECTOR ( 4 downto 0 ); clk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); rd_en : in STD_LOGIC; \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_reg[10]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_en : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_logic; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_logic is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal rpntr_n_12 : STD_LOGIC; signal rpntr_n_14 : STD_LOGIC; begin E(0) <= \^e\(0); \grss.rsts\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_status_flags_ss port map ( AR(0) => AR(0), E(0) => \^e\(0), clk => clk, empty => empty, \gc0.count_d1_reg[10]\ => rpntr_n_14, \gc0.count_reg[10]\ => rpntr_n_12, \gcc0.gc0.count_d1_reg[0]\ => \gcc0.gc0.count_d1_reg[0]\, \gcc0.gc0.count_d1_reg[2]\ => \gcc0.gc0.count_d1_reg[2]\, \gcc0.gc0.count_d1_reg[4]\ => \gcc0.gc0.count_d1_reg[4]\, \gcc0.gc0.count_d1_reg[6]\ => \gcc0.gc0.count_d1_reg[6]\, \gcc0.gc0.count_d1_reg[8]\ => \gcc0.gc0.count_d1_reg[8]\, \out\ => \out\, ram_full_fb_i_reg => ram_full_fb_i_reg, rd_en => rd_en, v1_reg(4 downto 0) => v1_reg(4 downto 0), wr_en => wr_en ); rpntr: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_bin_cntr port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(10 downto 0) => Q(10 downto 0), clk => clk, \gc0.count_d1_reg[9]_0\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0), \gcc0.gc0.count_d1_reg[10]\(0) => \gcc0.gc0.count_d1_reg[10]\(0), \gcc0.gc0.count_reg[10]\(0) => \gcc0.gc0.count_reg[10]\(0), ram_empty_i_reg => rpntr_n_12, ram_empty_i_reg_0 => rpntr_n_14, ram_full_i_reg => ram_full_i_reg, ram_full_i_reg_0 => ram_full_i_reg_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_logic is port ( \out\ : out STD_LOGIC; full : out STD_LOGIC; \gcc0.gc0.count_d1_reg[10]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 0 to 0 ); \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : out STD_LOGIC_VECTOR ( 10 downto 0 ); v1_reg : out STD_LOGIC_VECTOR ( 4 downto 0 ); ram_empty_i_reg : out STD_LOGIC; ram_empty_i_reg_0 : out STD_LOGIC; ram_empty_i_reg_1 : out STD_LOGIC; ram_empty_i_reg_2 : out STD_LOGIC; ram_empty_i_reg_3 : out STD_LOGIC; \gc0.count_d1_reg[10]\ : in STD_LOGIC; \gc0.count_d1_reg[10]_0\ : in STD_LOGIC; clk : in STD_LOGIC; \grstd1.grst_full.grst_f.rst_d2_reg\ : in STD_LOGIC; wr_en : in STD_LOGIC; \gc0.count_d1_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); \gc0.count_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); wr_rst_busy : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_logic; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_logic is signal \c0/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \c1/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \^gcc0.gc0.count_d1_reg[10]\ : STD_LOGIC; begin \gcc0.gc0.count_d1_reg[10]\ <= \^gcc0.gc0.count_d1_reg[10]\; \gwss.wsts\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_status_flags_ss port map ( E(0) => \^gcc0.gc0.count_d1_reg[10]\, clk => clk, full => full, \gc0.count_d1_reg[10]\ => \gc0.count_d1_reg[10]\, \gc0.count_d1_reg[10]_0\ => \gc0.count_d1_reg[10]_0\, \grstd1.grst_full.grst_f.rst_d2_reg\ => \grstd1.grst_full.grst_f.rst_d2_reg\, \out\ => \out\, ram_empty_fb_i_reg(0) => E(0), v1_reg(4 downto 0) => \c0/v1_reg\(4 downto 0), v1_reg_0(4 downto 0) => \c1/v1_reg\(4 downto 0), wr_en => wr_en, wr_rst_busy => wr_rst_busy ); wpntr: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_bin_cntr port map ( AR(0) => AR(0), \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(10 downto 0) => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(10 downto 0), E(0) => \^gcc0.gc0.count_d1_reg[10]\, Q(0) => Q(0), clk => clk, \gc0.count_d1_reg[9]\(9 downto 0) => \gc0.count_d1_reg[9]\(9 downto 0), \gc0.count_reg[9]\(9 downto 0) => \gc0.count_reg[9]\(9 downto 0), ram_empty_i_reg => ram_empty_i_reg, ram_empty_i_reg_0 => ram_empty_i_reg_0, ram_empty_i_reg_1 => ram_empty_i_reg_1, ram_empty_i_reg_2 => ram_empty_i_reg_2, ram_empty_i_reg_3 => ram_empty_i_reg_3, v1_reg(4 downto 0) => v1_reg(4 downto 0), v1_reg_0(4 downto 0) => \c0/v1_reg\(4 downto 0), v1_reg_1(4 downto 0) => \c1/v1_reg\(4 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top is port ( dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top is begin \valid.cstr\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_generic_cstr port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(63 downto 0) => din(63 downto 0), dout(63 downto 0) => dout(63 downto 0), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4_synth is port ( dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4_synth; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4_synth is begin \gnbram.gnativebmg.native_blk_mem_gen\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_top port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(63 downto 0) => din(63 downto 0), dout(63 downto 0) => dout(63 downto 0), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4 is port ( dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4 is begin inst_blk_mem_gen: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4_synth port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(63 downto 0) => din(63 downto 0), dout(63 downto 0) => dout(63 downto 0), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_memory is port ( dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); clk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC; tmp_ram_rd_en : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gcc0.gc0.count_d1_reg[10]\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); Q : in STD_LOGIC_VECTOR ( 10 downto 0 ); din : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_memory; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_memory is begin \gbm.gbmg.gbmga.ngecc.bmg\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_blk_mem_gen_v8_3_4 port map ( Q(10 downto 0) => Q(10 downto 0), clk => clk, din(63 downto 0) => din(63 downto 0), dout(63 downto 0) => dout(63 downto 0), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => \gcc0.gc0.count_d1_reg[10]\(10 downto 0), \out\(0) => \out\(0), ram_full_fb_i_reg => ram_full_fb_i_reg, tmp_ram_rd_en => tmp_ram_rd_en ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_ramfifo is port ( wr_rst_busy : out STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; rd_en : in STD_LOGIC; wr_en : in STD_LOGIC; clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 63 downto 0 ); rst : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_ramfifo; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_ramfifo is signal \gntv_or_sync_fifo.gl0.rd_n_2\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_25\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_3\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_2\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_20\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_21\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_22\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_23\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_24\ : STD_LOGIC; signal \grss.rsts/c2/v1_reg\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal p_0_out : STD_LOGIC_VECTOR ( 10 downto 0 ); signal p_11_out : STD_LOGIC_VECTOR ( 10 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 10 to 10 ); signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 9 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal tmp_ram_rd_en : STD_LOGIC; signal \^wr_rst_busy\ : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 to 1 ); begin wr_rst_busy <= \^wr_rst_busy\; \gntv_or_sync_fifo.gl0.rd\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_rd_logic port map ( AR(0) => rd_rst_i(2), E(0) => \gntv_or_sync_fifo.gl0.rd_n_2\, Q(10 downto 0) => p_0_out(10 downto 0), clk => clk, empty => empty, \gc0.count_d1_reg[9]\(9 downto 0) => rd_pntr_plus1(9 downto 0), \gcc0.gc0.count_d1_reg[0]\ => \gntv_or_sync_fifo.gl0.wr_n_20\, \gcc0.gc0.count_d1_reg[10]\(0) => p_11_out(10), \gcc0.gc0.count_d1_reg[2]\ => \gntv_or_sync_fifo.gl0.wr_n_21\, \gcc0.gc0.count_d1_reg[4]\ => \gntv_or_sync_fifo.gl0.wr_n_22\, \gcc0.gc0.count_d1_reg[6]\ => \gntv_or_sync_fifo.gl0.wr_n_23\, \gcc0.gc0.count_d1_reg[8]\ => \gntv_or_sync_fifo.gl0.wr_n_24\, \gcc0.gc0.count_reg[10]\(0) => p_12_out(10), \out\ => p_2_out, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_0\, ram_full_i_reg => \gntv_or_sync_fifo.gl0.rd_n_3\, ram_full_i_reg_0 => \gntv_or_sync_fifo.gl0.rd_n_25\, rd_en => rd_en, v1_reg(4 downto 0) => \grss.rsts/c2/v1_reg\(4 downto 0), wr_en => wr_en ); \gntv_or_sync_fifo.gl0.wr\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_wr_logic port map ( AR(0) => wr_rst_i(1), \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(10 downto 0) => p_11_out(10 downto 0), E(0) => \gntv_or_sync_fifo.gl0.rd_n_2\, Q(0) => p_12_out(10), clk => clk, full => full, \gc0.count_d1_reg[10]\ => \gntv_or_sync_fifo.gl0.rd_n_3\, \gc0.count_d1_reg[10]_0\ => \gntv_or_sync_fifo.gl0.rd_n_25\, \gc0.count_d1_reg[9]\(9 downto 0) => p_0_out(9 downto 0), \gc0.count_reg[9]\(9 downto 0) => rd_pntr_plus1(9 downto 0), \gcc0.gc0.count_d1_reg[10]\ => \gntv_or_sync_fifo.gl0.wr_n_2\, \grstd1.grst_full.grst_f.rst_d2_reg\ => rst_full_ff_i, \out\ => \gntv_or_sync_fifo.gl0.wr_n_0\, ram_empty_i_reg => \gntv_or_sync_fifo.gl0.wr_n_20\, ram_empty_i_reg_0 => \gntv_or_sync_fifo.gl0.wr_n_21\, ram_empty_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_22\, ram_empty_i_reg_2 => \gntv_or_sync_fifo.gl0.wr_n_23\, ram_empty_i_reg_3 => \gntv_or_sync_fifo.gl0.wr_n_24\, v1_reg(4 downto 0) => \grss.rsts/c2/v1_reg\(4 downto 0), wr_en => wr_en, wr_rst_busy => \^wr_rst_busy\ ); \gntv_or_sync_fifo.mem\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_memory port map ( Q(10 downto 0) => p_0_out(10 downto 0), clk => clk, din(63 downto 0) => din(63 downto 0), dout(63 downto 0) => dout(63 downto 0), \gcc0.gc0.count_d1_reg[10]\(10 downto 0) => p_11_out(10 downto 0), \out\(0) => rd_rst_i(0), ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_2\, tmp_ram_rd_en => tmp_ram_rd_en ); rstblk: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_reset_blk_ramfifo port map ( clk => clk, \gc0.count_reg[1]\(1) => rd_rst_i(2), \gc0.count_reg[1]\(0) => rd_rst_i(0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, \out\(0) => wr_rst_i(1), ram_empty_fb_i_reg => p_2_out, rd_en => rd_en, rst => rst, tmp_ram_rd_en => tmp_ram_rd_en, wr_rst_busy => \^wr_rst_busy\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_top is port ( wr_rst_busy : out STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; rd_en : in STD_LOGIC; wr_en : in STD_LOGIC; clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 63 downto 0 ); rst : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_top; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_top is begin \grf.rf\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_ramfifo port map ( clk => clk, din(63 downto 0) => din(63 downto 0), dout(63 downto 0) => dout(63 downto 0), empty => empty, full => full, rd_en => rd_en, rst => rst, wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2_synth is port ( wr_rst_busy : out STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); empty : out STD_LOGIC; full : out STD_LOGIC; rd_en : in STD_LOGIC; wr_en : in STD_LOGIC; clk : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 63 downto 0 ); rst : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2_synth; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2_synth is begin \gconvfifo.rf\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_top port map ( clk => clk, din(63 downto 0) => din(63 downto 0), dout(63 downto 0) => dout(63 downto 0), empty => empty, full => full, rd_en => rd_en, rst => rst, wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 is port ( backup : in STD_LOGIC; backup_marker : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; srst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_rst : in STD_LOGIC; rd_clk : in STD_LOGIC; rd_rst : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 63 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; prog_empty_thresh : in STD_LOGIC_VECTOR ( 10 downto 0 ); prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 10 downto 0 ); prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 10 downto 0 ); prog_full_thresh : in STD_LOGIC_VECTOR ( 10 downto 0 ); prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 10 downto 0 ); prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 10 downto 0 ); int_clk : in STD_LOGIC; injectdbiterr : in STD_LOGIC; injectsbiterr : in STD_LOGIC; sleep : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); full : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; overflow : out STD_LOGIC; empty : out STD_LOGIC; almost_empty : out STD_LOGIC; valid : out STD_LOGIC; underflow : out STD_LOGIC; data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); prog_full : out STD_LOGIC; prog_empty : out STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; m_aclk_en : in STD_LOGIC; s_aclk_en : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC; s_axis_tvalid : in STD_LOGIC; s_axis_tready : out STD_LOGIC; s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tlast : in STD_LOGIC; s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tvalid : out STD_LOGIC; m_axis_tready : in STD_LOGIC; m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tlast : out STD_LOGIC; m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_injectsbiterr : in STD_LOGIC; axi_aw_injectdbiterr : in STD_LOGIC; axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_sbiterr : out STD_LOGIC; axi_aw_dbiterr : out STD_LOGIC; axi_aw_overflow : out STD_LOGIC; axi_aw_underflow : out STD_LOGIC; axi_aw_prog_full : out STD_LOGIC; axi_aw_prog_empty : out STD_LOGIC; axi_w_injectsbiterr : in STD_LOGIC; axi_w_injectdbiterr : in STD_LOGIC; axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_w_sbiterr : out STD_LOGIC; axi_w_dbiterr : out STD_LOGIC; axi_w_overflow : out STD_LOGIC; axi_w_underflow : out STD_LOGIC; axi_w_prog_full : out STD_LOGIC; axi_w_prog_empty : out STD_LOGIC; axi_b_injectsbiterr : in STD_LOGIC; axi_b_injectdbiterr : in STD_LOGIC; axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_sbiterr : out STD_LOGIC; axi_b_dbiterr : out STD_LOGIC; axi_b_overflow : out STD_LOGIC; axi_b_underflow : out STD_LOGIC; axi_b_prog_full : out STD_LOGIC; axi_b_prog_empty : out STD_LOGIC; axi_ar_injectsbiterr : in STD_LOGIC; axi_ar_injectdbiterr : in STD_LOGIC; axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_sbiterr : out STD_LOGIC; axi_ar_dbiterr : out STD_LOGIC; axi_ar_overflow : out STD_LOGIC; axi_ar_underflow : out STD_LOGIC; axi_ar_prog_full : out STD_LOGIC; axi_ar_prog_empty : out STD_LOGIC; axi_r_injectsbiterr : in STD_LOGIC; axi_r_injectdbiterr : in STD_LOGIC; axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axi_r_sbiterr : out STD_LOGIC; axi_r_dbiterr : out STD_LOGIC; axi_r_overflow : out STD_LOGIC; axi_r_underflow : out STD_LOGIC; axi_r_prog_full : out STD_LOGIC; axi_r_prog_empty : out STD_LOGIC; axis_injectsbiterr : in STD_LOGIC; axis_injectdbiterr : in STD_LOGIC; axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_sbiterr : out STD_LOGIC; axis_dbiterr : out STD_LOGIC; axis_overflow : out STD_LOGIC; axis_underflow : out STD_LOGIC; axis_prog_full : out STD_LOGIC; axis_prog_empty : out STD_LOGIC ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 11; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 64; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 64; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "kintex7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "2kx18"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 2046; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 2045; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 11; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 2048; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 11; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 11; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 2048; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 11; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 : entity is 1; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; begin almost_empty <= \<const0>\; almost_full <= \<const0>\; axi_ar_data_count(4) <= \<const0>\; axi_ar_data_count(3) <= \<const0>\; axi_ar_data_count(2) <= \<const0>\; axi_ar_data_count(1) <= \<const0>\; axi_ar_data_count(0) <= \<const0>\; axi_ar_dbiterr <= \<const0>\; axi_ar_overflow <= \<const0>\; axi_ar_prog_empty <= \<const1>\; axi_ar_prog_full <= \<const0>\; axi_ar_rd_data_count(4) <= \<const0>\; axi_ar_rd_data_count(3) <= \<const0>\; axi_ar_rd_data_count(2) <= \<const0>\; axi_ar_rd_data_count(1) <= \<const0>\; axi_ar_rd_data_count(0) <= \<const0>\; axi_ar_sbiterr <= \<const0>\; axi_ar_underflow <= \<const0>\; axi_ar_wr_data_count(4) <= \<const0>\; axi_ar_wr_data_count(3) <= \<const0>\; axi_ar_wr_data_count(2) <= \<const0>\; axi_ar_wr_data_count(1) <= \<const0>\; axi_ar_wr_data_count(0) <= \<const0>\; axi_aw_data_count(4) <= \<const0>\; axi_aw_data_count(3) <= \<const0>\; axi_aw_data_count(2) <= \<const0>\; axi_aw_data_count(1) <= \<const0>\; axi_aw_data_count(0) <= \<const0>\; axi_aw_dbiterr <= \<const0>\; axi_aw_overflow <= \<const0>\; axi_aw_prog_empty <= \<const1>\; axi_aw_prog_full <= \<const0>\; axi_aw_rd_data_count(4) <= \<const0>\; axi_aw_rd_data_count(3) <= \<const0>\; axi_aw_rd_data_count(2) <= \<const0>\; axi_aw_rd_data_count(1) <= \<const0>\; axi_aw_rd_data_count(0) <= \<const0>\; axi_aw_sbiterr <= \<const0>\; axi_aw_underflow <= \<const0>\; axi_aw_wr_data_count(4) <= \<const0>\; axi_aw_wr_data_count(3) <= \<const0>\; axi_aw_wr_data_count(2) <= \<const0>\; axi_aw_wr_data_count(1) <= \<const0>\; axi_aw_wr_data_count(0) <= \<const0>\; axi_b_data_count(4) <= \<const0>\; axi_b_data_count(3) <= \<const0>\; axi_b_data_count(2) <= \<const0>\; axi_b_data_count(1) <= \<const0>\; axi_b_data_count(0) <= \<const0>\; axi_b_dbiterr <= \<const0>\; axi_b_overflow <= \<const0>\; axi_b_prog_empty <= \<const1>\; axi_b_prog_full <= \<const0>\; axi_b_rd_data_count(4) <= \<const0>\; axi_b_rd_data_count(3) <= \<const0>\; axi_b_rd_data_count(2) <= \<const0>\; axi_b_rd_data_count(1) <= \<const0>\; axi_b_rd_data_count(0) <= \<const0>\; axi_b_sbiterr <= \<const0>\; axi_b_underflow <= \<const0>\; axi_b_wr_data_count(4) <= \<const0>\; axi_b_wr_data_count(3) <= \<const0>\; axi_b_wr_data_count(2) <= \<const0>\; axi_b_wr_data_count(1) <= \<const0>\; axi_b_wr_data_count(0) <= \<const0>\; axi_r_data_count(10) <= \<const0>\; axi_r_data_count(9) <= \<const0>\; axi_r_data_count(8) <= \<const0>\; axi_r_data_count(7) <= \<const0>\; axi_r_data_count(6) <= \<const0>\; axi_r_data_count(5) <= \<const0>\; axi_r_data_count(4) <= \<const0>\; axi_r_data_count(3) <= \<const0>\; axi_r_data_count(2) <= \<const0>\; axi_r_data_count(1) <= \<const0>\; axi_r_data_count(0) <= \<const0>\; axi_r_dbiterr <= \<const0>\; axi_r_overflow <= \<const0>\; axi_r_prog_empty <= \<const1>\; axi_r_prog_full <= \<const0>\; axi_r_rd_data_count(10) <= \<const0>\; axi_r_rd_data_count(9) <= \<const0>\; axi_r_rd_data_count(8) <= \<const0>\; axi_r_rd_data_count(7) <= \<const0>\; axi_r_rd_data_count(6) <= \<const0>\; axi_r_rd_data_count(5) <= \<const0>\; axi_r_rd_data_count(4) <= \<const0>\; axi_r_rd_data_count(3) <= \<const0>\; axi_r_rd_data_count(2) <= \<const0>\; axi_r_rd_data_count(1) <= \<const0>\; axi_r_rd_data_count(0) <= \<const0>\; axi_r_sbiterr <= \<const0>\; axi_r_underflow <= \<const0>\; axi_r_wr_data_count(10) <= \<const0>\; axi_r_wr_data_count(9) <= \<const0>\; axi_r_wr_data_count(8) <= \<const0>\; axi_r_wr_data_count(7) <= \<const0>\; axi_r_wr_data_count(6) <= \<const0>\; axi_r_wr_data_count(5) <= \<const0>\; axi_r_wr_data_count(4) <= \<const0>\; axi_r_wr_data_count(3) <= \<const0>\; axi_r_wr_data_count(2) <= \<const0>\; axi_r_wr_data_count(1) <= \<const0>\; axi_r_wr_data_count(0) <= \<const0>\; axi_w_data_count(10) <= \<const0>\; axi_w_data_count(9) <= \<const0>\; axi_w_data_count(8) <= \<const0>\; axi_w_data_count(7) <= \<const0>\; axi_w_data_count(6) <= \<const0>\; axi_w_data_count(5) <= \<const0>\; axi_w_data_count(4) <= \<const0>\; axi_w_data_count(3) <= \<const0>\; axi_w_data_count(2) <= \<const0>\; axi_w_data_count(1) <= \<const0>\; axi_w_data_count(0) <= \<const0>\; axi_w_dbiterr <= \<const0>\; axi_w_overflow <= \<const0>\; axi_w_prog_empty <= \<const1>\; axi_w_prog_full <= \<const0>\; axi_w_rd_data_count(10) <= \<const0>\; axi_w_rd_data_count(9) <= \<const0>\; axi_w_rd_data_count(8) <= \<const0>\; axi_w_rd_data_count(7) <= \<const0>\; axi_w_rd_data_count(6) <= \<const0>\; axi_w_rd_data_count(5) <= \<const0>\; axi_w_rd_data_count(4) <= \<const0>\; axi_w_rd_data_count(3) <= \<const0>\; axi_w_rd_data_count(2) <= \<const0>\; axi_w_rd_data_count(1) <= \<const0>\; axi_w_rd_data_count(0) <= \<const0>\; axi_w_sbiterr <= \<const0>\; axi_w_underflow <= \<const0>\; axi_w_wr_data_count(10) <= \<const0>\; axi_w_wr_data_count(9) <= \<const0>\; axi_w_wr_data_count(8) <= \<const0>\; axi_w_wr_data_count(7) <= \<const0>\; axi_w_wr_data_count(6) <= \<const0>\; axi_w_wr_data_count(5) <= \<const0>\; axi_w_wr_data_count(4) <= \<const0>\; axi_w_wr_data_count(3) <= \<const0>\; axi_w_wr_data_count(2) <= \<const0>\; axi_w_wr_data_count(1) <= \<const0>\; axi_w_wr_data_count(0) <= \<const0>\; axis_data_count(10) <= \<const0>\; axis_data_count(9) <= \<const0>\; axis_data_count(8) <= \<const0>\; axis_data_count(7) <= \<const0>\; axis_data_count(6) <= \<const0>\; axis_data_count(5) <= \<const0>\; axis_data_count(4) <= \<const0>\; axis_data_count(3) <= \<const0>\; axis_data_count(2) <= \<const0>\; axis_data_count(1) <= \<const0>\; axis_data_count(0) <= \<const0>\; axis_dbiterr <= \<const0>\; axis_overflow <= \<const0>\; axis_prog_empty <= \<const1>\; axis_prog_full <= \<const0>\; axis_rd_data_count(10) <= \<const0>\; axis_rd_data_count(9) <= \<const0>\; axis_rd_data_count(8) <= \<const0>\; axis_rd_data_count(7) <= \<const0>\; axis_rd_data_count(6) <= \<const0>\; axis_rd_data_count(5) <= \<const0>\; axis_rd_data_count(4) <= \<const0>\; axis_rd_data_count(3) <= \<const0>\; axis_rd_data_count(2) <= \<const0>\; axis_rd_data_count(1) <= \<const0>\; axis_rd_data_count(0) <= \<const0>\; axis_sbiterr <= \<const0>\; axis_underflow <= \<const0>\; axis_wr_data_count(10) <= \<const0>\; axis_wr_data_count(9) <= \<const0>\; axis_wr_data_count(8) <= \<const0>\; axis_wr_data_count(7) <= \<const0>\; axis_wr_data_count(6) <= \<const0>\; axis_wr_data_count(5) <= \<const0>\; axis_wr_data_count(4) <= \<const0>\; axis_wr_data_count(3) <= \<const0>\; axis_wr_data_count(2) <= \<const0>\; axis_wr_data_count(1) <= \<const0>\; axis_wr_data_count(0) <= \<const0>\; data_count(10) <= \<const0>\; data_count(9) <= \<const0>\; data_count(8) <= \<const0>\; data_count(7) <= \<const0>\; data_count(6) <= \<const0>\; data_count(5) <= \<const0>\; data_count(4) <= \<const0>\; data_count(3) <= \<const0>\; data_count(2) <= \<const0>\; data_count(1) <= \<const0>\; data_count(0) <= \<const0>\; dbiterr <= \<const0>\; m_axi_araddr(31) <= \<const0>\; m_axi_araddr(30) <= \<const0>\; m_axi_araddr(29) <= \<const0>\; m_axi_araddr(28) <= \<const0>\; m_axi_araddr(27) <= \<const0>\; m_axi_araddr(26) <= \<const0>\; m_axi_araddr(25) <= \<const0>\; m_axi_araddr(24) <= \<const0>\; m_axi_araddr(23) <= \<const0>\; m_axi_araddr(22) <= \<const0>\; m_axi_araddr(21) <= \<const0>\; m_axi_araddr(20) <= \<const0>\; m_axi_araddr(19) <= \<const0>\; m_axi_araddr(18) <= \<const0>\; m_axi_araddr(17) <= \<const0>\; m_axi_araddr(16) <= \<const0>\; m_axi_araddr(15) <= \<const0>\; m_axi_araddr(14) <= \<const0>\; m_axi_araddr(13) <= \<const0>\; m_axi_araddr(12) <= \<const0>\; m_axi_araddr(11) <= \<const0>\; m_axi_araddr(10) <= \<const0>\; m_axi_araddr(9) <= \<const0>\; m_axi_araddr(8) <= \<const0>\; m_axi_araddr(7) <= \<const0>\; m_axi_araddr(6) <= \<const0>\; m_axi_araddr(5) <= \<const0>\; m_axi_araddr(4) <= \<const0>\; m_axi_araddr(3) <= \<const0>\; m_axi_araddr(2) <= \<const0>\; m_axi_araddr(1) <= \<const0>\; m_axi_araddr(0) <= \<const0>\; m_axi_arburst(1) <= \<const0>\; m_axi_arburst(0) <= \<const0>\; m_axi_arcache(3) <= \<const0>\; m_axi_arcache(2) <= \<const0>\; m_axi_arcache(1) <= \<const0>\; m_axi_arcache(0) <= \<const0>\; m_axi_arid(0) <= \<const0>\; m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3) <= \<const0>\; m_axi_arlen(2) <= \<const0>\; m_axi_arlen(1) <= \<const0>\; m_axi_arlen(0) <= \<const0>\; m_axi_arlock(0) <= \<const0>\; m_axi_arprot(2) <= \<const0>\; m_axi_arprot(1) <= \<const0>\; m_axi_arprot(0) <= \<const0>\; m_axi_arqos(3) <= \<const0>\; m_axi_arqos(2) <= \<const0>\; m_axi_arqos(1) <= \<const0>\; m_axi_arqos(0) <= \<const0>\; m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2) <= \<const0>\; m_axi_arsize(1) <= \<const0>\; m_axi_arsize(0) <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_arvalid <= \<const0>\; m_axi_awaddr(31) <= \<const0>\; m_axi_awaddr(30) <= \<const0>\; m_axi_awaddr(29) <= \<const0>\; m_axi_awaddr(28) <= \<const0>\; m_axi_awaddr(27) <= \<const0>\; m_axi_awaddr(26) <= \<const0>\; m_axi_awaddr(25) <= \<const0>\; m_axi_awaddr(24) <= \<const0>\; m_axi_awaddr(23) <= \<const0>\; m_axi_awaddr(22) <= \<const0>\; m_axi_awaddr(21) <= \<const0>\; m_axi_awaddr(20) <= \<const0>\; m_axi_awaddr(19) <= \<const0>\; m_axi_awaddr(18) <= \<const0>\; m_axi_awaddr(17) <= \<const0>\; m_axi_awaddr(16) <= \<const0>\; m_axi_awaddr(15) <= \<const0>\; m_axi_awaddr(14) <= \<const0>\; m_axi_awaddr(13) <= \<const0>\; m_axi_awaddr(12) <= \<const0>\; m_axi_awaddr(11) <= \<const0>\; m_axi_awaddr(10) <= \<const0>\; m_axi_awaddr(9) <= \<const0>\; m_axi_awaddr(8) <= \<const0>\; m_axi_awaddr(7) <= \<const0>\; m_axi_awaddr(6) <= \<const0>\; m_axi_awaddr(5) <= \<const0>\; m_axi_awaddr(4) <= \<const0>\; m_axi_awaddr(3) <= \<const0>\; m_axi_awaddr(2) <= \<const0>\; m_axi_awaddr(1) <= \<const0>\; m_axi_awaddr(0) <= \<const0>\; m_axi_awburst(1) <= \<const0>\; m_axi_awburst(0) <= \<const0>\; m_axi_awcache(3) <= \<const0>\; m_axi_awcache(2) <= \<const0>\; m_axi_awcache(1) <= \<const0>\; m_axi_awcache(0) <= \<const0>\; m_axi_awid(0) <= \<const0>\; m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3) <= \<const0>\; m_axi_awlen(2) <= \<const0>\; m_axi_awlen(1) <= \<const0>\; m_axi_awlen(0) <= \<const0>\; m_axi_awlock(0) <= \<const0>\; m_axi_awprot(2) <= \<const0>\; m_axi_awprot(1) <= \<const0>\; m_axi_awprot(0) <= \<const0>\; m_axi_awqos(3) <= \<const0>\; m_axi_awqos(2) <= \<const0>\; m_axi_awqos(1) <= \<const0>\; m_axi_awqos(0) <= \<const0>\; m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2) <= \<const0>\; m_axi_awsize(1) <= \<const0>\; m_axi_awsize(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_awvalid <= \<const0>\; m_axi_bready <= \<const0>\; m_axi_rready <= \<const0>\; m_axi_wdata(63) <= \<const0>\; m_axi_wdata(62) <= \<const0>\; m_axi_wdata(61) <= \<const0>\; m_axi_wdata(60) <= \<const0>\; m_axi_wdata(59) <= \<const0>\; m_axi_wdata(58) <= \<const0>\; m_axi_wdata(57) <= \<const0>\; m_axi_wdata(56) <= \<const0>\; m_axi_wdata(55) <= \<const0>\; m_axi_wdata(54) <= \<const0>\; m_axi_wdata(53) <= \<const0>\; m_axi_wdata(52) <= \<const0>\; m_axi_wdata(51) <= \<const0>\; m_axi_wdata(50) <= \<const0>\; m_axi_wdata(49) <= \<const0>\; m_axi_wdata(48) <= \<const0>\; m_axi_wdata(47) <= \<const0>\; m_axi_wdata(46) <= \<const0>\; m_axi_wdata(45) <= \<const0>\; m_axi_wdata(44) <= \<const0>\; m_axi_wdata(43) <= \<const0>\; m_axi_wdata(42) <= \<const0>\; m_axi_wdata(41) <= \<const0>\; m_axi_wdata(40) <= \<const0>\; m_axi_wdata(39) <= \<const0>\; m_axi_wdata(38) <= \<const0>\; m_axi_wdata(37) <= \<const0>\; m_axi_wdata(36) <= \<const0>\; m_axi_wdata(35) <= \<const0>\; m_axi_wdata(34) <= \<const0>\; m_axi_wdata(33) <= \<const0>\; m_axi_wdata(32) <= \<const0>\; m_axi_wdata(31) <= \<const0>\; m_axi_wdata(30) <= \<const0>\; m_axi_wdata(29) <= \<const0>\; m_axi_wdata(28) <= \<const0>\; m_axi_wdata(27) <= \<const0>\; m_axi_wdata(26) <= \<const0>\; m_axi_wdata(25) <= \<const0>\; m_axi_wdata(24) <= \<const0>\; m_axi_wdata(23) <= \<const0>\; m_axi_wdata(22) <= \<const0>\; m_axi_wdata(21) <= \<const0>\; m_axi_wdata(20) <= \<const0>\; m_axi_wdata(19) <= \<const0>\; m_axi_wdata(18) <= \<const0>\; m_axi_wdata(17) <= \<const0>\; m_axi_wdata(16) <= \<const0>\; m_axi_wdata(15) <= \<const0>\; m_axi_wdata(14) <= \<const0>\; m_axi_wdata(13) <= \<const0>\; m_axi_wdata(12) <= \<const0>\; m_axi_wdata(11) <= \<const0>\; m_axi_wdata(10) <= \<const0>\; m_axi_wdata(9) <= \<const0>\; m_axi_wdata(8) <= \<const0>\; m_axi_wdata(7) <= \<const0>\; m_axi_wdata(6) <= \<const0>\; m_axi_wdata(5) <= \<const0>\; m_axi_wdata(4) <= \<const0>\; m_axi_wdata(3) <= \<const0>\; m_axi_wdata(2) <= \<const0>\; m_axi_wdata(1) <= \<const0>\; m_axi_wdata(0) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \<const0>\; m_axi_wstrb(7) <= \<const0>\; m_axi_wstrb(6) <= \<const0>\; m_axi_wstrb(5) <= \<const0>\; m_axi_wstrb(4) <= \<const0>\; m_axi_wstrb(3) <= \<const0>\; m_axi_wstrb(2) <= \<const0>\; m_axi_wstrb(1) <= \<const0>\; m_axi_wstrb(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; m_axi_wvalid <= \<const0>\; m_axis_tdata(7) <= \<const0>\; m_axis_tdata(6) <= \<const0>\; m_axis_tdata(5) <= \<const0>\; m_axis_tdata(4) <= \<const0>\; m_axis_tdata(3) <= \<const0>\; m_axis_tdata(2) <= \<const0>\; m_axis_tdata(1) <= \<const0>\; m_axis_tdata(0) <= \<const0>\; m_axis_tdest(0) <= \<const0>\; m_axis_tid(0) <= \<const0>\; m_axis_tkeep(0) <= \<const0>\; m_axis_tlast <= \<const0>\; m_axis_tstrb(0) <= \<const0>\; m_axis_tuser(3) <= \<const0>\; m_axis_tuser(2) <= \<const0>\; m_axis_tuser(1) <= \<const0>\; m_axis_tuser(0) <= \<const0>\; m_axis_tvalid <= \<const0>\; overflow <= \<const0>\; prog_empty <= \<const0>\; prog_full <= \<const0>\; rd_data_count(10) <= \<const0>\; rd_data_count(9) <= \<const0>\; rd_data_count(8) <= \<const0>\; rd_data_count(7) <= \<const0>\; rd_data_count(6) <= \<const0>\; rd_data_count(5) <= \<const0>\; rd_data_count(4) <= \<const0>\; rd_data_count(3) <= \<const0>\; rd_data_count(2) <= \<const0>\; rd_data_count(1) <= \<const0>\; rd_data_count(0) <= \<const0>\; rd_rst_busy <= \<const0>\; s_axi_arready <= \<const0>\; s_axi_awready <= \<const0>\; s_axi_bid(0) <= \<const0>\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_bvalid <= \<const0>\; s_axi_rdata(63) <= \<const0>\; s_axi_rdata(62) <= \<const0>\; s_axi_rdata(61) <= \<const0>\; s_axi_rdata(60) <= \<const0>\; s_axi_rdata(59) <= \<const0>\; s_axi_rdata(58) <= \<const0>\; s_axi_rdata(57) <= \<const0>\; s_axi_rdata(56) <= \<const0>\; s_axi_rdata(55) <= \<const0>\; s_axi_rdata(54) <= \<const0>\; s_axi_rdata(53) <= \<const0>\; s_axi_rdata(52) <= \<const0>\; s_axi_rdata(51) <= \<const0>\; s_axi_rdata(50) <= \<const0>\; s_axi_rdata(49) <= \<const0>\; s_axi_rdata(48) <= \<const0>\; s_axi_rdata(47) <= \<const0>\; s_axi_rdata(46) <= \<const0>\; s_axi_rdata(45) <= \<const0>\; s_axi_rdata(44) <= \<const0>\; s_axi_rdata(43) <= \<const0>\; s_axi_rdata(42) <= \<const0>\; s_axi_rdata(41) <= \<const0>\; s_axi_rdata(40) <= \<const0>\; s_axi_rdata(39) <= \<const0>\; s_axi_rdata(38) <= \<const0>\; s_axi_rdata(37) <= \<const0>\; s_axi_rdata(36) <= \<const0>\; s_axi_rdata(35) <= \<const0>\; s_axi_rdata(34) <= \<const0>\; s_axi_rdata(33) <= \<const0>\; s_axi_rdata(32) <= \<const0>\; s_axi_rdata(31) <= \<const0>\; s_axi_rdata(30) <= \<const0>\; s_axi_rdata(29) <= \<const0>\; s_axi_rdata(28) <= \<const0>\; s_axi_rdata(27) <= \<const0>\; s_axi_rdata(26) <= \<const0>\; s_axi_rdata(25) <= \<const0>\; s_axi_rdata(24) <= \<const0>\; s_axi_rdata(23) <= \<const0>\; s_axi_rdata(22) <= \<const0>\; s_axi_rdata(21) <= \<const0>\; s_axi_rdata(20) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11) <= \<const0>\; s_axi_rdata(10) <= \<const0>\; s_axi_rdata(9) <= \<const0>\; s_axi_rdata(8) <= \<const0>\; s_axi_rdata(7) <= \<const0>\; s_axi_rdata(6) <= \<const0>\; s_axi_rdata(5) <= \<const0>\; s_axi_rdata(4) <= \<const0>\; s_axi_rdata(3) <= \<const0>\; s_axi_rdata(2) <= \<const0>\; s_axi_rdata(1) <= \<const0>\; s_axi_rdata(0) <= \<const0>\; s_axi_rid(0) <= \<const0>\; s_axi_rlast <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axi_rvalid <= \<const0>\; s_axi_wready <= \<const0>\; s_axis_tready <= \<const0>\; sbiterr <= \<const0>\; underflow <= \<const0>\; valid <= \<const0>\; wr_ack <= \<const0>\; wr_data_count(10) <= \<const0>\; wr_data_count(9) <= \<const0>\; wr_data_count(8) <= \<const0>\; wr_data_count(7) <= \<const0>\; wr_data_count(6) <= \<const0>\; wr_data_count(5) <= \<const0>\; wr_data_count(4) <= \<const0>\; wr_data_count(3) <= \<const0>\; wr_data_count(2) <= \<const0>\; wr_data_count(1) <= \<const0>\; wr_data_count(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); inst_fifo_gen: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2_synth port map ( clk => clk, din(63 downto 0) => din(63 downto 0), dout(63 downto 0) => dout(63 downto 0), empty => empty, full => full, rd_en => rd_en, rst => rst, wr_en => wr_en, wr_rst_busy => wr_rst_busy ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( clk : in STD_LOGIC; rst : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 63 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 63 downto 0 ); full : out STD_LOGIC; empty : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "fifo_generator_rx_inst,fifo_generator_v13_1_2,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "fifo_generator_v13_1_2,Vivado 2016.3"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC; signal NLW_U0_prog_full_UNCONNECTED : STD_LOGIC; signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC; signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC; signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC; signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC; signal NLW_U0_valid_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC; signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC; signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 ); signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of U0 : label is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of U0 : label is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of U0 : label is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of U0 : label is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of U0 : label is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of U0 : label is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of U0 : label is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of U0 : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of U0 : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of U0 : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of U0 : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of U0 : label is 64; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of U0 : label is 1; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of U0 : label is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of U0 : label is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of U0 : label is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of U0 : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of U0 : label is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of U0 : label is 1; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of U0 : label is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of U0 : label is 11; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of U0 : label is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of U0 : label is 64; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of U0 : label is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of U0 : label is 32; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of U0 : label is 64; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of U0 : label is 1; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of U0 : label is 64; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of U0 : label is 2; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of U0 : label is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of U0 : label is 64; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of U0 : label is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of U0 : label is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "kintex7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of U0 : label is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of U0 : label is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of U0 : label is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of U0 : label is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of U0 : label is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of U0 : label is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of U0 : label is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of U0 : label is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of U0 : label is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of U0 : label is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of U0 : label is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of U0 : label is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of U0 : label is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of U0 : label is 0; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of U0 : label is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of U0 : label is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of U0 : label is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of U0 : label is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of U0 : label is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of U0 : label is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of U0 : label is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of U0 : label is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of U0 : label is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of U0 : label is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of U0 : label is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of U0 : label is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of U0 : label is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of U0 : label is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of U0 : label is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of U0 : label is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of U0 : label is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of U0 : label is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of U0 : label is 0; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of U0 : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of U0 : label is 0; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of U0 : label is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of U0 : label is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of U0 : label is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of U0 : label is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of U0 : label is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of U0 : label is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of U0 : label is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of U0 : label is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of U0 : label is "2kx18"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 2046; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 2045; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of U0 : label is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of U0 : label is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of U0 : label is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 11; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of U0 : label is 2048; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of U0 : label is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of U0 : label is 11; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of U0 : label is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of U0 : label is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of U0 : label is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of U0 : label is 2; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of U0 : label is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of U0 : label is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of U0 : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of U0 : label is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of U0 : label is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of U0 : label is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of U0 : label is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of U0 : label is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of U0 : label is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of U0 : label is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of U0 : label is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of U0 : label is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of U0 : label is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of U0 : label is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of U0 : label is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of U0 : label is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of U0 : label is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of U0 : label is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 11; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of U0 : label is 2048; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of U0 : label is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of U0 : label is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of U0 : label is 1024; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of U0 : label is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of U0 : label is 1024; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of U0 : label is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of U0 : label is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of U0 : label is 11; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of U0 : label is 1; begin U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_fifo_generator_v13_1_2 port map ( almost_empty => NLW_U0_almost_empty_UNCONNECTED, almost_full => NLW_U0_almost_full_UNCONNECTED, axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0), axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED, axi_ar_injectdbiterr => '0', axi_ar_injectsbiterr => '0', axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED, axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED, axi_ar_prog_empty_thresh(3 downto 0) => B"0000", axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED, axi_ar_prog_full_thresh(3 downto 0) => B"0000", axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0), axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED, axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED, axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0), axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0), axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED, axi_aw_injectdbiterr => '0', axi_aw_injectsbiterr => '0', axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED, axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED, axi_aw_prog_empty_thresh(3 downto 0) => B"0000", axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED, axi_aw_prog_full_thresh(3 downto 0) => B"0000", axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0), axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED, axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED, axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0), axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0), axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED, axi_b_injectdbiterr => '0', axi_b_injectsbiterr => '0', axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED, axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED, axi_b_prog_empty_thresh(3 downto 0) => B"0000", axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED, axi_b_prog_full_thresh(3 downto 0) => B"0000", axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0), axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED, axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED, axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0), axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0), axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED, axi_r_injectdbiterr => '0', axi_r_injectsbiterr => '0', axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED, axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED, axi_r_prog_empty_thresh(9 downto 0) => B"0000000000", axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED, axi_r_prog_full_thresh(9 downto 0) => B"0000000000", axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0), axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED, axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED, axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0), axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0), axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED, axi_w_injectdbiterr => '0', axi_w_injectsbiterr => '0', axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED, axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED, axi_w_prog_empty_thresh(9 downto 0) => B"0000000000", axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED, axi_w_prog_full_thresh(9 downto 0) => B"0000000000", axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0), axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED, axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED, axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0), axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0), axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED, axis_injectdbiterr => '0', axis_injectsbiterr => '0', axis_overflow => NLW_U0_axis_overflow_UNCONNECTED, axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED, axis_prog_empty_thresh(9 downto 0) => B"0000000000", axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED, axis_prog_full_thresh(9 downto 0) => B"0000000000", axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0), axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED, axis_underflow => NLW_U0_axis_underflow_UNCONNECTED, axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0), backup => '0', backup_marker => '0', clk => clk, data_count(10 downto 0) => NLW_U0_data_count_UNCONNECTED(10 downto 0), dbiterr => NLW_U0_dbiterr_UNCONNECTED, din(63 downto 0) => din(63 downto 0), dout(63 downto 0) => dout(63 downto 0), empty => empty, full => full, injectdbiterr => '0', injectsbiterr => '0', int_clk => '0', m_aclk => '0', m_aclk_en => '0', m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0), m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0), m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0), m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0), m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0), m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0), m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0), m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0), m_axi_arready => '0', m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0), m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0), m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED, m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0), m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0), m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0), m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0), m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0), m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0), m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0), m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0), m_axi_awready => '0', m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0), m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0), m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED, m_axi_bid(0) => '0', m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED, m_axi_bresp(1 downto 0) => B"00", m_axi_buser(0) => '0', m_axi_bvalid => '0', m_axi_rdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", m_axi_rid(0) => '0', m_axi_rlast => '0', m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED, m_axi_rresp(1 downto 0) => B"00", m_axi_ruser(0) => '0', m_axi_rvalid => '0', m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0), m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0), m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED, m_axi_wready => '0', m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0), m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED, m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0), m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0), m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0), m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0), m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED, m_axis_tready => '0', m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0), m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0), m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED, overflow => NLW_U0_overflow_UNCONNECTED, prog_empty => NLW_U0_prog_empty_UNCONNECTED, prog_empty_thresh(10 downto 0) => B"00000000000", prog_empty_thresh_assert(10 downto 0) => B"00000000000", prog_empty_thresh_negate(10 downto 0) => B"00000000000", prog_full => NLW_U0_prog_full_UNCONNECTED, prog_full_thresh(10 downto 0) => B"00000000000", prog_full_thresh_assert(10 downto 0) => B"00000000000", prog_full_thresh_negate(10 downto 0) => B"00000000000", rd_clk => '0', rd_data_count(10 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(10 downto 0), rd_en => rd_en, rd_rst => '0', rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED, rst => rst, s_aclk => '0', s_aclk_en => '0', s_aresetn => '0', s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_arburst(1 downto 0) => B"00", s_axi_arcache(3 downto 0) => B"0000", s_axi_arid(0) => '0', s_axi_arlen(7 downto 0) => B"00000000", s_axi_arlock(0) => '0', s_axi_arprot(2 downto 0) => B"000", s_axi_arqos(3 downto 0) => B"0000", s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => B"000", s_axi_aruser(0) => '0', s_axi_arvalid => '0', s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000", s_axi_awburst(1 downto 0) => B"00", s_axi_awcache(3 downto 0) => B"0000", s_axi_awid(0) => '0', s_axi_awlen(7 downto 0) => B"00000000", s_axi_awlock(0) => '0', s_axi_awprot(2 downto 0) => B"000", s_axi_awqos(3 downto 0) => B"0000", s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => B"000", s_axi_awuser(0) => '0', s_axi_awvalid => '0', s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0), s_axi_bready => '0', s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0), s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED, s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0), s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0), s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED, s_axi_rready => '0', s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0), s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED, s_axi_wdata(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", s_axi_wid(0) => '0', s_axi_wlast => '0', s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED, s_axi_wstrb(7 downto 0) => B"00000000", s_axi_wuser(0) => '0', s_axi_wvalid => '0', s_axis_tdata(7 downto 0) => B"00000000", s_axis_tdest(0) => '0', s_axis_tid(0) => '0', s_axis_tkeep(0) => '0', s_axis_tlast => '0', s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED, s_axis_tstrb(0) => '0', s_axis_tuser(3 downto 0) => B"0000", s_axis_tvalid => '0', sbiterr => NLW_U0_sbiterr_UNCONNECTED, sleep => '0', srst => '0', underflow => NLW_U0_underflow_UNCONNECTED, valid => NLW_U0_valid_UNCONNECTED, wr_ack => NLW_U0_wr_ack_UNCONNECTED, wr_clk => '0', wr_data_count(10 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(10 downto 0), wr_en => wr_en, wr_rst => '0', wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED ); end STRUCTURE;
-- ----------------------------------------------------------------- -- -- Copyright 2019 IEEE P1076 WG Authors -- -- See the LICENSE file distributed with this work for copyright and -- licensing information and the AUTHORS file. -- -- This file to you under the Apache License, Version 2.0 (the "License"). -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or -- implied. See the License for the specific language governing -- permissions and limitations under the License. -- -- Title : Standard VHDL Synthesis Packages -- : (NUMERIC_STD package declaration) -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers: IEEE DASC Synthesis Working Group, -- : Accellera VHDL-TC, and IEEE P1076 Working Group -- : -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents an UNSIGNED number -- : in vector form -- : -- > SIGNED: represents a SIGNED number -- : in vector form -- : The base element type is type STD_ULOGIC. -- : The element subtypes are the same subtype as STD_LOGIC. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array -- : is returned (exceptions, if any, are noted individually). -- -- Note : This package may be modified to include additional data -- : required by tools, but it must in no way change the -- : external interfaces or simulation behavior of the -- : description. It is permissible to add comments and/or -- : attributes to the package declarations, but not to change -- : or delete any original lines of the package declaration. -- : The package body may be changed only in accordance with -- : the terms of Clause 16 of this standard. -- : -- -------------------------------------------------------------------- -- $Revision: 1220 $ -- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $ -- -------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; package NUMERIC_STD is constant CopyRightNotice : STRING := "Copyright © 2008 IEEE. All rights reserved."; --============================================================================ -- Numeric Array Type Definitions --============================================================================ type UNSIGNED is array (NATURAL range <>) of STD_LOGIC; type SIGNED is array (NATURAL range <>) of STD_LOGIC; --============================================================================ -- Arithmetic Operators: --=========================================================================== -- Id: A.1 function "abs" (ARG : SIGNED) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Returns the absolute value of a SIGNED vector ARG. -- Id: A.2 function "-" (ARG : SIGNED) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Returns the value of the unary minus operation on a -- SIGNED vector ARG. --============================================================================ -- Id: A.3 function "+" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(MAXIMUM(L'LENGTH, R'LENGTH)-1 downto 0) -- Result: Adds two UNSIGNED vectors that may be of different lengths. -- Id: A.4 function "+" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(MAXIMUM(L'LENGTH, R'LENGTH)-1 downto 0) -- Result: Adds two SIGNED vectors that may be of different lengths. -- Id: A.5 function "+" (L : UNSIGNED; R : NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Adds an UNSIGNED vector, L, with a nonnegative INTEGER, R. -- Id: A.6 function "+" (L : NATURAL; R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Adds a nonnegative INTEGER, L, with an UNSIGNED vector, R. -- Id: A.7 function "+" (L : INTEGER; R : SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Adds an INTEGER, L(may be positive or negative), to a SIGNED -- vector, R. -- Id: A.8 function "+" (L : SIGNED; R : INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Adds a SIGNED vector, L, to an INTEGER, R. --============================================================================ -- Id: A.9 function "-" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(MAXIMUM(L'LENGTH, R'LENGTH)-1 downto 0) -- Result: Subtracts two UNSIGNED vectors that may be of different lengths. -- Id: A.10 function "-" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(MAXIMUM(L'LENGTH, R'LENGTH)-1 downto 0) -- Result: Subtracts a SIGNED vector, R, from another SIGNED vector, L, -- that may possibly be of different lengths. -- Id: A.11 function "-" (L : UNSIGNED; R : NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Subtracts a nonnegative INTEGER, R, from an UNSIGNED vector, L. -- Id: A.12 function "-" (L : NATURAL; R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Subtracts an UNSIGNED vector, R, from a nonnegative INTEGER, L. -- Id: A.13 function "-" (L : SIGNED; R : INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Subtracts an INTEGER, R, from a SIGNED vector, L. -- Id: A.14 function "-" (L : INTEGER; R : SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Subtracts a SIGNED vector, R, from an INTEGER, L. --============================================================================ -- Id: A.15 function "*" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0) -- Result: Performs the multiplication operation on two UNSIGNED vectors -- that may possibly be of different lengths. -- Id: A.16 function "*" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED((L'LENGTH+R'LENGTH-1) downto 0) -- Result: Multiplies two SIGNED vectors that may possibly be of -- different lengths. -- Id: A.17 function "*" (L : UNSIGNED; R : NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED((L'LENGTH+L'LENGTH-1) downto 0) -- Result: Multiplies an UNSIGNED vector, L, with a nonnegative -- INTEGER, R. R is converted to an UNSIGNED vector of -- SIZE L'LENGTH before multiplication. -- Id: A.18 function "*" (L : NATURAL; R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED((R'LENGTH+R'LENGTH-1) downto 0) -- Result: Multiplies an UNSIGNED vector, R, with a nonnegative -- INTEGER, L. L is converted to an UNSIGNED vector of -- SIZE R'LENGTH before multiplication. -- Id: A.19 function "*" (L : SIGNED; R : INTEGER) return SIGNED; -- Result subtype: SIGNED((L'LENGTH+L'LENGTH-1) downto 0) -- Result: Multiplies a SIGNED vector, L, with an INTEGER, R. R is -- converted to a SIGNED vector of SIZE L'LENGTH before -- multiplication. -- Id: A.20 function "*" (L : INTEGER; R : SIGNED) return SIGNED; -- Result subtype: SIGNED((R'LENGTH+R'LENGTH-1) downto 0) -- Result: Multiplies a SIGNED vector, R, with an INTEGER, L. L is -- converted to a SIGNED vector of SIZE R'LENGTH before -- multiplication. --============================================================================ -- -- NOTE: If second argument is zero for "/" operator, a severity level -- of ERROR is issued. -- Id: A.21 function "/" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Divides an UNSIGNED vector, L, by another UNSIGNED vector, R. -- Id: A.22 function "/" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Divides a SIGNED vector, L, by another SIGNED vector, R. -- Id: A.23 function "/" (L : UNSIGNED; R : NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Divides an UNSIGNED vector, L, by a nonnegative INTEGER, R. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.24 function "/" (L : NATURAL; R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Divides a nonnegative INTEGER, L, by an UNSIGNED vector, R. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. -- Id: A.25 function "/" (L : SIGNED; R : INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Divides a SIGNED vector, L, by an INTEGER, R. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.26 function "/" (L : INTEGER; R : SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Divides an INTEGER, L, by a SIGNED vector, R. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. --============================================================================ -- -- NOTE: If second argument is zero for "rem" operator, a severity level -- of ERROR is issued. -- Id: A.27 function "rem" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L and R are UNSIGNED vectors. -- Id: A.28 function "rem" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L and R are SIGNED vectors. -- Id: A.29 function "rem" (L : UNSIGNED; R : NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L is an UNSIGNED vector and R is a -- nonnegative INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.30 function "rem" (L : NATURAL; R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where R is an UNSIGNED vector and L is a -- nonnegative INTEGER. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. -- Id: A.31 function "rem" (L : SIGNED; R : INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L is SIGNED vector and R is an INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.32 function "rem" (L : INTEGER; R : SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where R is SIGNED vector and L is an INTEGER. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. --============================================================================ -- -- NOTE: If second argument is zero for "mod" operator, a severity level -- of ERROR is issued. -- Id: A.33 function "mod" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L and R are UNSIGNED vectors. -- Id: A.34 function "mod" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L and R are SIGNED vectors. -- Id: A.35 function "mod" (L : UNSIGNED; R : NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L is an UNSIGNED vector and R -- is a nonnegative INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.36 function "mod" (L : NATURAL; R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where R is an UNSIGNED vector and L -- is a nonnegative INTEGER. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. -- Id: A.37 function "mod" (L : SIGNED; R : INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L is a SIGNED vector and -- R is an INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.38 function "mod" (L : INTEGER; R : SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L is an INTEGER and -- R is a SIGNED vector. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. --============================================================================ -- Comparison Operators --============================================================================ -- Id: C.1 function ">" (L, R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.2 function ">" (L, R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.3 function ">" (L : NATURAL; R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is a nonnegative INTEGER and -- R is an UNSIGNED vector. -- Id: C.4 function ">" (L : INTEGER; R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is a INTEGER and -- R is a SIGNED vector. -- Id: C.5 function ">" (L : UNSIGNED; R : NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is an UNSIGNED vector and -- R is a nonnegative INTEGER. -- Id: C.6 function ">" (L : SIGNED; R : INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is a SIGNED vector and -- R is a INTEGER. --============================================================================ -- Id: C.7 function "<" (L, R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.8 function "<" (L, R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.9 function "<" (L : NATURAL; R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is a nonnegative INTEGER and -- R is an UNSIGNED vector. -- Id: C.10 function "<" (L : INTEGER; R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.11 function "<" (L : UNSIGNED; R : NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is an UNSIGNED vector and -- R is a nonnegative INTEGER. -- Id: C.12 function "<" (L : SIGNED; R : INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.13 function "<=" (L, R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.14 function "<=" (L, R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.15 function "<=" (L : NATURAL; R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is a nonnegative INTEGER and -- R is an UNSIGNED vector. -- Id: C.16 function "<=" (L : INTEGER; R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.17 function "<=" (L : UNSIGNED; R : NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is an UNSIGNED vector and -- R is a nonnegative INTEGER. -- Id: C.18 function "<=" (L : SIGNED; R : INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.19 function ">=" (L, R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.20 function ">=" (L, R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.21 function ">=" (L : NATURAL; R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is a nonnegative INTEGER and -- R is an UNSIGNED vector. -- Id: C.22 function ">=" (L : INTEGER; R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.23 function ">=" (L : UNSIGNED; R : NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is an UNSIGNED vector and -- R is a nonnegative INTEGER. -- Id: C.24 function ">=" (L : SIGNED; R : INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.25 function "=" (L, R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.26 function "=" (L, R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.27 function "=" (L : NATURAL; R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is a nonnegative INTEGER and -- R is an UNSIGNED vector. -- Id: C.28 function "=" (L : INTEGER; R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.29 function "=" (L : UNSIGNED; R : NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is an UNSIGNED vector and -- R is a nonnegative INTEGER. -- Id: C.30 function "=" (L : SIGNED; R : INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.31 function "/=" (L, R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.32 function "/=" (L, R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.33 function "/=" (L : NATURAL; R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is a nonnegative INTEGER and -- R is an UNSIGNED vector. -- Id: C.34 function "/=" (L : INTEGER; R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.35 function "/=" (L : UNSIGNED; R : NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is an UNSIGNED vector and -- R is a nonnegative INTEGER. -- Id: C.36 function "/=" (L : SIGNED; R : INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Shift and Rotate Functions --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-left on an UNSIGNED vector COUNT times. -- The vacated positions are filled with '0'. -- The COUNT leftmost elements are lost. -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-right on an UNSIGNED vector COUNT times. -- The vacated positions are filled with '0'. -- The COUNT rightmost elements are lost. -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-left on a SIGNED vector COUNT times. -- The vacated positions are filled with '0'. -- The COUNT leftmost elements are lost. -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-right on a SIGNED vector COUNT times. -- The vacated positions are filled with the leftmost -- element, ARG'LEFT. The COUNT rightmost elements are lost. --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a rotate-left of an UNSIGNED vector COUNT times. -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a rotate-right of an UNSIGNED vector COUNT times. -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a logical rotate-left of a SIGNED -- vector COUNT times. -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a logical rotate-right of a SIGNED -- vector COUNT times. --============================================================================ ------------------------------------------------------------------------------ -- Note: Function S.9 is not compatible with IEEE Std 1076-1987. Comment -- out the function (declaration and body) for IEEE Std 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG : UNSIGNED; COUNT : INTEGER) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: SHIFT_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note: Function S.10 is not compatible with IEEE Std 1076-1987. Comment -- out the function (declaration and body) for IEEE Std 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG : SIGNED; COUNT : INTEGER) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: SHIFT_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note: Function S.11 is not compatible with IEEE Std 1076-1987. Comment -- out the function (declaration and body) for IEEE StdL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG : UNSIGNED; COUNT : INTEGER) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: SHIFT_RIGHT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note: Function S.12 is not compatible with IEEE Std 1076-1987. Comment -- out the function (declaration and body) for IEEE Std 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG : SIGNED; COUNT : INTEGER) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)) ------------------------------------------------------------------------------ -- Note: Function S.13 is not compatible with IEEE Std 1076-1987. Comment -- out the function (declaration and body) for IEEE Std 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG : UNSIGNED; COUNT : INTEGER) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note: Function S.14 is not compatible with IEEE Std 1076-1987. Comment -- out the function (declaration and body) for IEEE Std 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG : SIGNED; COUNT : INTEGER) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note: Function S.15 is not compatible with IEEE Std 1076-1987. Comment -- out the function (declaration and body) for IEEE Std 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG : UNSIGNED; COUNT : INTEGER) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_RIGHT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note: Function S.16 is not compatible with IEEE Std 1076-1987. Comment -- out the function (declaration and body) for IEEE Std 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG : SIGNED; COUNT : INTEGER) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_RIGHT(ARG, COUNT) --============================================================================ -- RESIZE Functions --============================================================================ -- Id: R.1 function RESIZE (ARG : SIGNED; NEW_SIZE : NATURAL) return SIGNED; -- Result subtype: SIGNED(NEW_SIZE-1 downto 0) -- Result: Resizes the SIGNED vector ARG to the specified size. -- To create a larger vector, the new [leftmost] bit positions -- are filled with the sign bit (ARG'LEFT). When truncating, -- the sign bit is retained along with the rightmost part. -- Id: R.2 function RESIZE (ARG : UNSIGNED; NEW_SIZE : NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(NEW_SIZE-1 downto 0) -- Result: Resizes the SIGNED vector ARG to the specified size. -- To create a larger vector, the new [leftmost] bit positions -- are filled with '0'. When truncating, the leftmost bits -- are dropped. --============================================================================ -- Conversion Functions --============================================================================ -- Id: D.1 function TO_INTEGER (ARG : UNSIGNED) return NATURAL; -- Result subtype: NATURAL. Value cannot be negative since parameter is an -- UNSIGNED vector. -- Result: Converts the UNSIGNED vector to an INTEGER. -- Id: D.2 function TO_INTEGER (ARG : SIGNED) return INTEGER; -- Result subtype: INTEGER -- Result: Converts a SIGNED vector to an INTEGER. -- Id: D.3 function TO_UNSIGNED (ARG, SIZE : NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(SIZE-1 downto 0) -- Result: Converts a nonnegative INTEGER to an UNSIGNED vector with -- the specified SIZE. -- Id: D.4 function TO_SIGNED (ARG : INTEGER; SIZE : NATURAL) return SIGNED; -- Result subtype: SIGNED(SIZE-1 downto 0) -- Result: Converts an INTEGER to a SIGNED vector of the specified SIZE. --============================================================================ -- Logical Operators --============================================================================ -- Id: L.1 function "not" (L : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Termwise inversion -- Id: L.2 function "and" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector AND operation -- Id: L.3 function "or" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector OR operation -- Id: L.4 function "nand" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector NAND operation -- Id: L.5 function "nor" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector NOR operation -- Id: L.6 function "xor" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector XOR operation -- --------------------------------------------------------------------------- -- Note: Function L.7 is not compatible with IEEE Std 1076-1987. Comment -- out the function (declaration and body) for IEEE Std 1076-1987 compatibility. -- --------------------------------------------------------------------------- -- Id: L.7 function "xnor" (L, R : UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector XNOR operation -- Id: L.8 function "not" (L : SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Termwise inversion -- Id: L.9 function "and" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector AND operation -- Id: L.10 function "or" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector OR operation -- Id: L.11 function "nand" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector NAND operation -- Id: L.12 function "nor" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector NOR operation -- Id: L.13 function "xor" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector XOR operation -- --------------------------------------------------------------------------- -- Note: Function L.14 is not compatible with IEEE Std 1076-1987. Comment -- out the function (declaration and body) for IEEE Std 1076-1987 compatibility. -- --------------------------------------------------------------------------- -- Id: L.14 function "xnor" (L, R : SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector XNOR operation --============================================================================ -- Match Functions --============================================================================ -- Id: M.1 function STD_MATCH (L, R : STD_ULOGIC) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.2 function STD_MATCH (L, R : UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.3 function STD_MATCH (L, R : SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.4 function STD_MATCH (L, R: STD_LOGIC_VECTOR) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.5 function STD_MATCH (L, R : STD_ULOGIC_VECTOR) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent --============================================================================ -- Translation Functions --============================================================================ -- Id: T.1 function TO_01 (S : UNSIGNED; XMAP : STD_LOGIC := '0') return UNSIGNED; -- Result subtype: UNSIGNED(S'RANGE) -- Result: Termwise, 'H' is translated to '1', and 'L' is translated -- to '0'. If a value other than '0'|'1'|'H'|'L' is found, -- the array is set to (others => XMAP), and a warning is -- issued. -- Id: T.2 function TO_01 (S : SIGNED; XMAP : STD_LOGIC := '0') return SIGNED; -- Result subtype: SIGNED(S'RANGE) -- Result: Termwise, 'H' is translated to '1', and 'L' is translated -- to '0'. If a value other than '0'|'1'|'H'|'L' is found, -- the array is set to (others => XMAP), and a warning is -- issued. end package NUMERIC_STD;
-- $Id: gsr_pulse_dummy.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2016- by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: gsr_pulse - sim -- Description: pulse GSR at startup (no action dummy for behavioral sims) -- -- Dependencies: - -- Test bench: - -- Target Devices: generic -- Tool versions: viv 2016.2; ghdl 0.33 -- Revision History: -- 2016-09-17 808 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; entity gsr_pulse is -- pulse GSR at startup generic ( GSR_WIDTH : Delay_length:= 100 ns); -- GSR pulse length end gsr_pulse; architecture sim of gsr_pulse is begin -- dummy, for behavioral simulations without VCOMPONENTS end sim;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY signal_generator IS PORT (clk : IN STD_LOGIC; reset : IN STD_LOGIC; --unused led: OUT STD_LOGIC; clock_out : OUT STD_LOGIC); END signal_generator; ARCHITECTURE behavior of signal_generator IS SIGNAL clk_sig : std_logic; SIGNAL led_sig : std_logic; BEGIN PROCESS(clk) VARIABLE count1 : integer; VARIABLE count2 : integer; BEGIN IF rising_edge(clk) then IF (count1=5) THEN clk_sig<=NOT(clk_sig); count1:=0; ELSE count1:=count1+1; END IF; IF (count2=24999999) THEN --((input clock)/2-1) led_sig<=NOT(led_sig); count2:=0; ELSE count2:=count2+1; END IF; END IF; END PROCESS; clock_out <= clk_sig; led <= led_sig; END behavior;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1931.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b01x00p01n02i01931ent IS END c07s02b01x00p01n02i01931ent; ARCHITECTURE c07s02b01x00p01n02i01931arch OF c07s02b01x00p01n02i01931ent IS BEGIN TESTING: PROCESS BEGIN -- -- Test operators on one-dimesioned arrays of BIT -- ASSERT ( B"1100" AND B"1010" ) = B"1000" REPORT "ERROR: composite AND operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" OR B"1010" ) = B"1110" REPORT "ERROR: composite OR operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" NAND B"1010" ) = B"0111" REPORT "ERROR: composite NAND operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" NOR B"1010" ) = B"0001" REPORT "ERROR: composite NOR operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" XOR B"1010" ) = B"0110" REPORT "ERROR: composite XOR operator failed : BIT" SEVERITY FAILURE; ASSERT ( NOT B"1100" ) = B"0011" REPORT "ERROR: composite NOT operator failed : BIT" SEVERITY FAILURE; wait for 5 ns; assert NOT( (( B"1100" AND B"1010" ) = B"1000") and (( B"1100" OR B"1010" ) = B"1110") and (( B"1100" NAND B"1010" ) = B"0111") and (( B"1100" NOR B"1010" ) = B"0001") and (( B"1100" XOR B"1010" ) = B"0110") and (( NOT B"1100" ) = B"0011") ) report "***PASSED TEST: c07s02b01x00p01n02i01931" severity NOTE; assert ( (( B"1100" AND B"1010" ) = B"1000") and (( B"1100" OR B"1010" ) = B"1110") and (( B"1100" NAND B"1010" ) = B"0111") and (( B"1100" NOR B"1010" ) = B"0001") and (( B"1100" XOR B"1010" ) = B"0110") and (( NOT B"1100" ) = B"0011") ) report "***FAILED TEST: c07s02b01x00p01n02i01931 - Logical operators should be valid for any one-dimensional array type whose element type is BIT." severity ERROR; wait; END PROCESS TESTING; END c07s02b01x00p01n02i01931arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1931.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b01x00p01n02i01931ent IS END c07s02b01x00p01n02i01931ent; ARCHITECTURE c07s02b01x00p01n02i01931arch OF c07s02b01x00p01n02i01931ent IS BEGIN TESTING: PROCESS BEGIN -- -- Test operators on one-dimesioned arrays of BIT -- ASSERT ( B"1100" AND B"1010" ) = B"1000" REPORT "ERROR: composite AND operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" OR B"1010" ) = B"1110" REPORT "ERROR: composite OR operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" NAND B"1010" ) = B"0111" REPORT "ERROR: composite NAND operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" NOR B"1010" ) = B"0001" REPORT "ERROR: composite NOR operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" XOR B"1010" ) = B"0110" REPORT "ERROR: composite XOR operator failed : BIT" SEVERITY FAILURE; ASSERT ( NOT B"1100" ) = B"0011" REPORT "ERROR: composite NOT operator failed : BIT" SEVERITY FAILURE; wait for 5 ns; assert NOT( (( B"1100" AND B"1010" ) = B"1000") and (( B"1100" OR B"1010" ) = B"1110") and (( B"1100" NAND B"1010" ) = B"0111") and (( B"1100" NOR B"1010" ) = B"0001") and (( B"1100" XOR B"1010" ) = B"0110") and (( NOT B"1100" ) = B"0011") ) report "***PASSED TEST: c07s02b01x00p01n02i01931" severity NOTE; assert ( (( B"1100" AND B"1010" ) = B"1000") and (( B"1100" OR B"1010" ) = B"1110") and (( B"1100" NAND B"1010" ) = B"0111") and (( B"1100" NOR B"1010" ) = B"0001") and (( B"1100" XOR B"1010" ) = B"0110") and (( NOT B"1100" ) = B"0011") ) report "***FAILED TEST: c07s02b01x00p01n02i01931 - Logical operators should be valid for any one-dimensional array type whose element type is BIT." severity ERROR; wait; END PROCESS TESTING; END c07s02b01x00p01n02i01931arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1931.vhd,v 1.2 2001-10-26 16:29:44 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s02b01x00p01n02i01931ent IS END c07s02b01x00p01n02i01931ent; ARCHITECTURE c07s02b01x00p01n02i01931arch OF c07s02b01x00p01n02i01931ent IS BEGIN TESTING: PROCESS BEGIN -- -- Test operators on one-dimesioned arrays of BIT -- ASSERT ( B"1100" AND B"1010" ) = B"1000" REPORT "ERROR: composite AND operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" OR B"1010" ) = B"1110" REPORT "ERROR: composite OR operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" NAND B"1010" ) = B"0111" REPORT "ERROR: composite NAND operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" NOR B"1010" ) = B"0001" REPORT "ERROR: composite NOR operator failed : BIT" SEVERITY FAILURE; ASSERT ( B"1100" XOR B"1010" ) = B"0110" REPORT "ERROR: composite XOR operator failed : BIT" SEVERITY FAILURE; ASSERT ( NOT B"1100" ) = B"0011" REPORT "ERROR: composite NOT operator failed : BIT" SEVERITY FAILURE; wait for 5 ns; assert NOT( (( B"1100" AND B"1010" ) = B"1000") and (( B"1100" OR B"1010" ) = B"1110") and (( B"1100" NAND B"1010" ) = B"0111") and (( B"1100" NOR B"1010" ) = B"0001") and (( B"1100" XOR B"1010" ) = B"0110") and (( NOT B"1100" ) = B"0011") ) report "***PASSED TEST: c07s02b01x00p01n02i01931" severity NOTE; assert ( (( B"1100" AND B"1010" ) = B"1000") and (( B"1100" OR B"1010" ) = B"1110") and (( B"1100" NAND B"1010" ) = B"0111") and (( B"1100" NOR B"1010" ) = B"0001") and (( B"1100" XOR B"1010" ) = B"0110") and (( NOT B"1100" ) = B"0011") ) report "***FAILED TEST: c07s02b01x00p01n02i01931 - Logical operators should be valid for any one-dimensional array type whose element type is BIT." severity ERROR; wait; END PROCESS TESTING; END c07s02b01x00p01n02i01931arch;
library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity ex7_jed is port( clock: in std_logic; input: in std_logic_vector(1 downto 0); output: out std_logic_vector(1 downto 0) ); end ex7_jed; architecture behaviour of ex7_jed is constant s1: std_logic_vector(3 downto 0) := "0101"; constant s7: std_logic_vector(3 downto 0) := "0010"; constant s0: std_logic_vector(3 downto 0) := "0011"; constant s2: std_logic_vector(3 downto 0) := "0001"; constant s5: std_logic_vector(3 downto 0) := "0111"; constant s3: std_logic_vector(3 downto 0) := "1111"; constant s8: std_logic_vector(3 downto 0) := "0110"; constant s4: std_logic_vector(3 downto 0) := "1011"; constant s6: std_logic_vector(3 downto 0) := "1010"; constant s9: std_logic_vector(3 downto 0) := "1001"; signal current_state, next_state: std_logic_vector(3 downto 0); begin process(clock) begin if rising_edge(clock) then current_state <= next_state; end if; end process; process(input, current_state) begin next_state <= "----"; output <= "--"; case current_state is when s1 => if std_match(input, "00") then next_state <= s7; output <= "11"; elsif std_match(input, "01") then next_state <= s0; output <= "--"; elsif std_match(input, "10") then next_state <= s0; output <= "00"; elsif std_match(input, "11") then next_state <= s0; output <= "--"; end if; when s2 => if std_match(input, "00") then next_state <= s0; output <= "--"; elsif std_match(input, "01") then next_state <= s2; output <= "--"; elsif std_match(input, "10") then next_state <= s5; output <= "--"; elsif std_match(input, "11") then next_state <= s0; output <= "--"; end if; when s3 => if std_match(input, "00") then next_state <= s0; output <= "--"; elsif std_match(input, "01") then next_state <= s0; output <= "11"; elsif std_match(input, "10") then next_state <= s8; output <= "--"; elsif std_match(input, "11") then next_state <= s5; output <= "--"; end if; when s4 => if std_match(input, "00") then next_state <= s0; output <= "--"; elsif std_match(input, "01") then next_state <= s0; output <= "00"; elsif std_match(input, "10") then next_state <= s0; output <= "--"; elsif std_match(input, "11") then next_state <= s1; output <= "11"; end if; when s5 => if std_match(input, "00") then next_state <= s7; output <= "00"; elsif std_match(input, "01") then next_state <= s5; output <= "--"; elsif std_match(input, "10") then next_state <= s2; output <= "11"; elsif std_match(input, "11") then next_state <= s0; output <= "--"; end if; when s6 => if std_match(input, "00") then next_state <= s0; output <= "--"; elsif std_match(input, "01") then next_state <= s9; output <= "--"; elsif std_match(input, "10") then next_state <= s0; output <= "--"; elsif std_match(input, "11") then next_state <= s2; output <= "00"; end if; when s7 => if std_match(input, "00") then next_state <= s4; output <= "--"; elsif std_match(input, "01") then next_state <= s4; output <= "--"; elsif std_match(input, "10") then next_state <= s0; output <= "00"; elsif std_match(input, "11") then next_state <= s5; output <= "--"; end if; when s8 => if std_match(input, "00") then next_state <= s0; output <= "--"; elsif std_match(input, "01") then next_state <= s3; output <= "--"; elsif std_match(input, "10") then next_state <= s0; output <= "--"; elsif std_match(input, "11") then next_state <= s4; output <= "11"; end if; when s9 => if std_match(input, "00") then next_state <= s6; output <= "11"; elsif std_match(input, "01") then next_state <= s3; output <= "00"; elsif std_match(input, "10") then next_state <= s0; output <= "00"; elsif std_match(input, "11") then next_state <= s0; output <= "--"; end if; when others => next_state <= "----"; output <= "--"; end case; end process; end behaviour;
entity array2 is end entity; architecture test of array2 is type int_array is array (integer range <>) of integer; type int_array_Nx4 is array (integer range <>) of int_array(1 to 4); begin process is variable a : int_array_Nx4(1 to 4); variable b : int_array(1 to 4); begin a(1)(2) := 2; assert a(1)(2) = 2; a := ( others => ( 1, 2, 3, 4 ) ); b := a(1); assert b = ( 1, 2, 3, 4); wait; end process; end architecture;
entity array2 is end entity; architecture test of array2 is type int_array is array (integer range <>) of integer; type int_array_Nx4 is array (integer range <>) of int_array(1 to 4); begin process is variable a : int_array_Nx4(1 to 4); variable b : int_array(1 to 4); begin a(1)(2) := 2; assert a(1)(2) = 2; a := ( others => ( 1, 2, 3, 4 ) ); b := a(1); assert b = ( 1, 2, 3, 4); wait; end process; end architecture;
entity array2 is end entity; architecture test of array2 is type int_array is array (integer range <>) of integer; type int_array_Nx4 is array (integer range <>) of int_array(1 to 4); begin process is variable a : int_array_Nx4(1 to 4); variable b : int_array(1 to 4); begin a(1)(2) := 2; assert a(1)(2) = 2; a := ( others => ( 1, 2, 3, 4 ) ); b := a(1); assert b = ( 1, 2, 3, 4); wait; end process; end architecture;
entity array2 is end entity; architecture test of array2 is type int_array is array (integer range <>) of integer; type int_array_Nx4 is array (integer range <>) of int_array(1 to 4); begin process is variable a : int_array_Nx4(1 to 4); variable b : int_array(1 to 4); begin a(1)(2) := 2; assert a(1)(2) = 2; a := ( others => ( 1, 2, 3, 4 ) ); b := a(1); assert b = ( 1, 2, 3, 4); wait; end process; end architecture;
entity array2 is end entity; architecture test of array2 is type int_array is array (integer range <>) of integer; type int_array_Nx4 is array (integer range <>) of int_array(1 to 4); begin process is variable a : int_array_Nx4(1 to 4); variable b : int_array(1 to 4); begin a(1)(2) := 2; assert a(1)(2) = 2; a := ( others => ( 1, 2, 3, 4 ) ); b := a(1); assert b = ( 1, 2, 3, 4); wait; end process; end architecture;
-- $Id: ram_1swar_1ar_gen_unisim.vhd 314 2010-07-09 17:38:41Z mueller $ -- -- Copyright 2008-2010 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: ram_1swar_1ar_gen - syn -- Description: Dual-Port RAM with with one synchronous write and two -- asynchronius read ports (as distributed RAM). -- Direct instantiation of Xilinx UNISIM primitives -- -- Dependencies: - -- Test bench: - -- Target Devices: generic Spartan, Virtex -- Tool versions: xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25 -- Revision History: -- Date Rev Version Comment -- 2010-06-03 300 1.1 add hack for AW=5 for Spartan's -- 2008-03-08 123 1.0.1 use shorter label names -- 2008-03-02 122 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.ALL; use work.slvtypes.all; entity ram_1swar_1ar_gen is -- RAM, 1 sync w asyn r + 1 asyn r port generic ( AWIDTH : positive := 4; -- address port width DWIDTH : positive := 16); -- data port width port ( CLK : in slbit; -- clock WE : in slbit; -- write enable (port A) ADDRA : in slv(AWIDTH-1 downto 0); -- address port A ADDRB : in slv(AWIDTH-1 downto 0); -- address port B DI : in slv(DWIDTH-1 downto 0); -- data in (port A) DOA : out slv(DWIDTH-1 downto 0); -- data out port A DOB : out slv(DWIDTH-1 downto 0) -- data out port B ); end ram_1swar_1ar_gen; architecture syn of ram_1swar_1ar_gen is begin assert AWIDTH>=4 and AWIDTH<=5 report "assert(AWIDTH>=4 and AWIDTH<=5): only 4..5 bit AWIDTH supported" severity failure; AW_4: if AWIDTH = 4 generate GL: for i in DWIDTH-1 downto 0 generate MEM : RAM16X1D generic map ( INIT => X"0000") port map ( DPO => DOB(i), SPO => DOA(i), A0 => ADDRA(0), A1 => ADDRA(1), A2 => ADDRA(2), A3 => ADDRA(3), D => DI(i), DPRA0 => ADDRB(0), DPRA1 => ADDRB(1), DPRA2 => ADDRB(2), DPRA3 => ADDRB(3), WCLK => CLK, WE => WE ); end generate GL; end generate AW_4; -- Note: Spartan-3 doesn't support RAM32X1D, therefore this kludge.. AW_5: if AWIDTH = 5 generate signal WE0 : slbit := '0'; signal WE1 : slbit := '0'; signal DOA0 : slv(DWIDTH-1 downto 0) := (others=>'0'); signal DOA1 : slv(DWIDTH-1 downto 0) := (others=>'0'); signal DOB0 : slv(DWIDTH-1 downto 0) := (others=>'0'); signal DOB1 : slv(DWIDTH-1 downto 0) := (others=>'0'); begin WE0 <= WE and not ADDRA(4); WE1 <= WE and ADDRA(4); GL: for i in DWIDTH-1 downto 0 generate MEM0 : RAM16X1D generic map ( INIT => X"0000") port map ( DPO => DOB0(i), SPO => DOA0(i), A0 => ADDRA(0), A1 => ADDRA(1), A2 => ADDRA(2), A3 => ADDRA(3), D => DI(i), DPRA0 => ADDRB(0), DPRA1 => ADDRB(1), DPRA2 => ADDRB(2), DPRA3 => ADDRB(3), WCLK => CLK, WE => WE0 ); MEM1 : RAM16X1D generic map ( INIT => X"0000") port map ( DPO => DOB1(i), SPO => DOA1(i), A0 => ADDRA(0), A1 => ADDRA(1), A2 => ADDRA(2), A3 => ADDRA(3), D => DI(i), DPRA0 => ADDRB(0), DPRA1 => ADDRB(1), DPRA2 => ADDRB(2), DPRA3 => ADDRB(3), WCLK => CLK, WE => WE1 ); DOA <= DOA0 when ADDRA(4)='0' else DOA1; DOB <= DOB0 when ADDRB(4)='0' else DOB1; end generate GL; end generate AW_5; -- AW_6: if AWIDTH = 6 generate -- GL: for i in DWIDTH-1 downto 0 generate -- MEM : RAM64X1D -- generic map ( -- INIT => X"0000000000000000") -- port map ( -- DPO => DOB(i), -- SPO => DOA(i), -- A0 => ADDRA(0), -- A1 => ADDRA(1), -- A2 => ADDRA(2), -- A3 => ADDRA(3), -- A4 => ADDRA(4), -- A5 => ADDRA(5), -- D => DI(i), -- DPRA0 => ADDRB(0), -- DPRA1 => ADDRB(1), -- DPRA2 => ADDRB(2), -- DPRA3 => ADDRB(3), -- DPRA4 => ADDRB(4), -- DPRA5 => ADDRB(5), -- WCLK => CLK, -- WE => WE -- ); -- end generate GL; -- end generate AW_6; end syn; -- Note: The VHDL instantiation example in the 8.1i Librariers Guide is wrong. -- The annotation states that DPO is the port A output and SPO is port B -- output. The text before is correct, DPO is port B and SPO is port A.
-- $Id: ram_1swar_1ar_gen_unisim.vhd 314 2010-07-09 17:38:41Z mueller $ -- -- Copyright 2008-2010 by Walter F.J. Mueller <[email protected]> -- -- This program is free software; you may redistribute and/or modify it under -- the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 2, or at your option any later version. -- -- This program is distributed in the hope that it will be useful, but -- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY -- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for complete details. -- ------------------------------------------------------------------------------ -- Module Name: ram_1swar_1ar_gen - syn -- Description: Dual-Port RAM with with one synchronous write and two -- asynchronius read ports (as distributed RAM). -- Direct instantiation of Xilinx UNISIM primitives -- -- Dependencies: - -- Test bench: - -- Target Devices: generic Spartan, Virtex -- Tool versions: xst 8.1, 8.2, 9.1, 9.2; ghdl 0.18-0.25 -- Revision History: -- Date Rev Version Comment -- 2010-06-03 300 1.1 add hack for AW=5 for Spartan's -- 2008-03-08 123 1.0.1 use shorter label names -- 2008-03-02 122 1.0 Initial version ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.ALL; use work.slvtypes.all; entity ram_1swar_1ar_gen is -- RAM, 1 sync w asyn r + 1 asyn r port generic ( AWIDTH : positive := 4; -- address port width DWIDTH : positive := 16); -- data port width port ( CLK : in slbit; -- clock WE : in slbit; -- write enable (port A) ADDRA : in slv(AWIDTH-1 downto 0); -- address port A ADDRB : in slv(AWIDTH-1 downto 0); -- address port B DI : in slv(DWIDTH-1 downto 0); -- data in (port A) DOA : out slv(DWIDTH-1 downto 0); -- data out port A DOB : out slv(DWIDTH-1 downto 0) -- data out port B ); end ram_1swar_1ar_gen; architecture syn of ram_1swar_1ar_gen is begin assert AWIDTH>=4 and AWIDTH<=5 report "assert(AWIDTH>=4 and AWIDTH<=5): only 4..5 bit AWIDTH supported" severity failure; AW_4: if AWIDTH = 4 generate GL: for i in DWIDTH-1 downto 0 generate MEM : RAM16X1D generic map ( INIT => X"0000") port map ( DPO => DOB(i), SPO => DOA(i), A0 => ADDRA(0), A1 => ADDRA(1), A2 => ADDRA(2), A3 => ADDRA(3), D => DI(i), DPRA0 => ADDRB(0), DPRA1 => ADDRB(1), DPRA2 => ADDRB(2), DPRA3 => ADDRB(3), WCLK => CLK, WE => WE ); end generate GL; end generate AW_4; -- Note: Spartan-3 doesn't support RAM32X1D, therefore this kludge.. AW_5: if AWIDTH = 5 generate signal WE0 : slbit := '0'; signal WE1 : slbit := '0'; signal DOA0 : slv(DWIDTH-1 downto 0) := (others=>'0'); signal DOA1 : slv(DWIDTH-1 downto 0) := (others=>'0'); signal DOB0 : slv(DWIDTH-1 downto 0) := (others=>'0'); signal DOB1 : slv(DWIDTH-1 downto 0) := (others=>'0'); begin WE0 <= WE and not ADDRA(4); WE1 <= WE and ADDRA(4); GL: for i in DWIDTH-1 downto 0 generate MEM0 : RAM16X1D generic map ( INIT => X"0000") port map ( DPO => DOB0(i), SPO => DOA0(i), A0 => ADDRA(0), A1 => ADDRA(1), A2 => ADDRA(2), A3 => ADDRA(3), D => DI(i), DPRA0 => ADDRB(0), DPRA1 => ADDRB(1), DPRA2 => ADDRB(2), DPRA3 => ADDRB(3), WCLK => CLK, WE => WE0 ); MEM1 : RAM16X1D generic map ( INIT => X"0000") port map ( DPO => DOB1(i), SPO => DOA1(i), A0 => ADDRA(0), A1 => ADDRA(1), A2 => ADDRA(2), A3 => ADDRA(3), D => DI(i), DPRA0 => ADDRB(0), DPRA1 => ADDRB(1), DPRA2 => ADDRB(2), DPRA3 => ADDRB(3), WCLK => CLK, WE => WE1 ); DOA <= DOA0 when ADDRA(4)='0' else DOA1; DOB <= DOB0 when ADDRB(4)='0' else DOB1; end generate GL; end generate AW_5; -- AW_6: if AWIDTH = 6 generate -- GL: for i in DWIDTH-1 downto 0 generate -- MEM : RAM64X1D -- generic map ( -- INIT => X"0000000000000000") -- port map ( -- DPO => DOB(i), -- SPO => DOA(i), -- A0 => ADDRA(0), -- A1 => ADDRA(1), -- A2 => ADDRA(2), -- A3 => ADDRA(3), -- A4 => ADDRA(4), -- A5 => ADDRA(5), -- D => DI(i), -- DPRA0 => ADDRB(0), -- DPRA1 => ADDRB(1), -- DPRA2 => ADDRB(2), -- DPRA3 => ADDRB(3), -- DPRA4 => ADDRB(4), -- DPRA5 => ADDRB(5), -- WCLK => CLK, -- WE => WE -- ); -- end generate GL; -- end generate AW_6; end syn; -- Note: The VHDL instantiation example in the 8.1i Librariers Guide is wrong. -- The annotation states that DPO is the port A output and SPO is port B -- output. The text before is correct, DPO is port B and SPO is port A.
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ahbtrace -- File: ahbtrace.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: AHB trace unit ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.misc.all; entity ahbtrace is generic ( hindex : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#E00#; tech : integer := DEFMEMTECH; irq : integer := 0; kbytes : integer := 1; ahbfilt : integer := 0); port ( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); end; architecture rtl of ahbtrace is begin ahbt0 : ahbtrace_mb generic map ( hindex => hindex, ioaddr => ioaddr, iomask => iomask, tech => tech, irq => irq, kbytes => kbytes, ahbfilt => ahbfilt) port map( rst => rst, clk => clk, ahbsi => ahbsi, ahbso => ahbso, tahbmi => ahbmi, tahbsi => ahbsi); end;
entity ent is end entity; architecture a of ent is type boolean_vec_t is array (integer range <>) of boolean; function resolved(vec : boolean_vec_t) return boolean is begin return true; end function; subtype resolved_boolean_t is resolved boolean; signal sig : resolved_boolean_t; begin main : process begin if sig then end if; wait until sig; end process; end architecture;
entity ent is end entity; architecture a of ent is type boolean_vec_t is array (integer range <>) of boolean; function resolved(vec : boolean_vec_t) return boolean is begin return true; end function; subtype resolved_boolean_t is resolved boolean; signal sig : resolved_boolean_t; begin main : process begin if sig then end if; wait until sig; end process; end architecture;
entity ent is end entity; architecture a of ent is type boolean_vec_t is array (integer range <>) of boolean; function resolved(vec : boolean_vec_t) return boolean is begin return true; end function; subtype resolved_boolean_t is resolved boolean; signal sig : resolved_boolean_t; begin main : process begin if sig then end if; wait until sig; end process; end architecture;
-- Altera Microperipheral Reference Design Version 0802 --********************************************************************************************** -- -- System: A8251 -- Component: Testbench top level -- -- File: tb_a8251_top.vhd -- --Copyright © 2002 Altera Corporation. All rights reserved. Altera products are --protected under numerous U.S. and foreign patents, maskwork rights, copyrights and --other intellectual property laws. --This reference design file, and your use thereof, is subject to and governed by --the terms and conditions of the applicable Altera Reference Design License Agreement. --By using this reference design file, you indicate your acceptance of such terms and --conditions between you and Altera Corporation. In the event that you do not agree with --such terms and conditions, you may not use the reference design file. Please promptly --destroy any copies you have made. --This reference design file being provided on an "as-is" basis and as an accommodation --and therefore all warranties, representations or guarantees of any kind --(whether express, implied or statutory) including, without limitation, warranties of --merchantability, non-infringement, or fitness for a particular purpose, are --specifically disclaimed. By making this reference design file available, Altera --expressly does not recommend, suggest or require that this reference design file be --used in combination with any other product not provided by Altera. --********************************************************************************************** LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY a8251top IS END a8251top; ARCHITECTURE struct OF a8251top IS ------------------------------------------------------------------------------- -- SIGNAL declarations ------------------------------------------------------------------------------- SIGNAL clk : std_logic; SIGNAL reset : std_logic; SIGNAL txd_to_rxd : std_logic; SIGNAL rxd_to_txd : std_logic; SIGNAL nDTR_to_nDSR : std_logic; SIGNAL nDSR_to_nDTR : std_logic; SIGNAL tx_nRTS_to_nCTS : std_logic; SIGNAL tx_nWR : std_logic; SIGNAL tx_nRD : std_logic; SIGNAL tx_nCS : std_logic; SIGNAL tx_CnD : std_logic; SIGNAL tx_ExtSyncD : std_logic; SIGNAL tx_nTxC : std_logic; SIGNAL tx_nRxC : std_logic; SIGNAL tx_din : std_logic_vector(7 DOWNTO 0); SIGNAL tx_txrdy : std_logic; SIGNAL tx_txempty : std_logic; SIGNAL tx_rxrdy : std_logic; SIGNAL tx_syn_brk : std_logic; SIGNAL tx_nEN : std_logic; SIGNAL tx_dout : std_logic_vector(7 DOWNTO 0); SIGNAL rx_nRTS_to_nCTS : std_logic; SIGNAL rx_nWR : std_logic; SIGNAL rx_nRD : std_logic; SIGNAL rx_nCS : std_logic; SIGNAL rx_CnD : std_logic; SIGNAL rx_ExtSyncD : std_logic; SIGNAL rx_nTxC : std_logic; SIGNAL rx_nRxC : std_logic; SIGNAL rx_din : std_logic_vector(7 DOWNTO 0); SIGNAL rx_txrdy : std_logic; SIGNAL rx_txempty : std_logic; SIGNAL rx_rxrdy : std_logic; SIGNAL rx_syn_brk : std_logic; SIGNAL rx_nEN : std_logic; SIGNAL rx_dout : std_logic_vector(7 DOWNTO 0); ------------------------------------------------------------------------------- -- COMPONENT declarations ------------------------------------------------------------------------------- COMPONENT a8251tb PORT ( -- Common ports clk : OUT std_logic; reset : OUT std_logic; txd_to_rxd : IN std_logic; rxd_to_txd : IN std_logic; nDTR_to_nDSR : IN std_logic; nDSR_to_nDTR : IN std_logic; -- Ports for the Tx USART tx_txrdy : IN std_logic; tx_txempty : IN std_logic; tx_rxrdy : IN std_logic; tx_syn_brk : IN std_logic; tx_nEN : IN std_logic; tx_dout : IN std_logic_vector (7 DOWNTO 0); tx_nWR : OUT std_logic; tx_nRD : OUT std_logic; tx_nCS : OUT std_logic; tx_CnD : OUT std_logic; tx_nRTS_to_nCTS : IN std_logic; tx_ExtSyncD : OUT std_logic; tx_nTxC : OUT std_logic; tx_nRxC : OUT std_logic; tx_din : OUT std_logic_vector (7 DOWNTO 0); -- Ports for the Rx USART rx_txrdy : IN std_logic; rx_txempty : IN std_logic; rx_rxrdy : IN std_logic; rx_syn_brk : IN std_logic; rx_nEN : IN std_logic; rx_dout : IN std_logic_vector (7 DOWNTO 0); rx_nWR : OUT std_logic; rx_nRD : OUT std_logic; rx_nCS : OUT std_logic; rx_CnD : OUT std_logic; rx_nRTS_to_nCTS : IN std_logic; rx_ExtSyncD : OUT std_logic; rx_nTxC : OUT std_logic; rx_nRxC : OUT std_logic; rx_din : OUT std_logic_vector (7 DOWNTO 0) ); END COMPONENT; COMPONENT a8251 PORT ( clk : IN std_logic; reset : IN std_logic; nWR : IN std_logic; nRD : IN std_logic; nCS : IN std_logic; CnD : IN std_logic; nDSR : IN std_logic; nCTS : IN std_logic; ExtSyncD : IN std_logic; nTxC : IN std_logic; nRxC : IN std_logic; rxd : IN std_logic; din : IN std_logic_vector(7 DOWNTO 0); txd : OUT std_logic; txrdy : OUT std_logic; txempty : OUT std_logic; rxrdy : OUT std_logic; nDTR : OUT std_logic; nRTS : OUT std_logic; syn_brk : OUT std_logic; nEN : OUT std_logic; dout : OUT std_logic_vector(7 DOWNTO 0) ); END COMPONENT; BEGIN ------------------------------------------------------------------------------- -- COMPONENT instantiations ------------------------------------------------------------------------------- i_a8251tb : a8251tb PORT MAP ( clk => clk, reset => reset, txd_to_rxd => txd_to_rxd, rxd_to_txd => rxd_to_txd, nDTR_to_nDSR => nDTR_to_nDSR, nDSR_to_nDTR => nDSR_to_nDTR, tx_txrdy => tx_txrdy, tx_txempty => tx_txempty, tx_rxrdy => tx_rxrdy, tx_syn_brk => tx_syn_brk, tx_nEN => tx_nEN, tx_dout => tx_dout, tx_nWR => tx_nWR, tx_nRD => tx_nRD, tx_nCS => tx_nCS, tx_CnD => tx_CnD, tx_nRTS_to_nCTS => tx_nRTS_to_nCTS, tx_ExtSyncD => tx_ExtSyncD, tx_nTxC => tx_nTxC, tx_nRxC => tx_nRxC, tx_din => tx_din, rx_txrdy => rx_txrdy, rx_txempty => rx_txempty, rx_rxrdy => rx_rxrdy, rx_syn_brk => rx_syn_brk, rx_nEN => rx_nEN, rx_dout => rx_dout, rx_nWR => rx_nWR, rx_nRD => rx_nRD, rx_nCS => rx_nCS, rx_CnD => rx_CnD, rx_nRTS_to_nCTS => rx_nRTS_to_nCTS, rx_ExtSyncD => rx_ExtSyncD, rx_nTxC => rx_nTxC, rx_nRxC => rx_nRxC, rx_din => rx_din ); i_tx_a8251 : a8251 PORT MAP ( clk => clk, reset => reset, nWR => tx_nWR, nRD => tx_nRD, nCS => tx_nCS, CnD => tx_CnD, nDSR => nDSR_to_nDTR, nCTS => tx_nRTS_to_nCTS, ExtSyncD => tx_ExtSyncD, nTxC => tx_nTxC, nRxC => tx_nRxC, rxd => rxd_to_txd, din => tx_din, txd => txd_to_rxd, txrdy => tx_txrdy, txempty => tx_txempty, rxrdy => tx_rxrdy, nDTR => nDTR_to_nDSR, nRTS => tx_nRTS_to_nCTS, syn_brk => tx_syn_brk, nEN => tx_nEN, dout => tx_dout ); i_rx_a8251 : a8251 PORT MAP ( clk => clk, reset => reset, nWR => rx_nWR, nRD => rx_nRD, nCS => rx_nCS, CnD => rx_CnD, nDSR => nDTR_to_nDSR, nCTS => rx_nRTS_to_nCTS, ExtSyncD => rx_ExtSyncD, nTxC => rx_nTxC, nRxC => rx_nRxC, rxd => txd_to_rxd, din => rx_din, txd => rxd_to_txd, txrdy => rx_txrdy, txempty => rx_txempty, rxrdy => rx_rxrdy, nDTR => nDSR_to_nDTR, nRTS => rx_nRTS_to_nCTS, syn_brk => rx_syn_brk, nEN => rx_nEN, dout => rx_dout ); END struct;
------------------------------------------------------------------------------- --! @file openfilter-rtl-ea.vhd -- --! @brief OpenFILTER -- --! @details This is the openFILTER used for blocking failures on the RMII lines. --! Note: RxDv and RxDat have to be synchron to iClk --! The following Conditions are checked: --! * RxDV >163.64µsec HIGH -> invalid --! * RxDV <0.64µsec LOW -> invalid --! * RxDV 4x <5.12µsec HIGH -> invalid --! * RxDV >5.12µsec HIGH -> valid --! * iRxError HIGH -> invalid --! If invalid deactivation of port, until RxDv and iRxError > 10.24µsec low ------------------------------------------------------------------------------- -- -- (c) B&R, 2014 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --! Common library library libcommon; --! Use common library global package use libcommon.global.all; --! Work library library work; --! use openmac package use work.openmacPkg.all; entity openfilter is port ( --! Reset iRst : in std_logic; --! RMII Clock iClk : in std_logic; --! RMII receive path in iRx : in tRmiiPath; --! RMII receive path out oRx : out tRmiiPath; --! RMII transmit path in iTx : in tRmiiPath; --! RMII transmit path out oTx : out tRmiiPath; --! RMII receive error iRxError : in std_logic ); end entity openfilter; architecture rtl of openfilter is --! Filter FSM type type tFiltState is ( fs_init, fs_GAP2short, fs_GAPext, fs_GAPok, fs_FRMnopre, fs_FRMpre2short, fs_FRMpreOk, fs_FRM2short, fs_FRMok, fs_FRM2long, fs_BlockAll ); signal FiltState : tFiltState; signal RxDel : tRmiiPathArray(3 downto 0); signal FrameShift : std_logic; signal LastFrameNOK : std_logic; signal StCnt : std_logic_vector(13 downto 0); signal BlockRxPort : std_logic; begin --------------------------------------------------------------------------- -- INPUT --------------------------------------------------------------------------- RxDel(0) <= iRx; BlockRxPort <= cActivated when (FiltState = fs_FRMnopre or FiltState = fs_BlockAll or LastFrameNOK = cActivated) else cInactivated; --------------------------------------------------------------------------- -- OUTPUT MUX --------------------------------------------------------------------------- oRx <= cRmiiPathInit when BlockRxPort = cActivated else RxDel(3) when FrameShift = cActivated else RxDel(1); oTx <= iTx; doFsm : process(iRst, iClk) variable RstStCnt : std_logic; begin if iRst = cActivated then StCnt <= (others => cInactivated); FiltState <= fs_init; FrameShift <= cInactivated; RxDel(3 downto 1) <= (others => cRmiiPathInit); LastFrameNOK <= cInactivated; elsif rising_edge(iClk) then RxDel(3 downto 1) <= RxDel(2 downto 0); -- DEFAULT -- RstStCnt := cInactivated; case FiltState is --------------------------------------------------------------- -- INIT --------------------------------------------------------------- when fs_init => FiltState <= fs_GAP2short; RstStCnt := cActivated; --------------------------------------------------------------- -- GAP 2 SHORT --------------------------------------------------------------- when fs_GAP2short => FrameShift <= cInactivated; if StCnt(4) = cActivated then -- 360ns FiltState <= fs_GAPext; end if; if RxDel(0).enable = cActivated then -- Gap < 360 ns -> too short -> Block Filter FiltState <= fs_BlockAll; RstStCnt := cActivated; end if; --------------------------------------------------------------- -- GAP EXTend --------------------------------------------------------------- when fs_GAPext => if StCnt(5 downto 0) = "101110" then FiltState <= fs_GAPok; end if; if RxDel(0).enable = cActivated then -- GAP [360ns .. 960ns] -> short, but ok -> Start Frame RstStCnt := cActivated; FrameShift <= cActivated; if RxDel(0).data = "01" then -- GAP > 960ns -> OK -> Start Frame (preamble already beginning) FiltState <= fs_FRMpre2short; else -- GAP > 960ns -> OK -> Start Frame and wait of preamble FiltState <= fs_FRMnopre; end if; end if; --------------------------------------------------------------- -- GAP OK --------------------------------------------------------------- when fs_GAPok => if RxDel(0).enable = cActivated then RstStCnt := cActivated; if RxDel(0).data = "01" then -- GAP > 960ns -> OK -> Start Frame (preamble already beginning) FiltState <= fs_FRMpre2short; else -- GAP > 960ns -> OK -> Start Frame and wait of preamble FiltState <= fs_FRMnopre; end if; end if; --------------------------------------------------------------- -- FRAME, BUT STILL NO PREAMBLE --------------------------------------------------------------- when fs_FRMnopre => if (StCnt(5) = cActivated or RxDel(0).data = "11" or RxDel(0).data = "10" or (RxDel(0).enable = cInactivated and RxDel(1).enable = cInactivated)) then -- no preamble for >=660 ns or preamble wrong -> Block Filter FiltState <= fs_BlockAll; RstStCnt := cActivated; elsif RxDel(0).data = "01" then -- preamble starts -> Check Preamble FiltState <= fs_FRMpre2short; RstStCnt := cActivated; end if; --------------------------------------------------------------- -- FRAME CHECK PREAMBLE TOO SHORT --------------------------------------------------------------- when fs_FRMpre2short => if (RxDel(0).data /= "01" or (RxDel(0).enable = cInactivated and RxDel(1).enable = cInactivated)) then -- preamble wrong -> Block Filter FiltState <= fs_BlockAll; RstStCnt := cActivated; elsif StCnt(3) = cActivated then -- preamble ok for 180 ns -> Preamble OK FiltState <= fs_FRMpreOk; end if; --------------------------------------------------------------- -- FRAME CHECK PREAMBLE OK --------------------------------------------------------------- when fs_FRMpreOk => if RxDel(0).data /= "01" then -- preamble done -> Start Frame FiltState <= fs_FRMok; end if; if ((StCnt(5) = cActivated and StCnt(2) = cActivated) or (RxDel(0).enable = cInactivated and RxDel(1).enable = cInactivated)) then -- preamble to long for 740 ns -> Block Filter FiltState <= fs_BlockAll; RstStCnt := cActivated; end if; -- preamble is OK LastFrameNOK <= cInactivated; --------------------------------------------------------------- -- FRAME OK --------------------------------------------------------------- when fs_FRMok => if StCnt(13) = cActivated then -- FRAME > 163,842 us -> too long -> Block Filter FiltState <= fs_BlockAll; RstStCnt := cActivated; end if; if RxDel(0).enable = cInactivated and RxDel(1).enable = cInactivated then -- FRAME [163,842 us] -> OK -> Start GAP FiltState <= fs_GAP2short; RstStCnt := cActivated; end if; --------------------------------------------------------------- -- BLOCK FILTER --------------------------------------------------------------- when fs_BlockAll => if StCnt(2) = cActivated then -- Block for 100 nsec FiltState <= fs_GAP2short; RstStCnt := cActivated; end if; if RxDel(0).enable = cActivated then -- Rxdv != cInactivated -> Reset Wait Period RstStCnt := cActivated; end if; -- block next rx frame (until receive a valid preamble) LastFrameNOK <= cActivated; when others => FiltState <= fs_init; end case; if iRxError = cActivated then -- iRxError -> Block Filter FiltState <= fs_BlockAll; RstStCnt := cActivated; end if; -- State Counter -- StCnt <= std_logic_vector(unsigned(StCnt) + 1); if RstStCnt = cActivated then StCnt <= (others => cInactivated); end if; end if; end process; end rtl;
-- DDR controller constant CFG_DDR2SP : integer := CONFIG_DDR2SP; constant CFG_DDR2SP_INIT : integer := CONFIG_DDR2SP_INIT; constant CFG_DDR2SP_FREQ : integer := CONFIG_DDR2SP_FREQ; constant CFG_DDR2SP_TRFC : integer := CONFIG_DDR2SP_TRFC; constant CFG_DDR2SP_DATAWIDTH : integer := CONFIG_DDR2SP_DATAWIDTH; constant CFG_DDR2SP_FTEN : integer := CONFIG_DDR2SP_FTEN; constant CFG_DDR2SP_FTWIDTH : integer := CONFIG_DDR2SP_FTWIDTH; constant CFG_DDR2SP_COL : integer := CONFIG_DDR2SP_COL; constant CFG_DDR2SP_SIZE : integer := CONFIG_DDR2SP_MBYTE; constant CFG_DDR2SP_DELAY0 : integer := CONFIG_DDR2SP_DELAY0; constant CFG_DDR2SP_DELAY1 : integer := CONFIG_DDR2SP_DELAY1; constant CFG_DDR2SP_DELAY2 : integer := CONFIG_DDR2SP_DELAY2; constant CFG_DDR2SP_DELAY3 : integer := CONFIG_DDR2SP_DELAY3; constant CFG_DDR2SP_DELAY4 : integer := CONFIG_DDR2SP_DELAY4; constant CFG_DDR2SP_DELAY5 : integer := CONFIG_DDR2SP_DELAY5; constant CFG_DDR2SP_DELAY6 : integer := CONFIG_DDR2SP_DELAY6; constant CFG_DDR2SP_DELAY7 : integer := CONFIG_DDR2SP_DELAY7; constant CFG_DDR2SP_NOSYNC : integer := CONFIG_DDR2SP_NOSYNC;
-- DDR controller constant CFG_DDR2SP : integer := CONFIG_DDR2SP; constant CFG_DDR2SP_INIT : integer := CONFIG_DDR2SP_INIT; constant CFG_DDR2SP_FREQ : integer := CONFIG_DDR2SP_FREQ; constant CFG_DDR2SP_TRFC : integer := CONFIG_DDR2SP_TRFC; constant CFG_DDR2SP_DATAWIDTH : integer := CONFIG_DDR2SP_DATAWIDTH; constant CFG_DDR2SP_FTEN : integer := CONFIG_DDR2SP_FTEN; constant CFG_DDR2SP_FTWIDTH : integer := CONFIG_DDR2SP_FTWIDTH; constant CFG_DDR2SP_COL : integer := CONFIG_DDR2SP_COL; constant CFG_DDR2SP_SIZE : integer := CONFIG_DDR2SP_MBYTE; constant CFG_DDR2SP_DELAY0 : integer := CONFIG_DDR2SP_DELAY0; constant CFG_DDR2SP_DELAY1 : integer := CONFIG_DDR2SP_DELAY1; constant CFG_DDR2SP_DELAY2 : integer := CONFIG_DDR2SP_DELAY2; constant CFG_DDR2SP_DELAY3 : integer := CONFIG_DDR2SP_DELAY3; constant CFG_DDR2SP_DELAY4 : integer := CONFIG_DDR2SP_DELAY4; constant CFG_DDR2SP_DELAY5 : integer := CONFIG_DDR2SP_DELAY5; constant CFG_DDR2SP_DELAY6 : integer := CONFIG_DDR2SP_DELAY6; constant CFG_DDR2SP_DELAY7 : integer := CONFIG_DDR2SP_DELAY7; constant CFG_DDR2SP_NOSYNC : integer := CONFIG_DDR2SP_NOSYNC;
-- DDR controller constant CFG_DDR2SP : integer := CONFIG_DDR2SP; constant CFG_DDR2SP_INIT : integer := CONFIG_DDR2SP_INIT; constant CFG_DDR2SP_FREQ : integer := CONFIG_DDR2SP_FREQ; constant CFG_DDR2SP_TRFC : integer := CONFIG_DDR2SP_TRFC; constant CFG_DDR2SP_DATAWIDTH : integer := CONFIG_DDR2SP_DATAWIDTH; constant CFG_DDR2SP_FTEN : integer := CONFIG_DDR2SP_FTEN; constant CFG_DDR2SP_FTWIDTH : integer := CONFIG_DDR2SP_FTWIDTH; constant CFG_DDR2SP_COL : integer := CONFIG_DDR2SP_COL; constant CFG_DDR2SP_SIZE : integer := CONFIG_DDR2SP_MBYTE; constant CFG_DDR2SP_DELAY0 : integer := CONFIG_DDR2SP_DELAY0; constant CFG_DDR2SP_DELAY1 : integer := CONFIG_DDR2SP_DELAY1; constant CFG_DDR2SP_DELAY2 : integer := CONFIG_DDR2SP_DELAY2; constant CFG_DDR2SP_DELAY3 : integer := CONFIG_DDR2SP_DELAY3; constant CFG_DDR2SP_DELAY4 : integer := CONFIG_DDR2SP_DELAY4; constant CFG_DDR2SP_DELAY5 : integer := CONFIG_DDR2SP_DELAY5; constant CFG_DDR2SP_DELAY6 : integer := CONFIG_DDR2SP_DELAY6; constant CFG_DDR2SP_DELAY7 : integer := CONFIG_DDR2SP_DELAY7; constant CFG_DDR2SP_NOSYNC : integer := CONFIG_DDR2SP_NOSYNC;
-- DDR controller constant CFG_DDR2SP : integer := CONFIG_DDR2SP; constant CFG_DDR2SP_INIT : integer := CONFIG_DDR2SP_INIT; constant CFG_DDR2SP_FREQ : integer := CONFIG_DDR2SP_FREQ; constant CFG_DDR2SP_TRFC : integer := CONFIG_DDR2SP_TRFC; constant CFG_DDR2SP_DATAWIDTH : integer := CONFIG_DDR2SP_DATAWIDTH; constant CFG_DDR2SP_FTEN : integer := CONFIG_DDR2SP_FTEN; constant CFG_DDR2SP_FTWIDTH : integer := CONFIG_DDR2SP_FTWIDTH; constant CFG_DDR2SP_COL : integer := CONFIG_DDR2SP_COL; constant CFG_DDR2SP_SIZE : integer := CONFIG_DDR2SP_MBYTE; constant CFG_DDR2SP_DELAY0 : integer := CONFIG_DDR2SP_DELAY0; constant CFG_DDR2SP_DELAY1 : integer := CONFIG_DDR2SP_DELAY1; constant CFG_DDR2SP_DELAY2 : integer := CONFIG_DDR2SP_DELAY2; constant CFG_DDR2SP_DELAY3 : integer := CONFIG_DDR2SP_DELAY3; constant CFG_DDR2SP_DELAY4 : integer := CONFIG_DDR2SP_DELAY4; constant CFG_DDR2SP_DELAY5 : integer := CONFIG_DDR2SP_DELAY5; constant CFG_DDR2SP_DELAY6 : integer := CONFIG_DDR2SP_DELAY6; constant CFG_DDR2SP_DELAY7 : integer := CONFIG_DDR2SP_DELAY7; constant CFG_DDR2SP_NOSYNC : integer := CONFIG_DDR2SP_NOSYNC;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity mixer_wa is port ( terminal inputs : electrical_vector(1 to 8); terminal output : electrical ); end entity mixer_wa; ---------------------------------------------------------------- architecture weighted of mixer_wa is quantity v_in across inputs; quantity v_out across i_out through output; quantity v1, v2, v3, v4, v5, v6, v7, v8 : real; constant gains : real_vector(1 to 8) := ( 0.01, 0.04, 0.15, 0.30, 0.03, 0.15, 0.04, 0.01 ); begin v1 == v_in(1) * gains(1); v2 == v_in(2) * gains(2); v3 == v_in(3) * gains(3); v4 == v_in(4) * gains(4); v5 == v_in(5) * gains(5); v6 == v_in(6) * gains(6); v7 == v_in(7) * gains(7); v8 == v_in(8) * gains(8); v_out == v1 + v2 + v3 + v4 + v5 + v6 + v7 + v8; end architecture weighted;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity mixer_wa is port ( terminal inputs : electrical_vector(1 to 8); terminal output : electrical ); end entity mixer_wa; ---------------------------------------------------------------- architecture weighted of mixer_wa is quantity v_in across inputs; quantity v_out across i_out through output; quantity v1, v2, v3, v4, v5, v6, v7, v8 : real; constant gains : real_vector(1 to 8) := ( 0.01, 0.04, 0.15, 0.30, 0.03, 0.15, 0.04, 0.01 ); begin v1 == v_in(1) * gains(1); v2 == v_in(2) * gains(2); v3 == v_in(3) * gains(3); v4 == v_in(4) * gains(4); v5 == v_in(5) * gains(5); v6 == v_in(6) * gains(6); v7 == v_in(7) * gains(7); v8 == v_in(8) * gains(8); v_out == v1 + v2 + v3 + v4 + v5 + v6 + v7 + v8; end architecture weighted;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity mixer_wa is port ( terminal inputs : electrical_vector(1 to 8); terminal output : electrical ); end entity mixer_wa; ---------------------------------------------------------------- architecture weighted of mixer_wa is quantity v_in across inputs; quantity v_out across i_out through output; quantity v1, v2, v3, v4, v5, v6, v7, v8 : real; constant gains : real_vector(1 to 8) := ( 0.01, 0.04, 0.15, 0.30, 0.03, 0.15, 0.04, 0.01 ); begin v1 == v_in(1) * gains(1); v2 == v_in(2) * gains(2); v3 == v_in(3) * gains(3); v4 == v_in(4) * gains(4); v5 == v_in(5) * gains(5); v6 == v_in(6) * gains(6); v7 == v_in(7) * gains(7); v8 == v_in(8) * gains(8); v_out == v1 + v2 + v3 + v4 + v5 + v6 + v7 + v8; end architecture weighted;
entity bounds is end entity; architecture test of bounds is type foo is range 1 to 5; type my_vec1 is array (positive range <>) of integer; type my_vec2 is array (foo range <>) of integer; signal s : my_vec1(1 to 10); signal n : my_vec1(1 downto 10); subtype bool_true is boolean range true to true; function fun(x : in bit_vector(7 downto 0)) return bit; procedure proc(x : in bit_vector(7 downto 0)); function natfunc(x : in natural) return boolean; function enumfunc(x : in bool_true) return boolean; function realfunc(x : in real) return boolean; type matrix is array (integer range <>, integer range <>) of integer; procedure proc2(x : in matrix(1 to 3, 1 to 3)); begin process is variable a : my_vec1(0 to 10); -- Error variable b : my_vec2(1 to 60); -- Error begin end process; s(-52) <= 5; -- Error s(1 to 11) <= (others => 0); -- Error s(0 to 2) <= (others => 0); -- Error process is begin report (0 => 'a'); -- Error end process; process is variable v1 : bit_vector(3 downto 0); variable v2 : bit_vector(8 downto 1); variable m1 : matrix(1 to 3, 2 to 4); variable m2 : matrix(1 to 3, 1 to 4); begin assert fun(v1) = '1'; -- Error proc(v1); -- Error proc(v2); -- OK proc2(m1); -- OK proc2(m2); -- Error end process; s <= s(1 to 9); -- Error n <= s(1 to 2); -- Error n <= (1, 2, 3); -- Error process is variable v : my_vec1(1 to 3); begin v := s; -- Error end process; process is variable x : integer; begin x := s(11); -- Error! x := s(-1); -- Error! end process; process is variable a : my_vec1(1 to 3); begin a := (1, 2, 3); -- OK a := (5 => 1, 1 => 2, 0 => 3); -- Error end process; process is subtype alpha is character range 'a' to 'z'; variable a : alpha; variable p : positive; begin a := 'c'; -- OK a := '1'; -- Error p := 0; -- Error end process; process is begin assert s'length(5) = 5; -- Error end process; process is begin assert natfunc(-1); -- Error end process; process is subtype str is string; constant c : str := "hello"; -- OK begin end process; process is variable a : my_vec1(1 to 3); begin a := (1, others => 2); -- OK a := (5 => 1, others => 2); -- Error end process; process is type mat2d is array (integer range <>, integer range <>) of integer; procedure p(m : in mat2d); begin p(((0, 1, 2, 3), (1 to 2 => 5))); -- Error end process; -- Reduced from Billowitch tc1374 process is type t_rec3 is record f1 : boolean; end record; subtype st_rec3 is t_rec3 ; type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ; subtype st_arr3 is t_arr3 (1 to 5, true downto false) ; variable v_st_arr3 : st_arr3; begin v_st_arr3(1, true) := (f1 => false); end process; process is variable i : integer; attribute a : bit_vector; attribute a of i : variable is "101"; begin assert i'a(14) = '0'; -- Error end process; process is constant FPO_LOG_MAX_ITERATIONS : integer := 9; type T_FPO_LOG_ALPHA is array (0 to FPO_LOG_MAX_ITERATIONS-1) of integer; variable alpha : T_FPO_LOG_ALPHA; begin if alpha(0 to 5) = (5, 4, 6, 6, 6, 6) then -- OK null; end if; end process; end architecture;
-------------------------------------------------------------------------------- -- PROJECT: PIPE MANIA - GAME FOR FPGA -------------------------------------------------------------------------------- -- NAME: RISING_EDGE_DETECTOR -- AUTHORS: Tomáš Bannert <[email protected]> -- LICENSE: The MIT License, please read LICENSE file -- WEBSITE: https://github.com/jakubcabal/pipemania-fpga-game -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity RISING_EDGE_DETECTOR is Port ( CLK : in std_logic; -- HODINOVY SIGNAL VSTUP : in std_logic; -- VSTUP VYSTUP : out std_logic -- VYSTUP ); end RISING_EDGE_DETECTOR; architecture Behavioral of RISING_EDGE_DETECTOR is signal a : std_logic; -- POMOCNY SIGNAL signal b : std_logic; -- POMOCNY SIGNAL signal c : std_logic; -- POMOCNY SIGNAL begin process (CLK) -- 2 D FLIP-FLOPS begin if(rising_edge(CLK)) then a <= VSTUP; VYSTUP <= b; end if; end process; c <= VSTUP XOR a; -- XOR GATE b <= VSTUP AND c; -- AND GATE end Behavioral;
library verilog; use verilog.vl_types.all; entity microc is port( clk : in vl_logic; reset : in vl_logic; s_inc : in vl_logic; s_inm : in vl_logic; we3 : in vl_logic; s_es : in vl_logic; s_rel : in vl_logic; swe : in vl_logic; s_ret : in vl_logic; op : in vl_logic_vector(2 downto 0); data_in : in vl_logic_vector(7 downto 0); z : out vl_logic; opcode : out vl_logic_vector(5 downto 0); data_mem : out vl_logic_vector(7 downto 0); data_reg : out vl_logic_vector(7 downto 0); id_in : out vl_logic_vector(1 downto 0); id_out : out vl_logic_vector(1 downto 0) ); end microc;
package repro3_sortnet_tb is generic ( DATA_BITS : positive ); subtype T_DATA is bit_vector(DATA_BITS - 1 downto 0); type T_DATA_VECTOR is array(natural range <>) of T_DATA; procedure dec (v : inout natural); end repro3_sortnet_tb; package body repro3_sortnet_tb is procedure dec (v : inout natural) is begin v := v - 1; end dec; end repro3_sortnet_tb; entity repro3 is end repro3; architecture behav of repro3 is package tb is new work.repro3_sortnet_tb generic map (3); begin end behav;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:22:23 01/22/2014 -- Design Name: -- Module Name: Multiply16Booth4 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Multiply16Booth4 is PORT ( a: IN STD_LOGIC_VECTOR(15 downto 0); b: IN STD_LOGIC_VECTOR(15 downto 0); o: OUT STD_LOGIC_VECTOR(31 downto 0)); end Multiply16Booth4; architecture Behavioral of Multiply16Booth4 is COMPONENT BoothPartProdGen is PORT ( bin3: in STD_LOGIC_VECTOR(2 downto 0); a: in STD_LOGIC_VECTOR(15 downto 0); product: out STD_LOGIC_VECTOR(16 downto 0) ); end COMPONENT; COMPONENT BoothPartProdRed is PORT( prod0: in STD_LOGIC_VECTOR(19 downto 0); prod1: in STD_LOGIC_VECTOR(20 downto 2); prod2: in STD_LOGIC_VECTOR(22 downto 4); prod3: in STD_LOGIC_VECTOR(24 downto 6); prod4: in STD_LOGIC_VECTOR(26 downto 8); prod5: in STD_LOGIC_VECTOR(28 downto 10); prod6: in STD_LOGIC_VECTOR(30 downto 12); prod7: in STD_LOGIC_VECTOR(31 downto 14); result: out STD_LOGIC_VECTOR(31 downto 0)); end COMPONENT; SIGNAL aTmp: STD_LOGIC_VECTOR(16 downto 0); SIGNAL oTmp: STD_LOGIC_VECTOR(31 downto 0); SIGNAL prod0: STD_LOGIC_VECTOR(19 downto 0); SIGNAL prod1: STD_LOGIC_VECTOR(18 downto 0); SIGNAL prod2: STD_LOGIC_VECTOR(18 downto 0); SIGNAL prod3: STD_LOGIC_VECTOR(18 downto 0); SIGNAL prod4: STD_LOGIC_VECTOR(18 downto 0); SIGNAL prod5: STD_LOGIC_VECTOR(18 downto 0); SIGNAL prod6: STD_LOGIC_VECTOR(18 downto 0); SIGNAL prod7: STD_LOGIC_VECTOR(17 downto 0); begin aTmp <= a & '0'; prod0(19 downto 17) <= (not prod0(16)) & prod0(16) & prod0(16); prod1(18 downto 17) <= '1' & (not prod1(16)); prod2(18 downto 17) <= '1' & (not prod2(16)); prod3(18 downto 17) <= '1' & (not prod3(16)); prod4(18 downto 17) <= '1' & (not prod4(16)); prod5(18 downto 17) <= '1' & (not prod5(16)); prod6(18 downto 17) <= '1' & (not prod6(16)); prod7(17) <= not prod7(16); prodgen0: BoothPartProdGen PORT MAP ( bin3 => aTmp(2 downto 0), a => b, product => prod0(16 downto 0) ); prodgen1: BoothPartProdGen PORT MAP ( bin3 => aTmp(4 downto 2), a => b, product => prod1(16 downto 0) ); prodgen2: BoothPartProdGen PORT MAP ( bin3 => aTmp(6 downto 4), a => b, product => prod2(16 downto 0) ); prodgen3: BoothPartProdGen PORT MAP ( bin3 => aTmp(8 downto 6), a => b, product => prod3(16 downto 0) ); prodgen4: BoothPartProdGen PORT MAP ( bin3 => aTmp(10 downto 8), a => b, product => prod4(16 downto 0) ); prodgen5: BoothPartProdGen PORT MAP ( bin3 => aTmp(12 downto 10), a => b, product => prod5(16 downto 0) ); prodgen6: BoothPartProdGen PORT MAP ( bin3 => aTmp(14 downto 12), a => b, product => prod6(16 downto 0) ); prodgen7: BoothPartProdGen PORT MAP ( bin3 => aTmp(16 downto 14), a => b, product => prod7(16 downto 0) ); output: BoothPartProdRed PORT MAP ( prod0 => prod0, prod1 => prod1, prod2 => prod2, prod3 => prod3, prod4 => prod4, prod5 => prod5, prod6 => prod6, prod7 => prod7, result => oTmp ); o <= oTmp(31 downto 0); end Behavioral;
-- Testebench gerado via script. -- Data: Sáb,31/12/2011-01:19:07 -- Autor: rogerio -- Comentario: Teste da entidade nand2. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity nand2_tb is end nand2_tb; architecture logica of nand2_tb is -- Declaração do componente. component nand2 port (a, b: in std_logic; y: out std_logic); end component; -- Especifica qual a entidade está vinculada com o componente. for nand2_0: nand2 use entity work.nand2; signal s_t_a, s_t_b, s_t_y: std_logic; begin -- Instanciação do Componente. -- port map (<<p_in_1>> => <<s_t_in_1>>) nand2_0: nand2 port map ( a=>s_t_a, b=>s_t_b, y=>s_t_y); -- Processo que faz o trabalho. process -- Um registro é criado com as entradas e saídas da entidade. -- (<<entrada1>>, <<entradaN>>, <<saida1>>, <<saidaN>>) type pattern_type is record -- entradas. vi_a, vi_b: std_logic; -- saídas. vo_y: std_logic; end record; -- Os padrões de entrada que são aplicados (injetados) às entradas. type pattern_array is array (natural range <>) of pattern_type; -- Casos de teste. constant patterns : pattern_array := ( ('0', '0', '1'), ('0', '1', '1'), ('1', '0', '1'), ('1', '1', '0') ); begin -- Checagem de padrões. for i in patterns'range loop -- Injeta as entradas. s_t_a <= patterns(i).vi_a; s_t_b <= patterns(i).vi_b; -- Aguarda os resultados. wait for 1 ns; -- Checa o resultado com a saída esperada no padrão. assert s_t_y = patterns(i).vo_y report "Valor de s_t_y não confere com o resultado esperado." severity error; end loop; assert false report "Fim do teste." severity note; -- Wait forever; Isto finaliza a simulação. wait; end process; end logica;
-- ************************ -- * Archivo de Registros * -- ************************ -- Usa indexado dinámico. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity archivo_reg is generic( DIR_ANCHO: integer:=2; -- Número de bits para la dirección DATOS_ANCHO: integer:=8 -- Número de bits por término ); port( clk: in std_logic; -- Activador de estcritura wr_en: in std_logic; -- Dirección de estcritura w_dir: in std_logic_vector (DIR_ANCHO-1 downto 0); -- Dirección de lectura r_dir: in std_logic_vector (DIR_ANCHO-1 downto 0); -- Datos a escribir w_datos: in std_logic_vector (DATOS_ANCHO-1 downto 0); -- Datos a leer r_datos: out std_logic_vector (DATOS_ANCHO-1 downto 0) ); end archivo_reg; architecture arq of archivo_reg is -- Debe crearse un nuevo tipo de datos ya que un arreglo de dos dimensiones -- no existe en el paquete de std_logic_1164 type mem_tipo_2d is array (0 to 2**DIR_ANCHO-1) of std_logic_vector(DATOS_ANCHO-1 downto 0); signal arreglo_reg: mem_tipo_2d; begin process(clk) begin if (clk'event and clk='1') then if wr_en='1' then -- La siguiente declaración infiere la lógica de decodificaión arreglo_reg(to_integer(unsigned(w_dir))) <= w_datos; end if; end if; end process; -- Puerto de lectura -- La siguiente declaración infiere la lógica de multiplexación r_datos <= arreglo_reg(to_integer(unsigned(r_dir))); end arq;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hfrisc_soc is generic( address_width: integer := 14; memory_file : string := "code.txt" ); port ( clk_in: in std_logic; reset_in: in std_logic; uart_read: in std_logic; uart_write: out std_logic ); end hfrisc_soc; architecture top_level of hfrisc_soc is signal clock, boot_enable, ram_enable_n, stall, ram_dly, rff1, reset: std_logic; signal address, data_read, data_write, data_read_boot, data_read_ram: std_logic_vector(31 downto 0); signal ext_irq: std_logic_vector(7 downto 0); signal data_we, data_w_n_ram: std_logic_vector(3 downto 0); signal periph, periph_dly, periph_wr, periph_irq: std_logic; signal data_read_periph, data_read_periph_s, data_write_periph: std_logic_vector(31 downto 0); signal gpioa_in, gpioa_out, gpioa_ddr: std_logic_vector(7 downto 0); signal gpio_sig: std_logic := '0'; begin -- clock divider (50MHz clock from 100MHz main clock for ML403 kit) process (reset_in, clk_in, clock) begin if reset_in = '1' then clock <= '0'; else if clk_in'event and clk_in='1' then clock <= not clock; end if; end if; end process; -- reset synchronizer process (clock, reset_in) begin if (reset_in = '0') then rff1 <= '1'; reset <= '1'; elsif (clock'event and clock = '1') then rff1 <= '0'; reset <= rff1; end if; end process; process (reset, clock, ext_irq, ram_enable_n) begin if reset = '1' then ram_dly <= '0'; periph_dly <= '0'; elsif clock'event and clock = '1' then ram_dly <= not ram_enable_n; periph_dly <= periph; end if; end process; stall <= '0'; boot_enable <= '1' when address(31 downto 28) = "0000" else '0'; ram_enable_n <= '0' when address(31 downto 28) = "0100" else '1'; data_read <= data_read_periph when periph = '1' or periph_dly = '1' else data_read_boot when address(31 downto 28) = "0000" and ram_dly = '0' else data_read_ram; data_w_n_ram <= not data_we; ext_irq <= "0000000" & periph_irq; gpioa_in(3) <= uart_read; uart_write <= gpioa_out(2); -- HF-RISCV core processor: entity work.processor port map( clk_i => clock, rst_i => reset, stall_i => stall, addr_o => address, data_i => data_read, data_o => data_write, data_w_o => data_we, data_mode_o => open, extio_in => ext_irq, extio_out => open ); data_read_periph <= data_read_periph_s(7 downto 0) & data_read_periph_s(15 downto 8) & data_read_periph_s(23 downto 16) & data_read_periph_s(31 downto 24); data_write_periph <= data_write(7 downto 0) & data_write(15 downto 8) & data_write(23 downto 16) & data_write(31 downto 24); periph_wr <= '1' when data_we /= "0000" else '0'; periph <= '1' when address(31 downto 28) = x"e" else '0'; peripherals: entity work.peripherals port map( clk_i => clock, rst_i => reset, addr_i => address, data_i => data_write_periph, data_o => data_read_periph_s, sel_i => periph, wr_i => periph_wr, irq_o => periph_irq, gpioa_in => gpioa_in, gpioa_out => gpioa_out, gpioa_ddr => gpioa_ddr ); -- instruction and data memory (boot RAM) boot_ram: entity work.ram generic map (memory_type => "DEFAULT") port map ( clk => clock, enable => boot_enable, write_byte_enable => "0000", address => address(31 downto 2), data_write => (others => '0'), data_read => data_read_boot ); -- instruction and data memory (external RAM) memory0lb: entity work.bram generic map ( memory_file => memory_file, data_width => 8, address_width => address_width, bank => 0) port map( clk => clock, addr => address(address_width -1 downto 2), cs_n => ram_enable_n, we_n => data_w_n_ram(0), data_i => data_write(7 downto 0), data_o => data_read_ram(7 downto 0) ); memory0ub: entity work.bram generic map ( memory_file => memory_file, data_width => 8, address_width => address_width, bank => 1) port map( clk => clock, addr => address(address_width -1 downto 2), cs_n => ram_enable_n, we_n => data_w_n_ram(1), data_i => data_write(15 downto 8), data_o => data_read_ram(15 downto 8) ); memory1lb: entity work.bram generic map ( memory_file => memory_file, data_width => 8, address_width => address_width, bank => 2) port map( clk => clock, addr => address(address_width -1 downto 2), cs_n => ram_enable_n, we_n => data_w_n_ram(2), data_i => data_write(23 downto 16), data_o => data_read_ram(23 downto 16) ); memory1ub: entity work.bram generic map ( memory_file => memory_file, data_width => 8, address_width => address_width, bank => 3) port map( clk => clock, addr => address(address_width -1 downto 2), cs_n => ram_enable_n, we_n => data_w_n_ram(3), data_i => data_write(31 downto 24), data_o => data_read_ram(31 downto 24) ); end top_level;
-- VHDL Entity r65c02_tc.regbank_axy.symbol -- -- Created: -- by - eda.UNKNOWN (ENTW-7HPZ200) -- at - 20:45:48 27.08.2018 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; entity regbank_axy is port( clk_clk_i : in std_logic; d_regs_in_i : in std_logic_vector (7 downto 0); load_regs_i : in std_logic; rst_rst_n_i : in std_logic; sel_rb_in_i : in std_logic_vector (1 downto 0); sel_rb_out_i : in std_logic_vector (1 downto 0); sel_reg_i : in std_logic_vector (1 downto 0); d_regs_out_o : out std_logic_vector (7 downto 0); q_a_o : out std_logic_vector (7 downto 0); q_x_o : out std_logic_vector (7 downto 0); q_y_o : out std_logic_vector (7 downto 0) ); -- Declarations end regbank_axy ; -- (C) 2008 - 2018 Jens Gutschmidt -- (email: [email protected]) -- -- Versions: -- Revision 1.7 2013/07/21 11:11:00 jens -- - Changing the title block and internal revision history -- -- Revision 1.6 2009/01/04 10:20:47 eda -- Changes for cosmetic issues only -- -- Revision 1.5 2009/01/04 09:23:10 eda -- - Delete unused nets and blocks (same as R6502_TC) -- - Rename blocks -- -- Revision 1.4 2009/01/03 16:53:02 eda -- - Unused nets and blocks deleted -- - Renamed blocks -- -- Revision 1.3 2009/01/03 16:42:02 eda -- - Unused nets and blocks deleted -- - Renamed blocks -- -- Revision 1.2 2008/12/31 19:31:24 eda -- Production Release -- -- -- -- VHDL Architecture r65c02_tc.regbank_axy.struct -- -- Created: -- by - eda.UNKNOWN (ENTW-7HPZ200) -- at - 12:04:48 06.09.2018 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2016.2 (Build 5) -- -- COPYRIGHT (C) 2008 - 2018 by Jens Gutschmidt -- -- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or any later version. -- -- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; architecture struct of regbank_axy is -- Architecture declarations -- Internal signal declarations signal ld : std_logic_vector(2 downto 0); signal load1_o_i : std_logic; signal load2_o_i : std_logic; signal load_o_i : std_logic; signal q_mux_o_i : std_logic_vector(7 downto 0); signal val_zero : std_logic_vector(7 downto 0); -- Implicit buffer signal declarations signal q_a_o_internal : std_logic_vector (7 downto 0); signal q_x_o_internal : std_logic_vector (7 downto 0); signal q_y_o_internal : std_logic_vector (7 downto 0); -- ModuleWare signal declarations(v1.12) for instance 'U_0' of 'adff' signal mw_U_0reg_cval : std_logic_vector(7 downto 0); -- ModuleWare signal declarations(v1.12) for instance 'U_4' of 'adff' signal mw_U_4reg_cval : std_logic_vector(7 downto 0); -- ModuleWare signal declarations(v1.12) for instance 'U_5' of 'adff' signal mw_U_5reg_cval : std_logic_vector(7 downto 0); begin -- ModuleWare code(v1.12) for instance 'U_0' of 'adff' q_a_o_internal <= mw_U_0reg_cval; u_0seq_proc: process (clk_clk_i, rst_rst_n_i) begin if (rst_rst_n_i = '0') then mw_U_0reg_cval <= "00000000"; elsif (clk_clk_i'event and clk_clk_i='1') then if (load_o_i = '1') then mw_U_0reg_cval <= q_mux_o_i; end if; end if; end process u_0seq_proc; -- ModuleWare code(v1.12) for instance 'U_4' of 'adff' q_x_o_internal <= mw_U_4reg_cval; u_4seq_proc: process (clk_clk_i, rst_rst_n_i) begin if (rst_rst_n_i = '0') then mw_U_4reg_cval <= "00000000"; elsif (clk_clk_i'event and clk_clk_i='1') then if (load1_o_i = '1') then mw_U_4reg_cval <= q_mux_o_i; end if; end if; end process u_4seq_proc; -- ModuleWare code(v1.12) for instance 'U_5' of 'adff' q_y_o_internal <= mw_U_5reg_cval; u_5seq_proc: process (clk_clk_i, rst_rst_n_i) begin if (rst_rst_n_i = '0') then mw_U_5reg_cval <= "00000000"; elsif (clk_clk_i'event and clk_clk_i='1') then if (load2_o_i = '1') then mw_U_5reg_cval <= q_mux_o_i; end if; end if; end process u_5seq_proc; -- ModuleWare code(v1.12) for instance 'U_6' of 'and' load_o_i <= load_regs_i and ld(0); -- ModuleWare code(v1.12) for instance 'U_7' of 'and' load1_o_i <= load_regs_i and ld(1); -- ModuleWare code(v1.12) for instance 'U_8' of 'and' load2_o_i <= load_regs_i and ld(2); -- ModuleWare code(v1.12) for instance 'U_11' of 'constval' val_zero <= "00000000"; -- ModuleWare code(v1.12) for instance 'U_1' of 'decoder1' u_1combo_proc: process (sel_reg_i) begin ld <= (others => '0'); case sel_reg_i is when "00" => ld(0) <= '1'; when "01" => ld(1) <= '1'; when "10" => ld(2) <= '1'; when others => ld <= (others => '0'); end case; end process u_1combo_proc; -- ModuleWare code(v1.12) for instance 'U_2' of 'mux' u_2combo_proc: process(q_a_o_internal, q_x_o_internal, q_y_o_internal, val_zero, sel_rb_out_i) begin case sel_rb_out_i is when "00" => d_regs_out_o <= q_a_o_internal; when "01" => d_regs_out_o <= q_x_o_internal; when "10" => d_regs_out_o <= q_y_o_internal; when "11" => d_regs_out_o <= val_zero; when others => d_regs_out_o <= (others => 'X'); end case; end process u_2combo_proc; -- ModuleWare code(v1.12) for instance 'U_3' of 'mux' u_3combo_proc: process(q_a_o_internal, q_y_o_internal, q_x_o_internal, d_regs_in_i, sel_rb_in_i) begin case sel_rb_in_i is when "00" => q_mux_o_i <= q_a_o_internal; when "01" => q_mux_o_i <= q_y_o_internal; when "10" => q_mux_o_i <= q_x_o_internal; when "11" => q_mux_o_i <= d_regs_in_i; when others => q_mux_o_i <= (others => 'X'); end case; end process u_3combo_proc; -- Instance port mappings. -- Implicit buffered output assignments q_a_o <= q_a_o_internal; q_x_o <= q_x_o_internal; q_y_o <= q_y_o_internal; end struct;
-------------------------------------------------------------------------------- -- Title : Burst-capable wishbone to AXI bridge -- Project : ------------------------------------------------------------------------------- -- File : wb_axiburst_bridge.vhd -- Author : Jose Lopez -- Company : Universidad de Granada -- Created : 2016-05-17 -- Last update: -- Platform : -- Standard : VHDL'93 ------------------------------------------------------------------------------- -- Description: custom Wishbone to AXI bridge for zen-fmc-adc project. -- - 64-bit data width and 256-word-long bursts by default. -- - AXI4 -- - Wishbone pipelined -- - No b-channel error control ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-05-17 1.0 joselj Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.genram_pkg.all; use work.gencores_pkg.all; entity wb_axiburst_bridge is generic ( G_DATA_WIDTH : integer := 32; G_ADDR_WIDTH : integer := 32; G_BURST_WIDTH : integer := 8 ); port ( clk_i : in std_logic; rst_n_i : in std_logic; --Wishbone ports wb_cyc_i : in std_logic; wb_stb_i : in std_logic; wb_ack_o : out std_logic; wb_stall_o : out std_logic; wb_dat_i : in std_logic_vector(G_DATA_WIDTH-1 downto 0); wb_adr_i : in std_logic_vector(G_ADDR_WIDTH-1 downto 0); wb_we_i : in std_logic; wb_sel_i : in std_logic_vector(G_DATA_WIDTH/8-1 downto 0); adc_acq_count : in std_logic_vector(31 downto 0); -- Not essential. -- Unused at the moment. -- This port can be used to trigger an irq after the transfer is over: acq_end_o : out std_logic; -- Ports of Axi Master Bus Interface M00_AXI m00_axi_aclk : in std_logic; m00_axi_aresetn : in std_logic; m00_axi_awaddr : out std_logic_vector(G_ADDR_WIDTH-1 downto 0); m00_axi_awlen : out std_logic_vector(7 downto 0); m00_axi_awsize : out std_logic_vector(2 downto 0); m00_axi_awburst : out std_logic_vector(1 downto 0); m00_axi_awprot : out std_logic_vector(2 downto 0); m00_axi_awvalid : out std_logic; m00_axi_awready : in std_logic; m00_axi_wdata : out std_logic_vector(G_DATA_WIDTH-1 downto 0); m00_axi_wstrb : out std_logic_vector(G_DATA_WIDTH/8-1 downto 0); m00_axi_wlast : out std_logic; m00_axi_wvalid : out std_logic; m00_axi_wready : in std_logic; m00_axi_bresp : in std_logic_vector(1 downto 0); m00_axi_bvalid : in std_logic; m00_axi_bready : out std_logic); end wb_axiburst_bridge; architecture behavioral of wb_axiburst_bridge is type addr_state_type is (idle, set_addr, wait_awready, ongoing_burst); type data_state_type is (idle, burst_words, burst_end); constant LAST_WORD_IDX : integer := 255; signal addr_state : addr_state_type; signal data_state : data_state_type; signal total_bursts : std_logic_vector(31 downto 0); signal beat_count : unsigned(G_BURST_WIDTH-1 downto 0); signal wready_word_count : unsigned(G_BURST_WIDTH-1 downto 0); signal burst_count : unsigned(19 downto 0); signal burst_base_addr : unsigned(G_ADDR_WIDTH - 1 downto 0); signal irq_delay_counter : unsigned(19 downto 0); signal m00_axi_awvalid_sig : std_logic; signal m00_axi_wdata_int : std_logic_vector(G_DATA_WIDTH-1 downto 0); signal m00_axi_wvalid_int : std_logic; signal m00_axi_wlast_sig : std_logic; signal m00_axi_wstrb_int : std_logic_vector(G_DATA_WIDTH/8-1 downto 0); signal fifo_din : std_logic_vector((G_ADDR_WIDTH + G_DATA_WIDTH + G_DATA_WIDTH/8 + 2)-1 downto 0); signal fifo_wr : std_logic; signal fifo_dout : std_logic_vector((G_ADDR_WIDTH + G_DATA_WIDTH + G_DATA_WIDTH/8 + 2)-1 downto 0); signal fifo_rd : std_logic; signal fifo_empty : std_logic; signal fifo_full : std_logic; signal fifo_count : std_logic_vector(5 downto 0); signal fifo_almost_full : std_logic; signal fifo_almost_empty : std_logic; signal wb_stb_d0 : std_logic; signal axi_error : std_logic; signal burst_start_sig : std_logic; signal burst_start_ack : std_logic; signal acq_end : std_logic; signal awvalid_count : unsigned(19 downto 0); signal wlast_count : unsigned(19 downto 0); signal bvalid_count : unsigned(19 downto 0); signal last_burst : std_logic; signal debugsig0, debugsig1, debugsig2 : std_logic_vector(4 downto 0); attribute mark_debug : string; attribute mark_debug of fifo_wr : signal is "true"; attribute mark_debug of fifo_rd : signal is "true"; attribute mark_debug of fifo_count : signal is "true"; attribute mark_debug of fifo_empty : signal is "true"; attribute mark_debug of fifo_full : signal is "true"; attribute mark_debug of fifo_almost_empty : signal is "true"; attribute mark_debug of fifo_almost_full : signal is "true"; attribute mark_debug of beat_count : signal is "true"; attribute mark_debug of wready_word_count : signal is "true"; attribute mark_debug of burst_start_sig : signal is "true"; attribute mark_debug of burst_count : signal is "true"; attribute mark_debug of total_bursts : signal is "true"; attribute mark_debug of axi_error : signal is "true"; attribute mark_debug of debugsig0 : signal is "true"; attribute mark_debug of debugsig1 : signal is "true"; attribute mark_debug of debugsig2 : signal is "true"; attribute mark_debug of awvalid_count : signal is "true"; attribute mark_debug of wlast_count : signal is "true"; attribute mark_debug of bvalid_count : signal is "true"; begin wb_stall_o <= '0'; ack_gen : process(clk_i) begin if(rst_n_i = '0') then wb_ack_o <= '0'; elsif rising_edge(clk_i) then if(wb_cyc_i = '1' and wb_stb_i = '1') then wb_ack_o <= '1'; else wb_ack_o <= '0'; end if; end if; end process ack_gen; cmp_fifo : generic_sync_fifo generic map ( g_data_width => G_ADDR_WIDTH + G_DATA_WIDTH + G_DATA_WIDTH/8 + 2, g_size => 40, g_show_ahead => false, g_with_empty => true, g_with_full => true, g_with_almost_empty => true, g_with_almost_full => true, g_with_count => true, g_almost_empty_threshold => 15, g_almost_full_threshold => 20 ) port map( rst_n_i => rst_n_i, clk_i => clk_i, d_i => fifo_din, we_i => fifo_wr, q_o => fifo_dout, rd_i => fifo_rd, empty_o => fifo_empty, full_o => fifo_full, almost_empty_o => fifo_almost_empty, almost_full_o => fifo_almost_full, count_o => fifo_count ); fifo_din <= wb_adr_i & wb_sel_i & wb_cyc_i & wb_stb_i & wb_dat_i; fifo_wr <= wb_cyc_i and wb_stb_i; cmp_addr_proc : process(clk_i) begin if(rst_n_i = '0') then -- default values: elsif(rising_edge(clk_i)) then wb_stb_d0 <= wb_stb_i; debugsig0 <= "00000"; case addr_state is when idle => -- default values once again: acq_end <= '0'; m00_axi_awvalid_sig <= '0'; debugsig0 <= "10001"; if(fifo_empty = '1') then fifo_rd <= '0'; debugsig0 <= "00001"; end if; -- if there is a new acq: if wb_cyc_i = '1' and wb_stb_d0 = '0' and wb_stb_i = '1' then -- addr comes directly from wishbone for the first burst burst_base_addr <= unsigned(wb_adr_i); -- save total_bursts total_bursts <= adc_acq_count(31 downto 8) & x"00"; -- this is not used. burst_count <= to_unsigned(0,burst_count'length); -- goto set_addr addr_state <= set_addr; debugsig0 <= "00010"; end if; when set_addr => debugsig0 <= "10000"; if(fifo_rd = '1') then burst_base_addr <= (burst_base_addr) + to_unsigned(2048,burst_base_addr'length); debugsig0 <= "00100"; else debugsig0 <= "00101"; end if; m00_axi_awvalid_sig <= '1'; m00_axi_awlen <= "11111111"; -- FIXME: this should be customizable m00_axi_awburst <= "01"; m00_axi_awsize <= "011"; -- FIXME: this should be customizable burst_count <= burst_count + 1; addr_state <= wait_awready; when wait_awready => -- we just acknowledge that the addr is successfully set and -- we wait for ending_burst. m00_axi_awvalid_sig <= '0'; debugsig0 <= "10010"; if (fifo_almost_empty = '0' and fifo_empty = '0' and fifo_rd = '0') or fifo_full = '1' then burst_start_sig <= '1'; addr_state <= ongoing_burst; fifo_rd <= '1'; debugsig0 <= "11111"; end if; if fifo_rd = '1' then m00_axi_awvalid_sig <= '0'; addr_state <= ongoing_burst; debugsig0 <= "01000"; end if; when ongoing_burst => fifo_rd <= '1'; debugsig0 <= "01001"; -- only for the first burst in an acquisition if burst_start_sig = '1' and burst_start_ack = '0' then burst_start_sig <= '0'; debugsig0 <= "01010"; end if; -- when one burst is about to end, we can foresee the first address -- of the next burst and send it via the address channel so that there -- are no idle cycles between bursts if there is enough data left. if ((beat_count = LAST_WORD_IDX-5) and (unsigned(fifo_count) > 4)) then -- addr_state <= set_addr; debugsig0 <= "01011"; end if; if(fifo_empty = '1') then addr_state <= idle; debugsig0 <= "01100"; acq_end <= '1'; end if; when others => end case; end if; end process cmp_addr_proc; -- For our application we want to make sure that we only write in addresses -- from 0x10000000 to 0x1fffffff m00_axi_awaddr <= "0001" & std_logic_vector(burst_base_addr(27 downto 0)); m00_axi_awprot <= "000"; m00_axi_awvalid <= m00_axi_awvalid_sig when m00_axi_awready = '1' else '0'; cmp_data_proc : process(clk_i) begin if rst_n_i = '0' then elsif rising_edge(clk_i) then -- Make sure that wlast is only high for one cycle. m00_axi_wlast_sig <= '0'; case data_state is when idle => -- Default values, etc. debugsig1 <= "00000"; if burst_start_sig = '1' then burst_start_ack <= '1'; data_state <= burst_words; beat_count <= to_unsigned(0,beat_count'length); debugsig1 <= "00001"; end if; when burst_words => m00_axi_wvalid_int <= '0'; -- Acknowledge the start of a new acquisition if(burst_start_sig = '0' and burst_start_ack = '1') then burst_start_ack <= '0'; debugsig1 <= "00010"; end if; if(fifo_rd = '1') then m00_axi_wdata_int <= fifo_dout(G_DATA_WIDTH - 1 downto 0); m00_axi_wstrb <= fifo_dout( (fifo_dout'length - G_ADDR_WIDTH - 1) downto (fifo_dout'length - G_ADDR_WIDTH - 8)); m00_axi_wvalid_int <= '1'; beat_count <= beat_count+1; debugsig1 <= "00011"; elsif fifo_empty = '1' then m00_axi_wdata_int <= fifo_dout(G_DATA_WIDTH - 1 downto 0); m00_axi_wstrb <= (others => '0'); m00_axi_wvalid_int <= '1'; beat_count <= beat_count+1; debugsig1 <= "01000"; last_burst <= '1'; end if; if(m00_axi_wready = '1') then wready_word_count <= wready_word_count+1; end if; if (beat_count = LAST_WORD_IDX) then m00_axi_wlast_sig <= '1'; beat_count <= to_unsigned(0, beat_count'length); debugsig1 <= "00100"; end if; if ((wready_word_count = LAST_WORD_IDX) and last_burst = '1') then m00_axi_wvalid_int <= '0'; last_burst <= '0'; data_state <= idle; debugsig1 <= "00101"; end if; when burst_end => -- This state has remained useless. We could just remove it. Nobody would tell. data_state <= idle; debugsig1 <= "00110"; when others => end case; end if; end process cmp_data_proc; m00_axi_wdata <= m00_axi_wdata_int; m00_axi_wvalid <= m00_axi_wvalid_int; m00_axi_wlast <= m00_axi_wlast_sig; -- At this moment axi_error just exists but we the bridge won't react to -- a bresp error in any way. cmp_bchan_proc : process(clk_i) begin if rst_n_i = '0' then elsif rising_edge(clk_i) then if m00_axi_bvalid = '1' then if(m00_axi_bresp = "00") then axi_error <= '0'; else axi_error <= '1'; end if; end if; if data_state = burst_words then m00_axi_bready <= '1'; end if; if data_state /= burst_words and m00_axi_bvalid = '1' then m00_axi_bready <= '0'; end if; end if; end process cmp_bchan_proc; -- We don't want to tell Linux that we are done with our acquisition -- until everything has been written to the DDR. Let's give AXI slave -- and the memory controller some time to do their thing. cmp_acq_end_gen : process(clk_i) begin if rst_n_i = '0' then elsif rising_edge(clk_i) then acq_end_o <= '0'; if(acq_end = '1') then irq_delay_counter <= to_unsigned(1,20);--burst_count(19 downto 2) & "00"; end if; if irq_delay_counter > 0 then irq_delay_counter <= irq_delay_counter + 1; end if; --if(irq_delay_counter(19 downto 7) = burst_count(12 downto 0) and (fifo_empty = '1') and (burst_count(11 downto 0) /= x"000") ) then if irq_delay_counter = 1023 then acq_end_o <= '1'; irq_delay_counter <= (others => '0'); end if; end if; end process cmp_acq_end_gen; -- At any given point in time (if the bridge is working as it should) -- the counters of awvalids, wlasts and bvalids must not differ in more than 1. -- This can be an useful tool for debugging. cmp_count_bursts_debug : process(clk_i) begin if rst_n_i = '0' then awvalid_count <= (others => '0'); wlast_count <= (others => '0'); bvalid_count <= (others => '0'); elsif rising_edge(clk_i) then if(m00_axi_awvalid_sig = '1') then awvalid_count <= awvalid_count + 1; end if; if(m00_axi_wlast_sig = '1') then wlast_count <= wlast_count + 1; end if; if(m00_axi_bvalid = '1') then bvalid_count <= bvalid_count + 1; end if; end if; end process cmp_count_bursts_debug; end behavioral;
-- ------------------------------------------------------------- -- -- Generated Configuration for ioblock0_e -- -- Generated -- by: wig -- on: Mon Jul 18 15:56:34 2005 -- cmd: h:/work/eclipse/mix/mix_0.pl -strip -nodelta ../../padio.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: ioblock0_e-conf-c.vhd,v 1.3 2005/07/19 07:13:11 wig Exp $ -- $Date: 2005/07/19 07:13:11 $ -- $Log: ioblock0_e-conf-c.vhd,v $ -- Revision 1.3 2005/07/19 07:13:11 wig -- Update testcases. Added highlow/nolowbus -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.57 2005/07/18 08:58:22 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.36 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration ioblock0_e_conf / ioblock0_e -- configuration ioblock0_e_conf of ioblock0_e is for rtl -- Generated Configuration for ioc_data_i1 : ioc_g_i use configuration work.ioc_g_i_conf; end for; for ioc_data_o1 : ioc_g_o use configuration work.ioc_g_o_conf; end for; end for; end ioblock0_e_conf; -- -- End of Generated Configuration ioblock0_e_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
---------------------------------------------------------------------------------- -- Compañía: Estado Finito -- Ingeniero: Carlos Ramos -- -- Fecha de creación: 2014/04/13 08:27:57 -- Nombre del módulo: clk0_25Hz - Behavioral -- Comentarios adicionales: -- Implementación mediante aproximación, a caso con escala ajustada par (de 200000000 a 200000000). -- La frecuencia fue ajustada al entero más próximo. ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity clk0_25Hz is Port ( clk : in STD_LOGIC; -- Reloj de entrada de 50000000Hz. reset : in STD_LOGIC; clk_out : out STD_LOGIC -- Reloj de salida de 0.25Hz. ); end clk0_25Hz; architecture Behavioral of clk0_25Hz is signal temporal: STD_LOGIC; signal contador: integer range 0 to 99999999 := 0; begin divisor_frecuencia: process (clk, reset) begin if (reset = '1') then temporal <= '0'; contador <= 0; elsif rising_edge(clk) then if (contador = 99999999) then temporal <= NOT(temporal); contador <= 0; else contador <= contador + 1; end if; end if; end process; clk_out <= temporal; end Behavioral;
---------------------------------------------------------------------------------- -- Compañía: Estado Finito -- Ingeniero: Carlos Ramos -- -- Fecha de creación: 2014/04/13 08:27:57 -- Nombre del módulo: clk0_25Hz - Behavioral -- Comentarios adicionales: -- Implementación mediante aproximación, a caso con escala ajustada par (de 200000000 a 200000000). -- La frecuencia fue ajustada al entero más próximo. ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity clk0_25Hz is Port ( clk : in STD_LOGIC; -- Reloj de entrada de 50000000Hz. reset : in STD_LOGIC; clk_out : out STD_LOGIC -- Reloj de salida de 0.25Hz. ); end clk0_25Hz; architecture Behavioral of clk0_25Hz is signal temporal: STD_LOGIC; signal contador: integer range 0 to 99999999 := 0; begin divisor_frecuencia: process (clk, reset) begin if (reset = '1') then temporal <= '0'; contador <= 0; elsif rising_edge(clk) then if (contador = 99999999) then temporal <= NOT(temporal); contador <= 0; else contador <= contador + 1; end if; end if; end process; clk_out <= temporal; end Behavioral;
-- This file is part of the ethernet_mac project. -- -- For the full copyright and license information, please read the -- LICENSE.md file that was distributed with this source code. -- Utility functions for CRC calculation -- Inspired by "Automatic Generation of Parallel CRC Circuits" by Michael Sprachmann library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.utility.all; package crc is -- Update CRC old_crc by one bit (input) using a given polynomial function update_crc(old_crc : std_ulogic_vector; input : std_ulogic; polynomial : std_ulogic_vector) return std_ulogic_vector; -- Update CRC old_crc by an arbitrary number of bits (input) using a given polynomial function update_crc(old_crc : std_ulogic_vector; input : std_ulogic_vector; polynomial : std_ulogic_vector) return std_ulogic_vector; end package; package body crc is function update_crc(old_crc : std_ulogic_vector; input : std_ulogic; polynomial : std_ulogic_vector) return std_ulogic_vector is variable new_crc : std_ulogic_vector(old_crc'range); variable feedback : std_ulogic; begin assert not old_crc'ascending report "CRC argument must have descending range"; -- Simple calculation with LFSR new_crc := old_crc; feedback := new_crc(new_crc'high) xor input; new_crc := std_ulogic_vector(unsigned(new_crc) sll 1); if (feedback = '1') then new_crc := new_crc xor polynomial(polynomial'high - 1 downto 0); end if; return new_crc; end function; -- Let the synthesizer figure out how to compute the checksum in parallel -- for any number of bits function update_crc(old_crc : std_ulogic_vector; input : std_ulogic_vector; polynomial : std_ulogic_vector) return std_ulogic_vector is variable new_crc : std_ulogic_vector(old_crc'range); begin assert not old_crc'ascending report "CRC argument must have descending range"; assert not input'ascending report "Input argument must have descending range"; new_crc := old_crc; -- Start with LSB for i in input'low to input'high loop new_crc := update_crc(new_crc, input(i), polynomial); end loop; return new_crc; end function; end package body;
------------------------------------------------------------------------------- -- Title : Add Channels -- Author : Franz Steinbacher ------------------------------------------------------------------------------- -- Description : Scale Channels with an factor and add ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library ieee_proposed; use ieee_proposed.fixed_pkg.all; use work.Global.all; entity AddChannels is generic ( -- audio data width data_width_g : natural := 24; -- scale factor, because the additon can cause an overflow fact_a_g : real := 0.5; fact_b_g : real := 0.5 ); port ( -- clk and reset csi_clk : in std_logic; rsi_reset_n : in std_logic; -- Avalon MM Slave Port s0 - used for config parameters -- config register width = 2 bit -- "00" pass channel a -- "01" pass channel b -- "10" sum of channel a + channel b -- "11" mute avs_s0_write : in std_logic; avs_s0_writedata : in std_logic_vector(31 downto 0); -- Avalon ST sink left and right channel asi_a_data : in std_logic_vector(data_width_g-1 downto 0); asi_a_valid : in std_logic; asi_b_data : in std_logic_vector(data_width_g-1 downto 0); asi_b_valid : in std_logic; -- Avalon ST source a, b, a+b or mute aso_data : out std_logic_vector(data_width_g-1 downto 0); aso_valid : out std_logic ); end entity AddChannels; architecture Rtl of AddChannels is subtype audio_data_t is u_sfixed(0 downto -(data_width_g-1)); subtype result_t is u_sfixed(1 downto 2*(-(data_width_g-1))); -- channel a and b signal ch_a, ch_b : audio_data_t; signal res : audio_data_t; -- config register subtype config_t is std_ulogic_vector(1 downto 0); signal config : config_t; constant pass_a_c : config_t := "00"; constant pass_b_c : config_t := "01"; constant sum_a_b_c : config_t := "10"; constant mute_c : config_t := "11"; begin -- architecture Rtl -- MM INTERFACE for configuration SetConfigReg : process (csi_clk, rsi_reset_n) is begin if rsi_reset_n = not('1') then -- low active reset config <= (others => '0'); elsif rising_edge(csi_clk) then -- rising if avs_s0_write = '1' then config <= to_stdulogicvector(avs_s0_writedata(config'range)); end if; end if; end process; -- convert avalon stream to sfixed format ch_b <= to_sfixed(asi_b_data, ch_b); -- store channel a in an register store_ch_a : process (csi_clk, rsi_reset_n) is begin -- process store_ch_a if rsi_reset_n = '0' then -- asynchronous reset (active low) ch_a <= (others => '0'); elsif rising_edge(csi_clk) then -- rising clock edge if asi_a_valid = '1' then -- channel a valid -- convert avalon stream to sfixed format ch_a <= to_sfixed(asi_a_data, ch_a); end if; end if; end process store_ch_a; -- scale channels and add when channel b is valid scale_add : process (ch_a, ch_b) is variable a_scaled, b_scaled : result_t := (others => '0'); begin -- process a_scaled := ch_a * fact_a_g; b_scaled := ch_b * fact_b_g; res <= resize(a_scaled + b_scaled, 0, -(data_width_g-1)); end process; -- convert result to avalon stream out_mux : process (asi_a_data, asi_a_valid, asi_b_data, asi_b_valid, config, res) is begin -- process out_mux case config is when pass_a_c => aso_data <= asi_a_data; aso_valid <= asi_a_valid; when pass_b_c => aso_data <= asi_b_data; aso_valid <= asi_b_valid; when sum_a_b_c => aso_data <= to_slv(res); aso_valid <= asi_b_valid; when mute_c => aso_data <= to_slv(silence_c); aso_valid <= asi_a_valid; when others => aso_data <= (others => 'X'); aso_valid <= 'X'; end case; end process out_mux; end architecture Rtl;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GNKDE2NVCC is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(23 downto 0); output : out std_logic_vector(24 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GNKDE2NVCC is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 24 + 1 , width_inr=> 0, width_outl=> 25, width_outr=> 0, lpm_signed=> BusIsSigned , round=> round, satur=> saturate) port map ( xin(23 downto 0) => input, xin(24) => '0', yout => output ); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GNKDE2NVCC is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(23 downto 0); output : out std_logic_vector(24 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GNKDE2NVCC is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 24 + 1 , width_inr=> 0, width_outl=> 25, width_outr=> 0, lpm_signed=> BusIsSigned , round=> round, satur=> saturate) port map ( xin(23 downto 0) => input, xin(24) => '0', yout => output ); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GNKDE2NVCC is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(23 downto 0); output : out std_logic_vector(24 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GNKDE2NVCC is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 24 + 1 , width_inr=> 0, width_outl=> 25, width_outr=> 0, lpm_signed=> BusIsSigned , round=> round, satur=> saturate) port map ( xin(23 downto 0) => input, xin(24) => '0', yout => output ); end architecture;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GNKDE2NVCC is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(23 downto 0); output : out std_logic_vector(24 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GNKDE2NVCC is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 24 + 1 , width_inr=> 0, width_outl=> 25, width_outr=> 0, lpm_signed=> BusIsSigned , round=> round, satur=> saturate) port map ( xin(23 downto 0) => input, xin(24) => '0', yout => output ); end architecture;
library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.all; use IEEE.MATH_REAL.ALL; entity FIFO_credit_based_control_part_checkers is port ( valid_in: in std_logic; read_en_N : in std_logic; read_en_E : in std_logic; read_en_W : in std_logic; read_en_S : in std_logic; read_en_L : in std_logic; read_pointer: in std_logic_vector(3 downto 0); read_pointer_in: in std_logic_vector(3 downto 0); write_pointer: in std_logic_vector(3 downto 0); write_pointer_in: in std_logic_vector(3 downto 0); credit_out: in std_logic; empty_out: in std_logic; full_out: in std_logic; read_en_out: in std_logic; write_en_out: in std_logic; -- Checker outputs err_write_en_write_pointer, err_not_write_en_write_pointer, err_read_pointer_write_pointer_not_empty, err_read_pointer_write_pointer_empty, err_read_pointer_write_pointer_not_full, err_read_pointer_write_pointer_full, err_read_pointer_increment, err_read_pointer_not_increment, err_read_en_credit_out, err_not_read_en_credit_out, err_write_en, err_not_write_en, err_read_en_mismatch : out std_logic ); end FIFO_credit_based_control_part_checkers; architecture behavior of FIFO_credit_based_control_part_checkers is signal read_en_signal: std_logic; begin read_en_signal <= (read_en_N or read_en_E or read_en_W or read_en_S or read_en_L) and not empty_out; -- Checkers process (write_en_out, write_pointer_in, write_pointer) begin if (write_en_out = '1' and write_pointer_in /= (write_pointer(2 downto 0) & write_pointer(3)) ) then err_write_en_write_pointer <= '1'; else err_write_en_write_pointer <= '0'; end if; end process; -- Checked ! process (write_en_out, write_pointer_in, write_pointer) begin if (write_en_out = '0' and write_pointer_in /= write_pointer ) then err_not_write_en_write_pointer <= '1'; else err_not_write_en_write_pointer <= '0'; end if; end process; -- Checked ! process (read_pointer, write_pointer, empty_out) begin if (read_pointer = write_pointer and empty_out = '0' ) then err_read_pointer_write_pointer_not_empty <= '1'; else err_read_pointer_write_pointer_not_empty <= '0'; end if; end process; -- Checked ! process (read_pointer, write_pointer, empty_out) begin if (read_pointer /= write_pointer and empty_out = '1' ) then err_read_pointer_write_pointer_empty <= '1'; else err_read_pointer_write_pointer_empty <= '0'; end if; end process; -- Checked ! process (write_pointer, read_pointer, full_out) begin if (write_pointer = (read_pointer(0)&read_pointer(3 downto 1)) and full_out = '0' ) then err_read_pointer_write_pointer_not_full <= '1'; else err_read_pointer_write_pointer_not_full <= '0'; end if; end process; -- Checked ! process (write_pointer, read_pointer, full_out) begin if (write_pointer /= (read_pointer(0)&read_pointer(3 downto 1)) and full_out = '1' ) then err_read_pointer_write_pointer_full <= '1'; else err_read_pointer_write_pointer_full <= '0'; end if; end process; -- Checked ! process (read_en_out, empty_out, read_pointer_in, read_pointer) begin if (read_en_out = '1' and empty_out = '0' and read_pointer_in /= (read_pointer(2 downto 0)&read_pointer(3)) ) then err_read_pointer_increment <= '1'; else err_read_pointer_increment <= '0'; end if; end process; -- Checked ! process (read_en_out, empty_out, read_pointer_in, read_pointer) begin if ( (read_en_out = '0' or (read_en_out = '1' and empty_out = '1') ) and read_pointer_in /= read_pointer ) then err_read_pointer_not_increment <= '1'; else err_read_pointer_not_increment <= '0'; end if; end process; -- Checked ! process (read_en_out, credit_out) begin if (read_en_out = '1' and credit_out = '0') then err_read_en_credit_out <= '1'; else err_read_en_credit_out <= '0'; end if; end process; -- Added ! process (read_en_out, credit_out) begin if (read_en_out = '0' and credit_out = '1') then err_not_read_en_credit_out <= '1'; else err_not_read_en_credit_out <= '0'; end if; end process; -- Added ! process (valid_in, full_out, write_en_out) begin if (valid_in = '1' and full_out = '0' and write_en_out = '0') then err_write_en <= '1'; else err_write_en <= '0'; end if; end process; -- Updated ! process (valid_in, full_out, write_en_out) begin if ( (valid_in = '0' or full_out = '1') and write_en_out = '1') then err_not_write_en <= '1'; else err_not_write_en <= '0'; end if; end process; -- Updated ! process (read_en_out, read_en_signal) begin if (read_en_out /= read_en_signal) then err_read_en_mismatch <= '1'; else err_read_en_mismatch <= '0'; end if; end process; end behavior;
library ieee; use ieee.std_logic_1164.all; entity lfsr_tb is end entity lfsr_tb; architecture bhr of lfsr_tb is component lfsr is generic( init : in std_logic_vector(63 downto 0) := X"0000000000000001" ); port( clk : in std_logic; rand : out std_logic_vector(31 downto 0) ); end component lfsr; signal clk : std_logic; signal rand : std_logic_vector(31 downto 0); begin process begin while True loop clk <= '1'; wait for 5 ns; clk <= '0'; wait for 5 ns; end loop; wait; end process; uut : lfsr port map( clk => clk, rand => rand ); end bhr;
-------------------------------------------------------------------------------- -- Copyright (C) 2016 Josi Coder -- This program is free software: you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the Free -- Software Foundation, either version 3 of the License, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for -- more details. -- -- You should have received a copy of the GNU General Public License along with -- this program. If not, see <http://www.gnu.org/licenses/>. ---------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Tests the SRAM controller. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity SRAM_Controller_Tester is end entity; architecture stdarch of SRAM_Controller_Tester is -------------------- -- Constants -------------------- constant clk_period: time := 10ns; -- 100MHz constant num_of_total_wait_states: natural := 9; -- 90ns @ 100MHz (min 70ns) constant num_of_write_pulse_wait_states: natural := 6; -- 60ns @ 100MHz (min 50ns) constant num_of_wait_states_before_write_after_read: natural := 4; -- 40ns @ 100MHz (min 30ns) constant data_width: natural := 8; constant address_width: natural := 16; constant start_address: natural := 16#40#; constant num_of_test_cycles: natural := 5; constant end_address: natural := start_address + num_of_test_cycles - 1; constant address_to_data_offset: natural := 16#10#; constant ram_access_time: time := 70ns; constant ram_output_disable_time: time := 30ns; constant end_address_for_automatic_increment: natural := end_address - 2; constant use_automatic_address_increment: boolean := false; -- This configures the test bench whether it tests in single operation or -- burst mode. -- In single operation mode, we activate the read or write signal just for -- one clock cycle. The according operation is completed anyway. In burst -- mode, we keep the read or write signal active all the time, thus reading -- or writing continuously. -- Burst mode cannot be used when synchronizing the ready signal to the clock -- (see below). constant burst_mode: boolean := false; -- This configures the test bench whether it uses the ready signal asynchronously -- or syncs it to the clock. -- When used asynchronously, the next address and input data are applied -- immediately and thus are available to the SRAM controller on the next clock -- cycle. -- When synchronized to the clock, the next address and input data are applied -- with a latency of one clock cycle. This is one clock cycle too late for burst -- mode (see above). constant sync_ready_to_clk: boolean := false; -------------------- -- Inputs -------------------- signal clk: std_logic := '0'; signal read: std_logic; signal write: std_logic; signal auto_increment_address: std_logic; signal address: unsigned(address_width-1 downto 0); signal data_in: std_logic_vector(data_width-1 downto 0); -------------------- -- Outputs -------------------- signal ready: std_logic; signal auto_increment_end_address_reached: std_logic; signal data_out: std_logic_vector(data_width-1 downto 0); signal ram_we_n: std_logic; signal ram_oe_n: std_logic; signal ram_address: unsigned(address_width-1 downto 0); -------------------- -- Bidirectional -------------------- signal ram_data: std_logic_vector(data_width-1 downto 0); -------------------- -- Internals -------------------- signal run_test: boolean := true; begin -------------------------------------------------------------------------------- -- UUT instantiation. -------------------------------------------------------------------------------- uut: entity work.SRAM_Controller generic map ( num_of_total_wait_states => num_of_total_wait_states, num_of_write_pulse_wait_states => num_of_write_pulse_wait_states, num_of_wait_states_before_write_after_read => num_of_wait_states_before_write_after_read, data_width => data_width, address_width => address_width ) port map ( clk => clk, read => read, write => write, ready => ready, auto_increment_address => auto_increment_address, auto_increment_end_address_reached => auto_increment_end_address_reached, address => address, data_in => data_in, data_out => data_out, ram_we_n => ram_we_n, ram_oe_n => ram_oe_n, ram_address => ram_address, ram_data => ram_data ); -------------------------------------------------------------------------------- -- UUT stimulation. ------------------------------------------------------------------------- -- Reads from and writes to the SRAM, either in non-burst or burst mode. -- For non-burst mode, the read or write signal is active for just the -- first clock cycle of the read or write cycle (this is the minimum, -- longer durations are allowed). -- For burst mode, the read or write signal is active until the entire -- batch of data is transferred. -------------------------------------------------------------------------------- -- Generates the system clock. clk <= not clk after clk_period/2 when run_test; -- Stimulates and controls the UUT and the tests at all. stimulus: process is begin -- Wait a little for better waveform view. wait until rising_edge(clk); -- Set the end address for automatic address increment. address <= to_unsigned(end_address_for_automatic_increment, address_width); write <= '1'; read <= '1'; wait until rising_edge(clk); write <= '0'; read <= '0'; wait until rising_edge(clk); -- Read from the SRAM several times. write <= '0'; auto_increment_address <= '0'; for adr in start_address to end_address loop if (not use_automatic_address_increment or adr = start_address) then -- We do not use automatic address increment or we are in its first cycle, just -- memorize the current address (start address for automatic address increment). address <= to_unsigned(adr, address_width); else -- We use automatic address increment and we are not in its first cycle, make -- the controller automatically increment the address shown to the SRAM. auto_increment_address <= '1'; end if; read <= '1'; if (not burst_mode) then wait for clk_period; read <= '0'; wait on clk,ready until ready = '1'; else wait for num_of_total_wait_states * clk_period; end if; if (sync_ready_to_clk) then wait until rising_edge(clk); end if; end loop; -- Deactivate SRAM access. write <= '0'; read <= '0'; -- Write to the SRAM several times. read <= '0'; auto_increment_address <= '0'; for adr in start_address to end_address loop data_in <= std_logic_vector(to_unsigned(adr + address_to_data_offset, data_width)); if (not use_automatic_address_increment or adr = start_address) then -- We do not use automatic address increment or we are in its first cycle, just -- memorize the current address (start address for automatic address increment). address <= to_unsigned(adr, address_width); else -- We use automatic address increment and we are not in its first cycle, make -- the controller automatically increment the address shown to the SRAM. auto_increment_address <= '1'; end if; write <= '1'; if (not burst_mode) then wait for clk_period; write <= '0'; wait on clk,ready until ready = '1'; else wait for num_of_total_wait_states * clk_period; end if; wait on clk,ready until ready = '1'; if (sync_ready_to_clk) then wait until rising_edge(clk); end if; end loop; -- Deactivate SRAM access. write <= '0'; read <= '0'; wait for 5 * clk_period; -- wait a little to finish -- Stop the tests. run_test <= false; wait; end process; -- Simulates the external SRAM (worst timing conditions). sram: process is begin wait on ram_we_n, ram_oe_n, ram_address; if (ram_we_n = '1' and ram_oe_n = '0') then ram_data <= inertial std_logic_vector(ram_address(data_width-1 downto 0) + address_to_data_offset) after ram_access_time; else ram_data <= inertial (others => 'Z') after ram_output_disable_time; end if; end process; -------------------------------------------------------------------------------- -- Specifications. -------------------------------------------------------------------------------- -- Verifies proper RAM signal generation and overall timing. must_create_correct_signals: process is variable expected_address: unsigned(address_width-1 downto 0); variable expected_data: unsigned(data_width-1 downto 0); variable effective_start_address: natural; begin -- Synchronize with the stimulus. wait until falling_edge(clk); wait until falling_edge(clk); -- Verify that the SRAM is deactivated completely at the beginning. assert (ram_we_n = '1') report "SRAM WE signal is not initially inactive." severity error; assert (ram_oe_n = '1') report "SRAM OE signal is not initially inactive." severity error; -- For each read access to the SRAM. effective_start_address := start_address; for adr in effective_start_address to end_address loop -- Wait until the current read cycle starts. if read /= '1' then wait until read = '1'; wait until rising_edge(clk); end if; -- Verify that the SRAM controller generates the correct signals for the entire read cycle. for wait_state in 0 to num_of_total_wait_states - 1 loop -- Verify that the SRAM gets the correct address and signals during a read cycle. wait until falling_edge(clk); assert (ram_we_n = '1') report "SRAM WE signal is not inactive during a read cycle." severity error; assert (ram_oe_n = '0') report "SRAM OE signal is not active during a read cycle." severity error; if (use_automatic_address_increment and adr > end_address_for_automatic_increment) then expected_address := to_unsigned(end_address_for_automatic_increment, address_width); else expected_address := to_unsigned(adr, address_width); end if; assert (ram_address = expected_address) report "SRAM address is wrong during a read cycle, expected " & integer'image(to_integer(expected_address)) & "." severity error; -- Verify that the ready signal is active exactly at the end of the read cycle and that the data -- are available then. if (wait_state < num_of_total_wait_states - 1) then assert (ready = '0') report "The ready signal is not inactive during a read cycle." severity error; else assert (ready = '1') report "The ready signal is not active at the end of a read cycle." severity error; assert (data_out = ram_data) report "The data are not available at the end of a read cycle." severity error; end if; end loop; end loop; -- Verify that the SRAM is deactivated completely after the read. wait for clk_period; assert (ram_we_n = '1') report "SRAM WE signal is not inactive after the read." severity error; assert (ram_oe_n = '1') report "SRAM OE signal is not inactive after the read." severity error; report "Read has finished" severity note; -- TODO: Consider syncing on ready signal instead of write signal. This would avoid the -- time-based delay workaround for burst mode below. Also change the same above for reading. if (burst_mode) then effective_start_address := start_address; wait for num_of_wait_states_before_write_after_read * clk_period; else -- For each write access to the SRAM except the first one. -- The first cycle is skipped here because we have missed the according write signal edge. effective_start_address := start_address + 1; end if; for adr in effective_start_address to end_address loop -- Wait until the current write cycle starts. if write /= '1' then wait until write = '1'; wait until rising_edge(clk); end if; -- Verify that the SRAM controller generates the correct signals for the entire write cycle. for wait_state in 0 to num_of_total_wait_states - 1 loop -- Verify that the SRAM gets the correct address, data and signals during a write cycle. wait until falling_edge(clk); if (wait_state < num_of_total_wait_states - 1 - num_of_write_pulse_wait_states or wait_state = num_of_total_wait_states - 1) then assert (ram_we_n = '1') report "SRAM WE signal is not inactive while preparing a write cycle." severity error; else assert (ram_we_n = '0') report "SRAM WE signal is not active while executing a write cycle." severity error; end if; assert (ram_oe_n = '1') report "SRAM OE signal is not inactive during a write cycle." severity error; if (use_automatic_address_increment and adr > end_address_for_automatic_increment) then expected_address := to_unsigned(end_address_for_automatic_increment, address_width); else expected_address := to_unsigned(adr, address_width); end if; assert (ram_address = expected_address) report "SRAM address is wrong during a write cycle, expected " & integer'image(to_integer(expected_address)) & "." severity error; expected_data := to_unsigned(adr + address_to_data_offset, data_width); assert (ram_data = std_logic_vector(expected_data)) report "SRAM data is wrong during a write cycle, expected " & integer'image(to_integer(expected_data)) & "." severity error; -- Verify that the ready signal is active exactly at the end of the write cycle. if (wait_state < num_of_total_wait_states - 1) then assert (ready = '0') report "The ready signal is not inactive during a write cycle." severity error; else assert (ready = '1') report "The ready signal is not active at the end of a write cycle." severity error; end if; end loop; end loop; -- Verify that the SRAM is decativated completely after the write. assert (ram_we_n = '1') report "SRAM WE signal is not inactive after the write." severity error; assert (ram_oe_n = '1') report "SRAM OE signal is not inactive after the write." severity error; report "Write has finished" severity note; wait; end process; end architecture;
-- -- ----------------------------------------------------------------------------- -- Abstract : constants package for the non-levelling AFI PHY sequencer -- The constant package (alt_mem_phy_constants_pkg) contains global -- 'constants' which are fixed thoughout the sequencer and will not -- change (for constants which may change between sequencer -- instances generics are used) -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- package nios_altmemddr_0_phy_alt_mem_phy_constants_pkg is -- ------------------------------- -- Register number definitions -- ------------------------------- constant c_max_mode_reg_index : natural := 13; -- number of MR bits.. -- Top bit of vector (i.e. width -1) used for address decoding : constant c_debug_reg_addr_top : natural := 3; constant c_mmi_access_codeword : std_logic_vector(31 downto 0) := X"00D0_0DEB"; -- to check for legal Avalon interface accesses -- Register addresses. constant c_regofst_cal_status : natural := 0; constant c_regofst_debug_access : natural := 1; constant c_regofst_hl_css : natural := 2; constant c_regofst_mr_register_a : natural := 5; constant c_regofst_mr_register_b : natural := 6; constant c_regofst_codvw_status : natural := 12; constant c_regofst_if_param : natural := 13; constant c_regofst_if_test : natural := 14; -- pll_phs_shft, ac_1t, extra stuff constant c_regofst_test_status : natural := 15; constant c_hl_css_reg_cal_dis_bit : natural := 0; constant c_hl_css_reg_phy_initialise_dis_bit : natural := 1; constant c_hl_css_reg_init_dram_dis_bit : natural := 2; constant c_hl_css_reg_write_ihi_dis_bit : natural := 3; constant c_hl_css_reg_write_btp_dis_bit : natural := 4; constant c_hl_css_reg_write_mtp_dis_bit : natural := 5; constant c_hl_css_reg_read_mtp_dis_bit : natural := 6; constant c_hl_css_reg_rrp_reset_dis_bit : natural := 7; constant c_hl_css_reg_rrp_sweep_dis_bit : natural := 8; constant c_hl_css_reg_rrp_seek_dis_bit : natural := 9; constant c_hl_css_reg_rdv_dis_bit : natural := 10; constant c_hl_css_reg_poa_dis_bit : natural := 11; constant c_hl_css_reg_was_dis_bit : natural := 12; constant c_hl_css_reg_adv_rd_lat_dis_bit : natural := 13; constant c_hl_css_reg_adv_wr_lat_dis_bit : natural := 14; constant c_hl_css_reg_prep_customer_mr_setup_dis_bit : natural := 15; constant c_hl_css_reg_tracking_dis_bit : natural := 16; constant c_hl_ccs_num_stages : natural := 17; -- ----------------------------------------------------- -- Constants for DRAM addresses used during calibration: -- ----------------------------------------------------- -- the mtp training pattern is x30F5 -- 1. write 0011 0000 and 1100 0000 such that one location will contains 0011 0000 -- 2. write in 1111 0101 -- also require locations containing all ones and all zeros -- default choice of calibration burst length (overriden to 8 for reads for DDR3 devices) constant c_cal_burst_len : natural := 4; constant c_cal_ofs_step_size : natural := 8; constant c_cal_ofs_zeros : natural := 0 * c_cal_ofs_step_size; constant c_cal_ofs_ones : natural := 1 * c_cal_ofs_step_size; constant c_cal_ofs_x30_almt_0 : natural := 2 * c_cal_ofs_step_size; constant c_cal_ofs_x30_almt_1 : natural := 3 * c_cal_ofs_step_size; constant c_cal_ofs_xF5 : natural := 5 * c_cal_ofs_step_size; constant c_cal_ofs_wd_lat : natural := 6 * c_cal_ofs_step_size; constant c_cal_data_len : natural := c_cal_ofs_wd_lat + c_cal_ofs_step_size; constant c_cal_ofs_mtp : natural := 6*c_cal_ofs_step_size; constant c_cal_ofs_mtp_len : natural := 4*4; constant c_cal_ofs_01_pairs : natural := 2 * c_cal_burst_len; constant c_cal_ofs_10_pairs : natural := 3 * c_cal_burst_len; constant c_cal_ofs_1100_step : natural := 4 * c_cal_burst_len; constant c_cal_ofs_0011_step : natural := 5 * c_cal_burst_len; -- ----------------------------------------------------- -- Reset values. - These are chosen as default values for one PHY variation -- with DDR2 memory and CAS latency 6, however in each calibration -- mode these values will be set for a given PHY configuration. -- ----------------------------------------------------- constant c_default_rd_lat : natural := 20; constant c_default_wr_lat : natural := 5; -- ----------------------------------------------------- -- Errorcodes -- ----------------------------------------------------- -- implemented constant C_SUCCESS : natural := 0; constant C_ERR_RESYNC_NO_VALID_PHASES : natural := 5; -- No valid data-valid windows found constant C_ERR_RESYNC_MULTIPLE_EQUAL_WINDOWS : natural := 6; -- Multiple equally-sized data valid windows constant C_ERR_RESYNC_NO_INVALID_PHASES : natural := 7; -- No invalid data-valid windows found. Training patterns are designed so that there should always be at least one invalid phase. constant C_ERR_CRITICAL : natural := 15; -- A condition that can't happen just happened. constant C_ERR_READ_MTP_NO_VALID_ALMT : natural := 23; constant C_ERR_READ_MTP_BOTH_ALMT_PASS : natural := 24; constant C_ERR_WD_LAT_DISAGREEMENT : natural := 22; -- MEM_IF_DWIDTH/MEM_IF_DQ_PER_DQS copies of write-latency are written to memory. If all of these are not the same this error is generated. constant C_ERR_MAX_RD_LAT_EXCEEDED : natural := 25; constant C_ERR_MAX_TRK_SHFT_EXCEEDED : natural := 26; -- not implemented yet constant c_err_ac_lat_some_beats_are_different : natural := 1; -- implies DQ_1T setup failure or earlier. constant c_err_could_not_find_read_lat : natural := 2; -- dodgy RDP setup constant c_err_could_not_find_write_lat : natural := 3; -- dodgy WDP setup constant c_err_clock_cycle_iteration_timeout : natural := 8; -- depends on srate calling error -- GENERIC constant c_err_clock_cycle_it_timeout_rdp : natural := 9; constant c_err_clock_cycle_it_timeout_rdv : natural := 10; constant c_err_clock_cycle_it_timeout_poa : natural := 11; constant c_err_pll_ack_timeout : natural := 13; constant c_err_WindowProc_multiple_rsc_windows : natural := 16; constant c_err_WindowProc_window_det_no_ones : natural := 17; constant c_err_WindowProc_window_det_no_zeros : natural := 18; constant c_err_WindowProc_undefined : natural := 19; -- catch all constant c_err_tracked_mmc_offset_overflow : natural := 20; constant c_err_no_mimic_feedback : natural := 21; constant c_err_ctrl_ack_timeout : natural := 32; constant c_err_ctrl_done_timeout : natural := 33; -- ----------------------------------------------------- -- PLL phase locations per device family -- (unused but a limited set is maintained here for reference) -- ----------------------------------------------------- constant c_pll_resync_phs_select_ciii : natural := 5; constant c_pll_mimic_phs_select_ciii : natural := 4; constant c_pll_resync_phs_select_siii : natural := 5; constant c_pll_mimic_phs_select_siii : natural := 7; -- ----------------------------------------------------- -- Maximum sizing constraints -- ----------------------------------------------------- constant C_MAX_NUM_PLL_RSC_PHASES : natural := 32; -- ----------------------------------------------------- -- IO control Params -- ----------------------------------------------------- constant c_set_oct_to_rs : std_logic := '0'; constant c_set_oct_to_rt : std_logic := '1'; constant c_set_odt_rt : std_logic := '1'; constant c_set_odt_off : std_logic := '0'; -- end nios_altmemddr_0_phy_alt_mem_phy_constants_pkg; -- -- ----------------------------------------------------------------------------- -- Abstract : record package for the non-levelling AFI sequencer -- The record package (alt_mem_phy_record_pkg) is used to combine -- command and status signals (into records) to be passed between -- sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- package nios_altmemddr_0_phy_alt_mem_phy_record_pkg is -- set some maximum constraints to bound natural numbers below constant c_max_num_dqs_groups : natural := 24; constant c_max_num_pins : natural := 8; constant c_max_ranks : natural := 16; constant c_max_pll_steps : natural := 80; -- a prefix for all report signals to identify phy and sequencer block -- constant record_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_record_pkg : "; type t_family is ( cyclone3, stratix2, stratix3 ); -- ----------------------------------------------------------------------- -- the following are required for the non-levelling AFI PHY sequencer block interfaces -- ----------------------------------------------------------------------- -- admin mode register settings (from mmi block) type t_admin_ctrl is record mr0 : std_logic_vector(12 downto 0); mr1 : std_logic_vector(12 downto 0); mr2 : std_logic_vector(12 downto 0); mr3 : std_logic_vector(12 downto 0); end record; function defaults return t_admin_ctrl; -- current admin status type t_admin_stat is record mr0 : std_logic_vector(12 downto 0); mr1 : std_logic_vector(12 downto 0); mr2 : std_logic_vector(12 downto 0); mr3 : std_logic_vector(12 downto 0); init_done : std_logic; end record; function defaults return t_admin_stat; -- mmi to iram ctrl signals type t_iram_ctrl is record addr : natural range 0 to 1023; wdata : std_logic_vector(31 downto 0); write : std_logic; read : std_logic; end record; function defaults return t_iram_ctrl; -- broadcast iram status to mmi and dgrb type t_iram_stat is record rdata : std_logic_vector(31 downto 0); done : std_logic; err : std_logic; err_code : std_logic_vector(3 downto 0); init_done : std_logic; out_of_mem : std_logic; contested_access : std_logic; end record; function defaults return t_iram_stat; -- codvw status signals from dgrb to mmi block type t_dgrb_mmi is record cal_codvw_phase : std_logic_vector(7 downto 0); cal_codvw_size : std_logic_vector(7 downto 0); codvw_trk_shift : std_logic_vector(11 downto 0); codvw_grt_one_dvw : std_logic; end record; function defaults return t_dgrb_mmi; -- signal to id which block is active type t_ctrl_active_block is ( idle, admin, dgwb, dgrb, proc, -- unused in non-levelling AFI sequencer setup, -- unused in non-levelling AFI sequencer iram ); function ret_proc return t_ctrl_active_block; function ret_dgrb return t_ctrl_active_block; -- control record for dgwb, dgrb, iram and admin blocks: -- the possible commands type t_ctrl_cmd_id is ( cmd_idle, -- initialisation stages cmd_phy_initialise, cmd_init_dram, cmd_prog_cal_mr, cmd_write_ihi, -- calibration stages cmd_write_btp, cmd_write_mtp, cmd_read_mtp, cmd_rrp_reset, cmd_rrp_sweep, cmd_rrp_seek, cmd_rdv, cmd_poa, cmd_was, -- advertise controller settings and re-configure for customer operation mode. cmd_prep_adv_rd_lat, cmd_prep_adv_wr_lat, cmd_prep_customer_mr_setup, cmd_tr_due ); -- which block should execute each command function curr_active_block ( ctrl_cmd_id : t_ctrl_cmd_id ) return t_ctrl_active_block; -- specify command operands as a record type t_command_op is record current_cs : natural range 0 to c_max_ranks-1; -- which chip select is being calibrated single_bit : std_logic; -- current operation should be single bit mtp_almt : natural range 0 to 1; -- signals mtp alignment to be used for operation end record; function defaults return t_command_op; -- command request record (sent to each block) type t_ctrl_command is record command : t_ctrl_cmd_id; command_op : t_command_op; command_req : std_logic; end record; function defaults return t_ctrl_command; -- a generic status record for each block type t_ctrl_stat is record command_ack : std_logic; command_done : std_logic; command_result : std_logic_vector(7 downto 0 ); command_err : std_logic; end record; function defaults return t_ctrl_stat; -- push interface for dgwb / dgrb blocks (only the dgrb uses this interface at present) type t_iram_push is record iram_done : std_logic; iram_write : std_logic; iram_wordnum : natural range 0 to 511; -- acts as an offset to current location (max = 80 pll steps *2 sweeps and 80 pins) iram_bitnum : natural range 0 to 31; -- for bitwise packing modes iram_pushdata : std_logic_vector(31 downto 0); -- only bit zero used for bitwise packing_mode end record; function defaults return t_iram_push; -- control block "master" state machine type t_master_sm_state is ( s_reset, s_phy_initialise, -- wait for dll lock and init done flag from iram s_init_dram, -- dram initialisation - reset sequence s_prog_cal_mr, -- dram initialisation - programming mode registers (once per chip select) s_write_ihi, -- write header information in iRAM s_cal, -- check if calibration to be executed s_write_btp, -- write burst training pattern s_write_mtp, -- write more training pattern s_read_mtp, -- read training patterns to find correct alignment for 1100 burst -- (this is a special case of s_rrp_seek with no resych phase setting) s_rrp_reset, -- read resync phase setup - reset initial conditions s_rrp_sweep, -- read resync phase setup - sweep phases per chip select s_rrp_seek, -- read resync phase setup - seek correct phase s_rdv, -- read data valid setup s_was, -- write datapath setup (ac to write data timing) s_adv_rd_lat, -- advertise read latency s_adv_wr_lat, -- advertise write latency s_poa, -- calibrate the postamble (dqs based capture only) s_tracking_setup, -- perform tracking (1st pass to setup mimic window) s_prep_customer_mr_setup, -- apply user mode register settings (in admin block) s_tracking, -- perform tracking (subsequent passes in user mode) s_operational, -- calibration successful and in user mode s_non_operational -- calibration unsuccessful and in user mode ); -- record (set in mmi block) to disable calibration states type t_hl_css_reg is record phy_initialise_dis : std_logic; init_dram_dis : std_logic; write_ihi_dis : std_logic; cal_dis : std_logic; write_btp_dis : std_logic; write_mtp_dis : std_logic; read_mtp_dis : std_logic; rrp_reset_dis : std_logic; rrp_sweep_dis : std_logic; rrp_seek_dis : std_logic; rdv_dis : std_logic; poa_dis : std_logic; was_dis : std_logic; adv_rd_lat_dis : std_logic; adv_wr_lat_dis : std_logic; prep_customer_mr_setup_dis : std_logic; tracking_dis : std_logic; end record; function defaults return t_hl_css_reg; -- record (set in ctrl block) to identify when a command has been acknowledged type t_cal_stage_ack_seen is record cal : std_logic; phy_initialise : std_logic; init_dram : std_logic; write_ihi : std_logic; write_btp : std_logic; write_mtp : std_logic; read_mtp : std_logic; rrp_reset : std_logic; rrp_sweep : std_logic; rrp_seek : std_logic; rdv : std_logic; poa : std_logic; was : std_logic; adv_rd_lat : std_logic; adv_wr_lat : std_logic; prep_customer_mr_setup : std_logic; tracking_setup : std_logic; end record; function defaults return t_cal_stage_ack_seen; -- ctrl to mmi block interface (calibration status) type t_ctrl_mmi is record master_state_r : t_master_sm_state; ctrl_calibration_success : std_logic; ctrl_calibration_fail : std_logic; ctrl_current_stage_done : std_logic; ctrl_current_stage : t_ctrl_cmd_id; ctrl_current_active_block : t_ctrl_active_block; ctrl_cal_stage_ack_seen : t_cal_stage_ack_seen; ctrl_err_code : std_logic_vector(7 downto 0); end record; function defaults return t_ctrl_mmi; -- mmi to ctrl block interface (calibration control signals) type t_mmi_ctrl is record hl_css : t_hl_css_reg; calibration_start : std_logic; tracking_period_ms : natural range 0 to 255; tracking_orvd_to_10ms : std_logic; end record; function defaults return t_mmi_ctrl; -- algorithm parameterisation (generated in mmi block) type t_algm_paramaterisation is record num_phases_per_tck_pll : natural range 1 to c_max_pll_steps; nominal_dqs_delay : natural range 0 to 4; pll_360_sweeps : natural range 0 to 15; nominal_poa_phase_lead : natural range 0 to 7; maximum_poa_delay : natural range 0 to 15; odt_enabled : boolean; extend_octrt_by : natural range 0 to 15; delay_octrt_by : natural range 0 to 15; tracking_period_ms : natural range 0 to 255; end record; -- interface between mmi and pll to control phase shifting type t_mmi_pll_reconfig is record pll_phs_shft_phase_sel : natural range 0 to 15; pll_phs_shft_up_wc : std_logic; pll_phs_shft_dn_wc : std_logic; end record; type t_pll_mmi is record pll_busy : std_logic; err : std_logic_vector(1 downto 0); end record; -- specify the iram configuration this is default -- currently always dq_bitwise packing and a write mode of overwrite_ram type t_iram_packing_mode is ( dq_bitwise, dq_wordwise ); type t_iram_write_mode is ( overwrite_ram, or_into_ram, and_into_ram ); type t_ctrl_iram is record packing_mode : t_iram_packing_mode; write_mode : t_iram_write_mode; active_block : t_ctrl_active_block; end record; function defaults return t_ctrl_iram; -- ----------------------------------------------------------------------- -- the following are required for compliance to levelling AFI PHY interface but -- are non-functional for non-levelling AFI PHY sequencer -- ----------------------------------------------------------------------- type t_sc_ctrl_if is record read : std_logic; write : std_logic; dqs_group_sel : std_logic_vector( 4 downto 0); sc_in_group_sel : std_logic_vector( 5 downto 0); wdata : std_logic_vector(45 downto 0); op_type : std_logic_vector( 1 downto 0); end record; function defaults return t_sc_ctrl_if; type t_sc_stat is record rdata : std_logic_vector(45 downto 0); busy : std_logic; error_det : std_logic; err_code : std_logic_vector(1 downto 0); sc_cap : std_logic_vector(7 downto 0); end record; function defaults return t_sc_stat; type t_element_to_reconfigure is ( pp_t9, pp_t10, pp_t1, dqslb_rsc_phs, dqslb_poa_phs_ofst, dqslb_dqs_phs, dqslb_dq_phs_ofst, dqslb_dq_1t, dqslb_dqs_1t, dqslb_rsc_1t, dqslb_div2_phs, dqslb_oct_t9, dqslb_oct_t10, dqslb_poa_t7, dqslb_poa_t11, dqslb_dqs_dly, dqslb_lvlng_byps ); type t_sc_type is ( DQS_LB, DQS_DQ_DM_PINS, DQ_DM_PINS, dqs_dqsn_pins, dq_pin, dqs_pin, dm_pin, dq_pins ); type t_sc_int_ctrl is record group_num : natural range 0 to c_max_num_dqs_groups; group_type : t_sc_type; pin_num : natural range 0 to c_max_num_pins; sc_element : t_element_to_reconfigure; prog_val : std_logic_vector(3 downto 0); ram_set : std_logic; sc_update : std_logic; end record; function defaults return t_sc_int_ctrl; -- ----------------------------------------------------------------------- -- record and functions for instant on mode -- ----------------------------------------------------------------------- -- ranges on the below are not important because this logic is not synthesised type t_preset_cal is record codvw_phase : natural range 0 to 2*c_max_pll_steps;-- rsc phase codvw_size : natural range 0 to c_max_pll_steps; -- rsc size (unused but reported) rlat : natural; -- advertised read latency ctl_rlat (in phy clock cycles) rdv_lat : natural; -- read data valid latency decrements needed (in memory clock cycles) wlat : natural; -- advertised write latency ctl_wlat (in phy clock cycles) ac_1t : std_logic; -- address / command 1t delay setting (HR only) poa_lat : natural; -- poa latency decrements needed (in memory clock cycles) end record; -- the below are hardcoded (do not change) constant c_ddr_default_cl : natural := 3; constant c_ddr2_default_cl : natural := 6; constant c_ddr3_default_cl : natural := 6; constant c_ddr2_default_cwl : natural := 5; constant c_ddr3_default_cwl : natural := 5; constant c_ddr2_default_al : natural := 0; constant c_ddr3_default_al : natural := 0; constant c_ddr_default_rl : integer := c_ddr_default_cl; constant c_ddr2_default_rl : integer := c_ddr2_default_cl + c_ddr2_default_al; constant c_ddr3_default_rl : integer := c_ddr3_default_cl + c_ddr3_default_al; constant c_ddr_default_wl : integer := 1; constant c_ddr2_default_wl : integer := c_ddr2_default_cwl + c_ddr2_default_al; constant c_ddr3_default_wl : integer := c_ddr3_default_cwl + c_ddr3_default_al; function defaults return t_preset_cal; function setup_instant_on (sim_time_red : natural; family_id : natural; memory_type : string; dwidth_ratio : natural; pll_steps : natural; mr0 : std_logic_vector(15 downto 0); mr1 : std_logic_vector(15 downto 0); mr2 : std_logic_vector(15 downto 0)) return t_preset_cal; -- end nios_altmemddr_0_phy_alt_mem_phy_record_pkg; -- package body nios_altmemddr_0_phy_alt_mem_phy_record_pkg IS -- ----------------------------------------------------------------------- -- function implementations for the above declarations -- these are mainly default conditions for records -- ----------------------------------------------------------------------- function defaults return t_admin_ctrl is variable output : t_admin_ctrl; begin output.mr0 := (others => '0'); output.mr1 := (others => '0'); output.mr2 := (others => '0'); output.mr3 := (others => '0'); return output; end function; function defaults return t_admin_stat is variable output : t_admin_stat; begin output.mr0 := (others => '0'); output.mr1 := (others => '0'); output.mr2 := (others => '0'); output.mr3 := (others => '0'); return output; end function; function defaults return t_iram_ctrl is variable output : t_iram_ctrl; begin output.addr := 0; output.wdata := (others => '0'); output.write := '0'; output.read := '0'; return output; end function; function defaults return t_iram_stat is variable output : t_iram_stat; begin output.rdata := (others => '0'); output.done := '0'; output.err := '0'; output.err_code := (others => '0'); output.init_done := '0'; output.out_of_mem := '0'; output.contested_access := '0'; return output; end function; function defaults return t_dgrb_mmi is variable output : t_dgrb_mmi; begin output.cal_codvw_phase := (others => '0'); output.cal_codvw_size := (others => '0'); output.codvw_trk_shift := (others => '0'); output.codvw_grt_one_dvw := '0'; return output; end function; function ret_proc return t_ctrl_active_block is variable output : t_ctrl_active_block; begin output := proc; return output; end function; function ret_dgrb return t_ctrl_active_block is variable output : t_ctrl_active_block; begin output := dgrb; return output; end function; function defaults return t_ctrl_iram is variable output : t_ctrl_iram; begin output.packing_mode := dq_bitwise; output.write_mode := overwrite_ram; output.active_block := idle; return output; end function; function defaults return t_command_op is variable output : t_command_op; begin output.current_cs := 0; output.single_bit := '0'; output.mtp_almt := 0; return output; end function; function defaults return t_ctrl_command is variable output : t_ctrl_command; begin output.command := cmd_idle; output.command_req := '0'; output.command_op := defaults; return output; end function; -- decode which block is associated with which command function curr_active_block ( ctrl_cmd_id : t_ctrl_cmd_id ) return t_ctrl_active_block is begin case ctrl_cmd_id is when cmd_idle => return idle; when cmd_phy_initialise => return idle; when cmd_init_dram => return admin; when cmd_prog_cal_mr => return admin; when cmd_write_ihi => return iram; when cmd_write_btp => return dgwb; when cmd_write_mtp => return dgwb; when cmd_read_mtp => return dgrb; when cmd_rrp_reset => return dgrb; when cmd_rrp_sweep => return dgrb; when cmd_rrp_seek => return dgrb; when cmd_rdv => return dgrb; when cmd_poa => return dgrb; when cmd_was => return dgwb; when cmd_prep_adv_rd_lat => return dgrb; when cmd_prep_adv_wr_lat => return dgrb; when cmd_prep_customer_mr_setup => return admin; when cmd_tr_due => return dgrb; when others => return idle; end case; end function; function defaults return t_ctrl_stat is variable output : t_ctrl_stat; begin output.command_ack := '0'; output.command_done := '0'; output.command_err := '0'; output.command_result := (others => '0'); return output; end function; function defaults return t_iram_push is variable output : t_iram_push; begin output.iram_done := '0'; output.iram_write := '0'; output.iram_wordnum := 0; output.iram_bitnum := 0; output.iram_pushdata := (others => '0'); return output; end function; function defaults return t_hl_css_reg is variable output : t_hl_css_reg; begin output.phy_initialise_dis := '0'; output.init_dram_dis := '0'; output.write_ihi_dis := '0'; output.cal_dis := '0'; output.write_btp_dis := '0'; output.write_mtp_dis := '0'; output.read_mtp_dis := '0'; output.rrp_reset_dis := '0'; output.rrp_sweep_dis := '0'; output.rrp_seek_dis := '0'; output.rdv_dis := '0'; output.poa_dis := '0'; output.was_dis := '0'; output.adv_rd_lat_dis := '0'; output.adv_wr_lat_dis := '0'; output.prep_customer_mr_setup_dis := '0'; output.tracking_dis := '0'; return output; end function; function defaults return t_cal_stage_ack_seen is variable output : t_cal_stage_ack_seen; begin output.cal := '0'; output.phy_initialise := '0'; output.init_dram := '0'; output.write_ihi := '0'; output.write_btp := '0'; output.write_mtp := '0'; output.read_mtp := '0'; output.rrp_reset := '0'; output.rrp_sweep := '0'; output.rrp_seek := '0'; output.rdv := '0'; output.poa := '0'; output.was := '0'; output.adv_rd_lat := '0'; output.adv_wr_lat := '0'; output.prep_customer_mr_setup := '0'; output.tracking_setup := '0'; return output; end function; function defaults return t_mmi_ctrl is variable output : t_mmi_ctrl; begin output.hl_css := defaults; output.calibration_start := '0'; output.tracking_period_ms := 0; output.tracking_orvd_to_10ms := '0'; return output; end function; function defaults return t_ctrl_mmi is variable output : t_ctrl_mmi; begin output.master_state_r := s_reset; output.ctrl_calibration_success := '0'; output.ctrl_calibration_fail := '0'; output.ctrl_current_stage_done := '0'; output.ctrl_current_stage := cmd_idle; output.ctrl_current_active_block := idle; output.ctrl_cal_stage_ack_seen := defaults; output.ctrl_err_code := (others => '0'); return output; end function; ------------------------------------------------------------------------- -- the following are required for compliance to levelling AFI PHY interface but -- are non-functional for non-levelling AFi PHY sequencer ------------------------------------------------------------------------- function defaults return t_sc_ctrl_if is variable output : t_sc_ctrl_if; begin output.read := '0'; output.write := '0'; output.dqs_group_sel := (others => '0'); output.sc_in_group_sel := (others => '0'); output.wdata := (others => '0'); output.op_type := (others => '0'); return output; end function; function defaults return t_sc_stat is variable output : t_sc_stat; begin output.rdata := (others => '0'); output.busy := '0'; output.error_det := '0'; output.err_code := (others => '0'); output.sc_cap := (others => '0'); return output; end function; function defaults return t_sc_int_ctrl is variable output : t_sc_int_ctrl; begin output.group_num := 0; output.group_type := DQ_PIN; output.pin_num := 0; output.sc_element := pp_t9; output.prog_val := (others => '0'); output.ram_set := '0'; output.sc_update := '0'; return output; end function; -- ----------------------------------------------------------------------- -- functions for instant on mode -- -- -- Guide on how to use: -- -- The following factors effect the setup of the PHY: -- - AC Phase - phase at which address/command signals launched wrt PHY clock -- - this effects the read/write latency -- - MR settings - CL, CWL, AL -- - Data rate - HR or FR (DDR/DDR2 only) -- - Family - datapaths are subtly different for each -- - Memory type - DDR/DDR2/DDR3 (different latency behaviour - see specs) -- -- Instant on mode is designed to work for the following subset of the -- above factors: -- - AC Phase - out of the box defaults, which is 240 degrees for SIII type -- families (includes SIV, HCIII, HCIV), else 90 degrees -- - MR Settings - DDR - CL 3 only -- - DDR2 - CL 3,4,5,6, AL 0 -- - DDR3 - CL 5,6 CWL 5, AL 0 -- - Data rate - All -- - Families - All -- - Memory type - All -- -- Hints on bespoke setup for parameters outside the above or if the -- datapath is modified (only for VHDL sim mode): -- -- Step 1 - Run simulation with REDUCE_SIM_TIME mode 2 (FAST) -- -- Step 2 - From the output log find the following text: -- # ----------------------------------------------------------------------- -- **** ALTMEMPHY CALIBRATION has completed **** -- Status: -- calibration has : PASSED -- PHY read latency (ctl_rlat) is : 14 -- address/command to PHY write latency (ctl_wlat) is : 2 -- read resynch phase calibration report: -- calibrated centre of data valid window phase : 32 -- calibrated centre of data valid window size : 24 -- chosen address and command 1T delay: no 1T delay -- poa 'dec' adjustments = 27 -- rdv 'dec' adjustments = 25 -- # ----------------------------------------------------------------------- -- -- Step 3 - Convert the text to bespoke instant on settings at the end of the -- setup_instant_on function using the -- override_instant_on function, note type is t_preset_cal -- -- The mapping is as follows: -- -- PHY read latency (ctl_rlat) is : 14 => rlat := 14 -- address/command to PHY write latency (ctl_wlat) is : 2 => wlat := 2 -- read resynch phase calibration report: -- calibrated centre of data valid window phase : 32 => codvw_phase := 32 -- calibrated centre of data valid window size : 24 => codvw_size := 24 -- chosen address and command 1T delay: no 1T delay => ac_1t := '0' -- poa 'dec' adjustments = 27 => poa_lat := 27 -- rdv 'dec' adjustments = 25 => rdv_lat := 25 -- -- Step 4 - Try running in REDUCE_SIM_TIME mode 1 (SUPERFAST mode) -- -- Step 5 - If still fails observe the behaviour of the controller, for the -- following symptoms: -- - If first 2 beats of read data lost (POA enable too late) - inc poa_lat by 1 (poa_lat is number of POA decrements not actual latency) -- - If last 2 beats of read data lost (POA enable too early) - dec poa_lat by 1 -- - If ctl_rdata_valid misaligned to ctl_rdata then alter number of RDV adjustments (rdv_lat) -- - If write data is not 4-beat aligned (when written into memory) toggle ac_1t (HR only) -- - If read data is not 4-beat aligned (but write data is) add 360 degrees to phase (PLL_STEPS_PER_CYCLE) mod 2*PLL_STEPS_PER_CYCLE (HR only) -- -- Step 6 - If the above fails revert to REDUCE_SIM_TIME = 2 (FAST) mode -- -- -------------------------------------------------------------------------- -- defaults function defaults return t_preset_cal is variable output : t_preset_cal; begin output.codvw_phase := 0; output.codvw_size := 0; output.wlat := 0; output.rlat := 0; output.rdv_lat := 0; output.ac_1t := '1'; -- default on for FR output.poa_lat := 0; return output; end function; -- Functions to extract values from MR -- return cl (for DDR memory 2*cl because of 1/2 cycle latencies) procedure mr0_to_cl (memory_type : string; mr0 : std_logic_vector(15 downto 0); cl : out natural; half_cl : out std_logic) is variable v_cl : natural; begin half_cl := '0'; if memory_type = "DDR" then -- DDR memories -- returns cl*2 because of 1/2 latencies v_cl := to_integer(unsigned(mr0(5 downto 4))); -- integer values of cl if mr0(6) = '0' then assert v_cl > 1 report record_report_prefix & "invalid cas latency for DDR memory, should be in range 1.5-3" severity failure; end if; if mr0(6) = '1' then assert (v_cl = 1 or v_cl = 2) report record_report_prefix & "invalid cas latency for DDR memory, should be in range 1.5-3" severity failure; half_cl := '1'; end if; elsif memory_type = "DDR2" then -- DDR2 memories v_cl := to_integer(unsigned(mr0(6 downto 4))); -- sanity checks assert (v_cl > 1 and v_cl < 7) report record_report_prefix & "invalid cas latency for DDR2 memory, should be in range 2-6 but equals " & integer'image(v_cl) severity failure; elsif memory_type = "DDR3" then -- DDR3 memories v_cl := to_integer(unsigned(mr0(6 downto 4)))+4; --sanity checks assert mr0(2) = '0' report record_report_prefix & "invalid cas latency for DDR3 memory, bit a2 in mr0 is set" severity failure; assert v_cl /= 4 report record_report_prefix & "invalid cas latency for DDR3 memory, bits a6:4 set to zero" severity failure; else report record_report_prefix & "Undefined memory type " & memory_type severity failure; end if; cl := v_cl; end procedure; function mr1_to_al (memory_type : string; mr1 : std_logic_vector(15 downto 0); cl : natural) return natural is variable al : natural; begin if memory_type = "DDR" then -- DDR memories -- unsupported so return zero al := 0; elsif memory_type = "DDR2" then -- DDR2 memories al := to_integer(unsigned(mr1(5 downto 3))); assert al < 6 report record_report_prefix & "invalid additive latency for DDR2 memory, should be in range 0-5 but equals " & integer'image(al) severity failure; elsif memory_type = "DDR3" then -- DDR3 memories al := to_integer(unsigned(mr1(4 downto 3))); assert al /= 3 report record_report_prefix & "invalid additive latency for DDR2 memory, should be in range 0-5 but equals " & integer'image(al) severity failure; if al /= 0 then -- CL-1 or CL-2 al := cl - al; end if; else report record_report_prefix & "Undefined memory type " & memory_type severity failure; end if; return al; end function; -- return cwl function mr2_to_cwl (memory_type : string; mr2 : std_logic_vector(15 downto 0); cl : natural) return natural is variable cwl : natural; begin if memory_type = "DDR" then -- DDR memories cwl := 1; elsif memory_type = "DDR2" then -- DDR2 memories cwl := cl - 1; elsif memory_type = "DDR3" then -- DDR3 memories cwl := to_integer(unsigned(mr2(5 downto 3))) + 5; --sanity checks assert cwl < 9 report record_report_prefix & "invalid cas write latency for DDR3 memory, should be in range 5-8 but equals " & integer'image(cwl) severity failure; else report record_report_prefix & "Undefined memory type " & memory_type severity failure; end if; return cwl; end function; -- ----------------------------------- -- Functions to determine which family group -- Include any family alias here -- ----------------------------------- function is_siii(family_id : natural) return boolean is begin if family_id = 3 or family_id = 5 then return true; else return false; end if; end function; function is_ciii(family_id : natural) return boolean is begin if family_id = 2 then return true; else return false; end if; end function; function is_aii(family_id : natural) return boolean is begin if family_id = 4 then return true; else return false; end if; end function; function is_sii(family_id : natural) return boolean is begin if family_id = 1 then return true; else return false; end if; end function; -- ----------------------------------- -- Functions to lookup hardcoded values -- on per family basis -- DDR: CL = 3 -- DDR2: CL = 6, CWL = 5, AL = 0 -- DDR3: CL = 6, CWL = 5, AL = 0 -- ----------------------------------- -- default ac phase = 240 function siii_family_settings (dwidth_ratio : integer; memory_type : string; pll_steps : natural ) return t_preset_cal is variable v_output : t_preset_cal; begin v_output := defaults; if memory_type = "DDR" then -- CAS = 3 if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 15; v_output.rdv_lat := 11; v_output.poa_lat := 11; else v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 15; v_output.rdv_lat := 23; v_output.ac_1t := '0'; v_output.poa_lat := 24; end if; elsif memory_type = "DDR2" then -- CAS = 6 if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 5; v_output.rlat := 16; v_output.rdv_lat := 10; v_output.poa_lat := 8; else v_output.codvw_phase := pll_steps/4; v_output.wlat := 3; v_output.rlat := 16; v_output.rdv_lat := 21; v_output.ac_1t := '0'; v_output.poa_lat := 22; end if; elsif memory_type = "DDR3" then -- HR only, CAS = 6 v_output.codvw_phase := pll_steps/4; v_output.wlat := 2; v_output.rlat := 15; v_output.rdv_lat := 23; v_output.ac_1t := '0'; v_output.poa_lat := 24; end if; -- adapt settings for ac_phase (default 240 degrees so leave commented) -- if dwidth_ratio = 2 then -- v_output.wlat := v_output.wlat - 1; -- v_output.rlat := v_output.rlat - 1; -- v_output.rdv_lat := v_output.rdv_lat + 1; -- v_output.poa_lat := v_output.poa_lat + 1; -- else -- v_output.ac_1t := not v_output.ac_1t; -- end if; v_output.codvw_size := pll_steps; return v_output; end function; -- default ac phase = 90 function ciii_family_settings (dwidth_ratio : integer; memory_type : string; pll_steps : natural) return t_preset_cal is variable v_output : t_preset_cal; begin v_output := defaults; if memory_type = "DDR" then -- CAS = 3 if dwidth_ratio = 2 then v_output.codvw_phase := 3*pll_steps/4; v_output.wlat := 1; v_output.rlat := 15; v_output.rdv_lat := 11; v_output.poa_lat := 11; --unused else v_output.codvw_phase := 3*pll_steps/4; v_output.wlat := 1; v_output.rlat := 13; v_output.rdv_lat := 27; v_output.ac_1t := '1'; v_output.poa_lat := 27; --unused end if; elsif memory_type = "DDR2" then -- CAS = 6 if dwidth_ratio = 2 then v_output.codvw_phase := 3*pll_steps/4; v_output.wlat := 5; v_output.rlat := 18; v_output.rdv_lat := 8; v_output.poa_lat := 8; --unused else v_output.codvw_phase := pll_steps + 3*pll_steps/4; v_output.wlat := 3; v_output.rlat := 14; v_output.rdv_lat := 25; v_output.ac_1t := '1'; v_output.poa_lat := 25; --unused end if; end if; -- adapt settings for ac_phase (hardcode for 90 degrees) if dwidth_ratio = 2 then v_output.wlat := v_output.wlat + 1; v_output.rlat := v_output.rlat + 1; v_output.rdv_lat := v_output.rdv_lat - 1; v_output.poa_lat := v_output.poa_lat - 1; else v_output.ac_1t := not v_output.ac_1t; end if; v_output.codvw_size := pll_steps/2; return v_output; end function; -- default ac phase = 90 function sii_family_settings (dwidth_ratio : integer; memory_type : string; pll_steps : natural) return t_preset_cal is variable v_output : t_preset_cal; begin v_output := defaults; if memory_type = "DDR" then -- CAS = 3 if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 15; v_output.rdv_lat := 11; v_output.poa_lat := 13; else v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 13; v_output.rdv_lat := 27; v_output.ac_1t := '1'; v_output.poa_lat := 22; end if; elsif memory_type = "DDR2" then if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 5; v_output.rlat := 18; v_output.rdv_lat := 8; v_output.poa_lat := 10; else v_output.codvw_phase := pll_steps + pll_steps/4; v_output.wlat := 3; v_output.rlat := 14; v_output.rdv_lat := 25; v_output.ac_1t := '1'; v_output.poa_lat := 20; end if; end if; -- adapt settings for ac_phase (hardcode for 90 degrees) if dwidth_ratio = 2 then v_output.wlat := v_output.wlat + 1; v_output.rlat := v_output.rlat + 1; v_output.rdv_lat := v_output.rdv_lat - 1; v_output.poa_lat := v_output.poa_lat - 1; else v_output.ac_1t := not v_output.ac_1t; end if; v_output.codvw_size := pll_steps; return v_output; end function; -- default ac phase = 90 function aii_family_settings (dwidth_ratio : integer; memory_type : string; pll_steps : natural) return t_preset_cal is variable v_output : t_preset_cal; begin v_output := defaults; if memory_type = "DDR" then -- CAS = 3 if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 16; v_output.rdv_lat := 10; v_output.poa_lat := 15; else v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 13; v_output.rdv_lat := 27; v_output.ac_1t := '1'; v_output.poa_lat := 24; end if; elsif memory_type = "DDR2" then if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 5; v_output.rlat := 19; v_output.rdv_lat := 9; v_output.poa_lat := 12; else v_output.codvw_phase := pll_steps + pll_steps/4; v_output.wlat := 3; v_output.rlat := 14; v_output.rdv_lat := 25; v_output.ac_1t := '1'; v_output.poa_lat := 22; end if; elsif memory_type = "DDR3" then -- HR only, CAS = 6 v_output.codvw_phase := pll_steps + pll_steps/4; v_output.wlat := 3; v_output.rlat := 14; v_output.rdv_lat := 25; v_output.ac_1t := '1'; v_output.poa_lat := 22; end if; -- adapt settings for ac_phase (hardcode for 90 degrees) if dwidth_ratio = 2 then v_output.wlat := v_output.wlat + 1; v_output.rlat := v_output.rlat + 1; v_output.rdv_lat := v_output.rdv_lat - 1; v_output.poa_lat := v_output.poa_lat - 1; else v_output.ac_1t := not v_output.ac_1t; end if; v_output.codvw_size := pll_steps; return v_output; end function; function is_odd(num : integer) return boolean is variable v_num : integer; begin v_num := num; if v_num - (v_num/2)*2 = 0 then return false; else return true; end if; end function; ------------------------------------------------ -- top level function to setup instant on mode ------------------------------------------------ function override_instant_on return t_preset_cal is variable v_output : t_preset_cal; begin v_output := defaults; -- add in overrides here return v_output; end function; function setup_instant_on (sim_time_red : natural; family_id : natural; memory_type : string; dwidth_ratio : natural; pll_steps : natural; mr0 : std_logic_vector(15 downto 0); mr1 : std_logic_vector(15 downto 0); mr2 : std_logic_vector(15 downto 0)) return t_preset_cal is variable v_output : t_preset_cal; variable v_cl : natural; -- cas latency variable v_half_cl : std_logic; -- + 0.5 cycles (DDR only) variable v_al : natural; -- additive latency (ddr2/ddr3 only) variable v_cwl : natural; -- cas write latency (ddr3 only) variable v_rl : integer range 0 to 15; variable v_wl : integer; variable v_delta_rl : integer range -10 to 10; -- from given defaults variable v_delta_wl : integer; -- from given defaults variable v_debug : boolean; begin v_debug := true; v_output := defaults; if sim_time_red = 1 then -- only set if STR equals 1 -- ---------------------------------------- -- extract required parameters from MRs -- ---------------------------------------- mr0_to_cl(memory_type, mr0, v_cl, v_half_cl); v_al := mr1_to_al(memory_type, mr1, v_cl); v_cwl := mr2_to_cwl(memory_type, mr2, v_cl); v_rl := v_cl + v_al; v_wl := v_cwl + v_al; if v_debug then report record_report_prefix & "Extracted MR parameters" & LF & "CAS = " & integer'image(v_cl) & LF & "CWL = " & integer'image(v_cwl) & LF & "AL = " & integer'image(v_al) & LF; end if; -- ---------------------------------------- -- apply per family, memory type and dwidth_ratio static setup -- ---------------------------------------- if is_siii(family_id) then v_output := siii_family_settings(dwidth_ratio, memory_type, pll_steps); elsif is_ciii(family_id) then v_output := ciii_family_settings(dwidth_ratio, memory_type, pll_steps); elsif is_aii(family_id) then v_output := aii_family_settings(dwidth_ratio, memory_type, pll_steps); elsif is_sii(family_id) then v_output := sii_family_settings(dwidth_ratio, memory_type, pll_steps); end if; -- ---------------------------------------- -- correct for different cwl, cl and al settings -- ---------------------------------------- if memory_type = "DDR" then v_delta_rl := v_rl - c_ddr_default_rl; v_delta_wl := v_wl - c_ddr_default_wl; elsif memory_type = "DDR2" then v_delta_rl := v_rl - c_ddr2_default_rl; v_delta_wl := v_wl - c_ddr2_default_wl; else -- DDR3 v_delta_rl := v_rl - c_ddr3_default_rl; v_delta_wl := v_wl - c_ddr3_default_wl; end if; if v_debug then report record_report_prefix & "Extracted memory latency (and delta from default)" & LF & "RL = " & integer'image(v_rl) & LF & "WL = " & integer'image(v_wl) & LF & "delta RL = " & integer'image(v_delta_rl) & LF & "delta WL = " & integer'image(v_delta_wl) & LF; end if; if dwidth_ratio = 2 then -- adjust rdp settings v_output.rlat := v_output.rlat + v_delta_rl; v_output.rdv_lat := v_output.rdv_lat - v_delta_rl; v_output.poa_lat := v_output.poa_lat - v_delta_rl; -- adjust wdp settings v_output.wlat := v_output.wlat + v_delta_wl; elsif dwidth_ratio = 4 then -- adjust wdp settings v_output.wlat := v_output.wlat + v_delta_wl/2; if is_odd(v_delta_wl) then -- add / sub 1t write latency -- toggle ac_1t in all cases v_output.ac_1t := not v_output.ac_1t; if v_delta_wl < 0 then -- sub 1 from latency if v_output.ac_1t = '0' then -- phy_clk cc boundary v_output.wlat := v_output.wlat - 1; end if; else -- add 1 to latency if v_output.ac_1t = '1' then -- phy_clk cc boundary v_output.wlat := v_output.wlat + 1; end if; end if; -- update read latency if v_output.ac_1t = '1' then -- added 1t to address/command so inc read_lat v_delta_rl := v_delta_rl + 1; else -- subtracted 1t from address/command so dec read_lat v_delta_rl := v_delta_rl - 1; end if; end if; -- adjust rdp settings v_output.rlat := v_output.rlat + v_delta_rl/2; v_output.rdv_lat := v_output.rdv_lat - v_delta_rl; v_output.poa_lat := v_output.poa_lat - v_delta_rl; if memory_type = "DDR3" then if is_odd(v_delta_rl) xor is_odd(v_delta_wl) then if is_aii(family_id) then v_output.rdv_lat := v_output.rdv_lat - 1; v_output.poa_lat := v_output.poa_lat - 1; else v_output.rdv_lat := v_output.rdv_lat + 1; v_output.poa_lat := v_output.poa_lat + 1; end if; end if; end if; if is_odd(v_delta_rl) then if v_delta_rl > 0 then -- add 1t if v_output.codvw_phase < pll_steps then v_output.codvw_phase := v_output.codvw_phase + pll_steps; else v_output.codvw_phase := v_output.codvw_phase - pll_steps; v_output.rlat := v_output.rlat + 1; end if; else -- subtract 1t if v_output.codvw_phase < pll_steps then v_output.codvw_phase := v_output.codvw_phase + pll_steps; v_output.rlat := v_output.rlat - 1; else v_output.codvw_phase := v_output.codvw_phase - pll_steps; end if; end if; end if; end if; if v_half_cl = '1' and is_ciii(family_id) then v_output.codvw_phase := v_output.codvw_phase - pll_steps/2; end if; end if; return v_output; end function; -- END nios_altmemddr_0_phy_alt_mem_phy_record_pkg; --/* Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your -- use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any -- output files any of the foregoing (including device programming or -- simulation files), and any associated documentation or information are -- expressly subject to the terms and conditions of the Altera Program -- License Subscription Agreement or other applicable license agreement, -- including, without limitation, that your use is for the sole purpose -- of programming logic devices manufactured by Altera and sold by Altera -- or its authorized distributors. Please refer to the applicable -- agreement for further details. */ -- -- ----------------------------------------------------------------------------- -- Abstract : address and command package, shared between all variations of -- the AFI sequencer -- The address and command package (alt_mem_phy_addr_cmd_pkg) is -- used to combine DRAM address and command signals in one record -- and unify the functions operating on this record. -- -- -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- package nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg is -- the following are bounds on the maximum range of address and command signals constant c_max_addr_bits : natural := 15; constant c_max_ba_bits : natural := 3; constant c_max_ranks : natural := 16; constant c_max_mode_reg_bit : natural := 12; constant c_max_cmds_per_clk : natural := 4; -- quarter rate -- a prefix for all report signals to identify phy and sequencer block -- constant ac_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (addr_cmd_pkg) : "; -- ------------------------------------------------------------- -- this record represents a single mem_clk command cycle -- ------------------------------------------------------------- type t_addr_cmd is record addr : natural range 0 to 2**c_max_addr_bits - 1; ba : natural range 0 to 2**c_max_ba_bits - 1; cas_n : boolean; ras_n : boolean; we_n : boolean; cke : natural range 0 to 2**c_max_ranks - 1; -- bounded max of 8 ranks cs_n : natural range 2**c_max_ranks - 1 downto 0; -- bounded max of 8 ranks odt : natural range 0 to 2**c_max_ranks - 1; -- bounded max of 8 ranks rst_n : boolean; end record t_addr_cmd; -- ------------------------------------------------------------- -- this vector is used to describe the fact that for slower clock domains -- mutiple commands per clock can be issued and encapsulates all these options in a -- type which can scale with rate -- ------------------------------------------------------------- type t_addr_cmd_vector is array (natural range <>) of t_addr_cmd; -- ------------------------------------------------------------- -- this record is used to define the memory interface type and allow packing and checking -- (it should be used as a generic to a entity or from a poject level constant) -- ------------------------------------------------------------- -- enumeration for mem_type type t_mem_type is ( DDR, DDR2, DDR3 ); -- memory interface configuration parameters type t_addr_cmd_config_rec is record num_addr_bits : natural; num_ba_bits : natural; num_cs_bits : natural; num_ranks : natural; cmds_per_clk : natural range 1 to c_max_cmds_per_clk; -- commands per clock cycle (equal to DWIDTH_RATIO/2) mem_type : t_mem_type; end record; -- ----------------------------------- -- the following type is used to switch between signals -- (for example, in the mask function below) -- ----------------------------------- type t_addr_cmd_signals is ( addr, ba, cas_n, ras_n, we_n, cke, cs_n, odt, rst_n ); -- ----------------------------------- -- odt record -- to hold the odt settings -- (an odt_record) per rank (in odt_array) -- ----------------------------------- type t_odt_record is record write : natural; read : natural; end record t_odt_record; type t_odt_array is array (natural range <>) of t_odt_record; -- ------------------------------------------------------------- -- exposed functions and procedures -- -- these functions cover the following memory types: -- DDR3, DDR2, DDR -- -- and the following operations: -- MRS, REF, PRE, PREA, ACT, -- WR, WRS8, WRS4, WRA, WRAS8, WRAS4, -- RD, RDS8, RDS4, RDA, RDAS8, RDAS4, -- -- for DDR3 on the fly burst length setting for reads/writes -- is supported -- ------------------------------------------------------------- function defaults ( config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector; function reset ( config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector; function int_pup_reset ( config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector; function deselect ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector ) return t_addr_cmd_vector; function precharge_all ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function precharge_all ( config_rec : in t_addr_cmd_config_rec; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function precharge_bank ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1; bank : in natural range 0 to 2**c_max_ba_bits -1 ) return t_addr_cmd_vector; function activate ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; row : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1 ) return t_addr_cmd_vector; function write ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd_vector; function read ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd_vector; function refresh ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function self_refresh_entry ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function load_mode ( config_rec : in t_addr_cmd_config_rec; mode_register_num : in natural range 0 to 3; mode_reg_value : in std_logic_vector(c_max_mode_reg_bit downto 0); ranks : in natural range 0 to 2**c_max_ranks -1; remap_addr_and_ba : in boolean ) return t_addr_cmd_vector; function dll_reset ( config_rec : in t_addr_cmd_config_rec; mode_reg_val : in std_logic_vector; rank_num : in natural range 0 to 2**c_max_ranks - 1; reorder_addr_bits : in boolean ) return t_addr_cmd_vector; function enter_sr_pd_mode ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function maintain_pd_or_sr ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function exit_sr_pd_mode ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function ZQCS ( config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function ZQCL ( config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function all_unreversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd_vector; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd_vector; function all_reversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd_vector; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd_vector; function program_rdimm_register ( config_rec : in t_addr_cmd_config_rec; control_word_addr : in std_logic_vector(3 downto 0); control_word_data : in std_logic_vector(3 downto 0) ) return t_addr_cmd_vector; -- ------------------------------------------------------------- -- the following function sets up the odt settings -- NOTES: currently only supports DDR/DDR2 memories -- ------------------------------------------------------------- -- odt setting as implemented in the altera high-performance controller for ddr2 memories function set_odt_values (ranks : natural; ranks_per_slot : natural; mem_type : in string ) return t_odt_array; -- ------------------------------------------------------------- -- the following function enables assignment to the constant config_rec -- ------------------------------------------------------------- function set_config_rec ( num_addr_bits : in natural; num_ba_bits : in natural; num_cs_bits : in natural; num_ranks : in natural; dwidth_ratio : in natural range 1 to c_max_cmds_per_clk; mem_type : in string ) return t_addr_cmd_config_rec; -- The non-levelled sequencer doesn't make a distinction between CS_WIDTH and NUM_RANKS. In this case, -- just set the two to be the same. function set_config_rec ( num_addr_bits : in natural; num_ba_bits : in natural; num_cs_bits : in natural; dwidth_ratio : in natural range 1 to c_max_cmds_per_clk; mem_type : in string ) return t_addr_cmd_config_rec; -- ------------------------------------------------------------- -- the following function and procedure unpack address and -- command signals from the t_addr_cmd_vector format -- ------------------------------------------------------------- procedure unpack_addr_cmd_vector( addr_cmd_vector : in t_addr_cmd_vector; config_rec : in t_addr_cmd_config_rec; addr : out std_logic_vector; ba : out std_logic_vector; cas_n : out std_logic_vector; ras_n : out std_logic_vector; we_n : out std_logic_vector; cke : out std_logic_vector; cs_n : out std_logic_vector; odt : out std_logic_vector; rst_n : out std_logic_vector); procedure unpack_addr_cmd_vector( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal addr : out std_logic_vector; signal ba : out std_logic_vector; signal cas_n : out std_logic_vector; signal ras_n : out std_logic_vector; signal we_n : out std_logic_vector; signal cke : out std_logic_vector; signal cs_n : out std_logic_vector; signal odt : out std_logic_vector; signal rst_n : out std_logic_vector); -- ------------------------------------------------------------- -- the following functions perform bit masking to 0 or 1 (as -- specified by mask_value) to a chosen address/command signal (signal_name) -- across all signal bits or to a selected bit (mask_bit) -- ------------------------------------------------------------- -- mask all signal bits procedure function mask ( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic) return t_addr_cmd_vector; procedure mask( config_rec : in t_addr_cmd_config_rec; signal addr_cmd_vector : inout t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic); -- mask signal bit (mask_bit) procedure function mask ( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic; mask_bit : in natural) return t_addr_cmd_vector; -- end nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg; -- package body nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg IS -- ------------------------------------------------------------- -- Basic functions for a single command -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- defaults the bus no JEDEC abbreviated name -- ------------------------------------------------------------- function defaults ( config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval.addr := 0; v_retval.ba := 0; v_retval.cas_n := false; v_retval.ras_n := false; v_retval.we_n := false; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1; v_retval.odt := 0; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- resets the addr/cmd signal (Same as default with cke and rst_n 0 ) -- ------------------------------------------------------------- function reset ( config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval := defaults(config_rec); v_retval.cke := 0; if config_rec.mem_type = DDR3 then v_retval.rst_n := true; end if; return v_retval; end function; -- ------------------------------------------------------------- -- issues deselect (command) JEDEC abbreviated name: DES -- ------------------------------------------------------------- function deselect ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval := previous; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- issues a precharge all command JEDEC abbreviated name: PREA -- ------------------------------------------------------------- function precharge_all( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_addr : unsigned( c_max_addr_bits -1 downto 0); begin v_retval := previous; v_addr := to_unsigned(previous.addr, c_max_addr_bits); v_addr(10) := '1'; -- set AP bit high v_retval.addr := to_integer(v_addr); v_retval.ras_n := true; v_retval.cas_n := false; v_retval.we_n := true; v_retval.cs_n := (2 ** config_rec.num_cs_bits) - 1 - ranks; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- precharge (close) a bank JEDEC abbreviated name: PRE -- ------------------------------------------------------------- function precharge_bank( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; ranks : in natural range 0 to 2**c_max_ranks -1; bank : in natural range 0 to 2**c_max_ba_bits -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_addr : unsigned( c_max_addr_bits -1 downto 0); begin v_retval := previous; v_addr := to_unsigned(previous.addr, c_max_addr_bits); v_addr(10) := '0'; -- set AP bit low v_retval.addr := to_integer(v_addr); v_retval.ba := bank; v_retval.ras_n := true; v_retval.cas_n := false; v_retval.we_n := true; v_retval.cs_n := (2 ** config_rec.num_cs_bits) - ranks; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- Issues a activate (open row) JEDEC abbreviated name: ACT -- ------------------------------------------------------------- function activate (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; bank : in natural range 0 to 2**c_max_ba_bits - 1; row : in natural range 0 to 2**c_max_addr_bits - 1; ranks : in natural range 0 to 2**c_max_ranks - 1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval.addr := row; v_retval.ba := bank; v_retval.cas_n := false; v_retval.ras_n := true; v_retval.we_n := false; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - ranks; v_retval.odt := previous.odt; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- issues a write command JEDEC abbreviated name:WR, WRA -- WRS4, WRAS4 -- WRS8, WRAS8 -- has the ability to support: -- DDR3: -- BL4, BL8, fixed BL -- Auto Precharge (AP) -- DDR2, DDR: -- fixed BL -- Auto Precharge (AP) -- ------------------------------------------------------------- function write (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks -1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_addr : unsigned(c_max_addr_bits-1 downto 0); begin -- calculate correct address signal v_addr := to_unsigned(col, c_max_addr_bits); -- note pin A10 is used for AP, therfore shift the value from A10 onto A11. v_retval.addr := to_integer(v_addr(9 downto 0)); if v_addr(10) = '1' then v_retval.addr := v_retval.addr + 2**11; end if; if auto_prech = true then -- set AP bit (A10) v_retval.addr := v_retval.addr + 2**10; end if; if config_rec.mem_type = DDR3 then if op_length = 8 then -- set BL_OTF sel bit (A12) v_retval.addr := v_retval.addr + 2**12; elsif op_length = 4 then null; else report ac_report_prefix & "DDR3 DRAM only supports writes of burst length 4 or 8, the requested length was: " & integer'image(op_length) severity failure; end if; elsif config_rec.mem_type = DDR2 or config_rec.mem_type = DDR then null; else report ac_report_prefix & "only DDR memories are supported for memory writes" severity failure; end if; -- set a/c signal assignments for write v_retval.ba := bank; v_retval.cas_n := true; v_retval.ras_n := false; v_retval.we_n := true; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - ranks; v_retval.odt := ranks; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- issues a read command JEDEC abbreviated name: RD, RDA -- RDS4, RDAS4 -- RDS8, RDAS8 -- has the ability to support: -- DDR3: -- BL4, BL8, fixed BL -- Auto Precharge (AP) -- DDR2, DDR: -- fixed BL, Auto Precharge (AP) -- ------------------------------------------------------------- function read (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks -1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_addr : unsigned(c_max_addr_bits-1 downto 0); begin -- calculate correct address signal v_addr := to_unsigned(col, c_max_addr_bits); -- note pin A10 is used for AP, therfore shift the value from A10 onto A11. v_retval.addr := to_integer(v_addr(9 downto 0)); if v_addr(10) = '1' then v_retval.addr := v_retval.addr + 2**11; end if; if auto_prech = true then -- set AP bit (A10) v_retval.addr := v_retval.addr + 2**10; end if; if config_rec.mem_type = DDR3 then if op_length = 8 then -- set BL_OTF sel bit (A12) v_retval.addr := v_retval.addr + 2**12; elsif op_length = 4 then null; else report ac_report_prefix & "DDR3 DRAM only supports reads of burst length 4 or 8" severity failure; end if; elsif config_rec.mem_type = DDR2 or config_rec.mem_type = DDR then null; else report ac_report_prefix & "only DDR memories are supported for memory reads" severity failure; end if; -- set a/c signals for read command v_retval.ba := bank; v_retval.cas_n := true; v_retval.ras_n := false; v_retval.we_n := false; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - ranks; v_retval.odt := 0; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- issues a refresh command JEDEC abbreviated name: REF -- ------------------------------------------------------------- function refresh (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval := previous; v_retval.cas_n := true; v_retval.ras_n := true; v_retval.we_n := false; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - ranks; v_retval.rst_n := false; -- addr, BA and ODT are don't care therfore leave as previous value return v_retval; end function; -- ------------------------------------------------------------- -- issues a mode register set command JEDEC abbreviated name: MRS -- ------------------------------------------------------------- function load_mode ( config_rec : in t_addr_cmd_config_rec; mode_register_num : in natural range 0 to 3; mode_reg_value : in std_logic_vector(c_max_mode_reg_bit downto 0); ranks : in natural range 0 to 2**c_max_ranks -1; remap_addr_and_ba : in boolean ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_addr_remap : unsigned(c_max_mode_reg_bit downto 0); begin v_retval.cas_n := true; v_retval.ras_n := true; v_retval.we_n := true; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - ranks; v_retval.odt := 0; v_retval.rst_n := false; v_retval.ba := mode_register_num; v_retval.addr := to_integer(unsigned(mode_reg_value)); if remap_addr_and_ba = true then v_addr_remap := unsigned(mode_reg_value); v_addr_remap(8 downto 7) := v_addr_remap(7) & v_addr_remap(8); v_addr_remap(6 downto 5) := v_addr_remap(5) & v_addr_remap(6); v_addr_remap(4 downto 3) := v_addr_remap(3) & v_addr_remap(4); v_retval.addr := to_integer(v_addr_remap); v_addr_remap := to_unsigned(mode_register_num, c_max_mode_reg_bit + 1); v_addr_remap(1 downto 0) := v_addr_remap(0) & v_addr_remap(1); v_retval.ba := to_integer(v_addr_remap); end if; return v_retval; end function; -- ------------------------------------------------------------- -- maintains SR or PD mode on slected ranks. -- ------------------------------------------------------------- function maintain_pd_or_sr (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval := previous; v_retval.cke := (2 ** config_rec.num_ranks) - 1 - ranks; return v_retval; end function; -- ------------------------------------------------------------- -- issues a ZQ cal (short) JEDEC abbreviated name: ZQCS -- NOTE - can only be issued to a single RANK at a time. -- ------------------------------------------------------------- function ZQCS (config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval.cas_n := false; v_retval.ras_n := false; v_retval.we_n := true; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - rank; v_retval.rst_n := false; v_retval.addr := 0; -- clear bit 10 v_retval.ba := 0; v_retval.odt := 0; return v_retval; end function; -- ------------------------------------------------------------- -- issues a ZQ cal (long) JEDEC abbreviated name: ZQCL -- NOTE - can only be issued to a single RANK at a time. -- ------------------------------------------------------------- function ZQCL (config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval.cas_n := false; v_retval.ras_n := false; v_retval.we_n := true; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - rank; v_retval.rst_n := false; v_retval.addr := 1024; -- set bit 10 v_retval.ba := 0; v_retval.odt := 0; return v_retval; end function; -- ------------------------------------------------------------- -- functions acting on all clock cycles from whatever rate -- in halfrate clock domain issues 1 command per clock -- in quarter rate issues 1 command per clock -- In the above cases they will be correctly aligned using the -- ALTMEMPHY 2T and 4T SDC -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- defaults the bus no JEDEC abbreviated name -- ------------------------------------------------------------- function defaults (config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_retval := (others => defaults(config_rec)); return v_retval; end function; -- ------------------------------------------------------------- -- resets the addr/cmd signal (same as default with cke 0) -- ------------------------------------------------------------- function reset (config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_retval := (others => reset(config_rec)); return v_retval; end function; function int_pup_reset (config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector is variable v_addr_cmd_config_rst : t_addr_cmd_config_rec; begin v_addr_cmd_config_rst := config_rec; v_addr_cmd_config_rst.num_ranks := c_max_ranks; return reset(v_addr_cmd_config_rst); end function; -- ------------------------------------------------------------- -- issues a deselect command JEDEC abbreviated name: DES -- ------------------------------------------------------------- function deselect ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector ) return t_addr_cmd_vector is alias a_previous : t_addr_cmd_vector(previous'range) is previous; variable v_retval : t_addr_cmd_vector(a_previous'range); begin for rate in a_previous'range loop v_retval(rate) := deselect(config_rec, a_previous(a_previous'high)); end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a precharge all command JEDEC abbreviated name: PREA -- ------------------------------------------------------------- function precharge_all ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is alias a_previous : t_addr_cmd_vector(previous'range) is previous; variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in a_previous'range loop v_retval(rate) := precharge_all(config_rec, previous(a_previous'high), ranks); -- use dwidth_ratio/2 as in FR = 0 , HR = 1, and in future QR = 2 tCK setup + 1 tCK hold if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- precharge (close) a bank JEDEC abbreviated name: PRE -- ------------------------------------------------------------- function precharge_bank ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1; bank : in natural range 0 to 2**c_max_ba_bits -1 ) return t_addr_cmd_vector is alias a_previous : t_addr_cmd_vector(previous'range) is previous; variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in a_previous'range loop v_retval(rate) := precharge_bank(config_rec, previous(a_previous'high), ranks, bank); -- use dwidth_ratio/2 as in FR = 0 , HR = 1, and in future QR = 2 tCK setup + 1 tCK hold if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a activate (open row) JEDEC abbreviated name: ACT -- ------------------------------------------------------------- function activate ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; row : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in previous'range loop v_retval(rate) := activate(config_rec, previous(previous'high), bank, row, ranks); -- use dwidth_ratio/2 as in FR = 0 , HR = 1, and in future QR = 2 tCK setup + 1 tCK hold if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a write command JEDEC abbreviated name:WR, WRA -- WRS4, WRAS4 -- WRS8, WRAS8 -- -- has the ability to support: -- DDR3: -- BL4, BL8, fixed BL -- Auto Precharge (AP) -- DDR2, DDR: -- fixed BL -- Auto Precharge (AP) -- ------------------------------------------------------------- function write ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in previous'range loop v_retval(rate) := write(config_rec, previous(previous'high), bank, col, ranks, op_length, auto_prech); -- use dwidth_ratio/2 as in FR = 0 , HR = 1, and in future QR = 2 tCK setup + 1 tCK hold if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a read command JEDEC abbreviated name: RD, RDA -- RDS4, RDAS4 -- RDS8, RDAS8 -- has the ability to support: -- DDR3: -- BL4, BL8, fixed BL -- Auto Precharge (AP) -- DDR2, DDR: -- fixed BL, Auto Precharge (AP) -- ------------------------------------------------------------- function read ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in previous'range loop v_retval(rate) := read(config_rec, previous(previous'high), bank, col, ranks, op_length, auto_prech); -- use dwidth_ratio/2 as in FR = 0 , HR = 1, and in future QR = 2 tCK setup + 1 tCK hold if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a refresh command JEDEC abbreviated name: REF -- ------------------------------------------------------------- function refresh (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 )return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in previous'range loop v_retval(rate) := refresh(config_rec, previous(previous'high), ranks); if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a self_refresh_entry command JEDEC abbreviated name: SRE -- ------------------------------------------------------------- function self_refresh_entry (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 )return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_retval := enter_sr_pd_mode(config_rec, refresh(config_rec, previous, ranks), ranks); return v_retval; end function; -- ------------------------------------------------------------- -- issues a self_refresh exit or power_down exit command -- JEDEC abbreviated names: SRX, PDX -- ------------------------------------------------------------- function exit_sr_pd_mode ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); variable v_mask_workings : std_logic_vector(config_rec.num_ranks -1 downto 0); variable v_mask_workings_b : std_logic_vector(config_rec.num_ranks -1 downto 0); begin v_retval := maintain_pd_or_sr(config_rec, previous, ranks); v_mask_workings_b := std_logic_vector(to_unsigned(ranks, config_rec.num_ranks)); for rate in 0 to config_rec.cmds_per_clk - 1 loop v_mask_workings := std_logic_vector(to_unsigned(v_retval(rate).cke, config_rec.num_ranks)); for i in v_mask_workings_b'range loop v_mask_workings(i) := v_mask_workings(i) or v_mask_workings_b(i); end loop; if rate >= config_rec.cmds_per_clk / 2 then -- maintain command but clear CS of subsequenct command slots v_retval(rate).cke := to_integer(unsigned(v_mask_workings)); -- almost irrelevant. but optimises logic slightly for Quater rate end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- cause the selected ranks to enter Self-refresh or Powerdown mode -- JEDEC abbreviated names: PDE, -- SRE (if a refresh is concurrently issued to the same ranks) -- ------------------------------------------------------------- function enter_sr_pd_mode ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); variable v_mask_workings : std_logic_vector(config_rec.num_ranks -1 downto 0); variable v_mask_workings_b : std_logic_vector(config_rec.num_ranks -1 downto 0); begin v_retval := previous; v_mask_workings_b := std_logic_vector(to_unsigned(ranks, config_rec.num_ranks)); for rate in 0 to config_rec.cmds_per_clk - 1 loop if rate >= config_rec.cmds_per_clk / 2 then -- maintain command but clear CS of subsequenct command slots v_mask_workings := std_logic_vector(to_unsigned(v_retval(rate).cke, config_rec.num_ranks)); for i in v_mask_workings_b'range loop v_mask_workings(i) := v_mask_workings(i) and not v_mask_workings_b(i); end loop; v_retval(rate).cke := to_integer(unsigned(v_mask_workings)); -- almost irrelevant. but optimises logic slightly for Quater rate end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- Issues a mode register set command JEDEC abbreviated name: MRS -- ------------------------------------------------------------- function load_mode ( config_rec : in t_addr_cmd_config_rec; mode_register_num : in natural range 0 to 3; mode_reg_value : in std_logic_vector(c_max_mode_reg_bit downto 0); ranks : in natural range 0 to 2**c_max_ranks -1; remap_addr_and_ba : in boolean ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_retval := (others => load_mode(config_rec, mode_register_num, mode_reg_value, ranks, remap_addr_and_ba)); for rate in v_retval'range loop if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- maintains SR or PD mode on slected ranks. -- NOTE: does not affect previous command -- ------------------------------------------------------------- function maintain_pd_or_sr ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for command in v_retval'range loop v_retval(command) := maintain_pd_or_sr(config_rec, previous(command), ranks); end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a ZQ cal (long) JEDEC abbreviated name: ZQCL -- NOTE - can only be issued to a single RANK ata a time. -- ------------------------------------------------------------- function ZQCL ( config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1) := defaults(config_rec); begin for command in v_retval'range loop v_retval(command) := ZQCL(config_rec, rank); if command * 2 /= config_rec.cmds_per_clk then v_retval(command).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a ZQ cal (short) JEDEC abbreviated name: ZQCS -- NOTE - can only be issued to a single RANK ata a time. -- ------------------------------------------------------------- function ZQCS ( config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1) := defaults(config_rec); begin for command in v_retval'range loop v_retval(command) := ZQCS(config_rec, rank); if command * 2 /= config_rec.cmds_per_clk then v_retval(command).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ---------------------- -- Additional Rank manipulation functions (main use DDR3) -- ------------- -- ----------------------------------- -- set the chip select for a group of ranks -- ----------------------------------- function all_reversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_mask_workings : std_logic_vector(config_rec.num_cs_bits-1 downto 0); begin v_retval := record_to_mask; v_mask_workings := std_logic_vector(to_unsigned(record_to_mask.cs_n, config_rec.num_cs_bits)); for i in mem_ac_swapped_ranks'range loop v_mask_workings(i):= v_mask_workings(i) or not mem_ac_swapped_ranks(i); end loop; v_retval.cs_n := to_integer(unsigned(v_mask_workings)); return v_retval; end function; -- ----------------------------------- -- inverse of the above -- ----------------------------------- function all_unreversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_mask_workings : std_logic_vector(config_rec.num_cs_bits-1 downto 0); begin v_retval := record_to_mask; v_mask_workings := std_logic_vector(to_unsigned(record_to_mask.cs_n, config_rec.num_cs_bits)); for i in mem_ac_swapped_ranks'range loop v_mask_workings(i):= v_mask_workings(i) or mem_ac_swapped_ranks(i); end loop; v_retval.cs_n := to_integer(unsigned(v_mask_workings)); return v_retval; end function; -- ----------------------------------- -- set the chip select for a group of ranks in a way which handles diffrent rates -- ----------------------------------- function all_unreversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd_vector; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1) := defaults(config_rec); begin for command in record_to_mask'range loop v_retval(command) := all_unreversed_ranks(config_rec, record_to_mask(command), mem_ac_swapped_ranks); end loop; return v_retval; end function; -- ----------------------------------- -- inverse of the above handling ranks -- ----------------------------------- function all_reversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd_vector; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1) := defaults(config_rec); begin for command in record_to_mask'range loop v_retval(command) := all_reversed_ranks(config_rec, record_to_mask(command), mem_ac_swapped_ranks); end loop; return v_retval; end function; -- -------------------------------------------------- -- Program a single control word onto RDIMM. -- This is accomplished rather goofily by asserting all chip selects -- and then writing out both the addr/data of the word onto the addr/ba bus -- -------------------------------------------------- function program_rdimm_register ( config_rec : in t_addr_cmd_config_rec; control_word_addr : in std_logic_vector(3 downto 0); control_word_data : in std_logic_vector(3 downto 0) ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable ba : std_logic_vector(2 downto 0); variable addr : std_logic_vector(4 downto 0); begin v_retval := defaults(config_rec); v_retval.cs_n := 0; ba := control_word_addr(3) & control_word_data(3) & control_word_data(2); v_retval.ba := to_integer(unsigned(ba)); addr := control_word_data(1) & control_word_data(0) & control_word_addr(2) & control_word_addr(1) & control_word_addr(0); v_retval.addr := to_integer(unsigned(addr)); return v_retval; end function; function program_rdimm_register ( config_rec : in t_addr_cmd_config_rec; control_word_addr : in std_logic_vector(3 downto 0); control_word_data : in std_logic_vector(3 downto 0) ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_retval := (others => program_rdimm_register(config_rec, control_word_addr, control_word_data)); return v_retval; end function; -- -------------------------------------------------- -- overloaded functions, to simplify use, or provide simplified functionality -- -------------------------------------------------- -- ---------------------------------------------------- -- Precharge all, defaulting all bits. -- ---------------------------------------------------- function precharge_all ( config_rec : in t_addr_cmd_config_rec; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1) := defaults(config_rec); begin v_retval := precharge_all(config_rec, v_retval, ranks); return v_retval; end function; -- ---------------------------------------------------- -- perform DLL reset through mode registers -- ---------------------------------------------------- function dll_reset ( config_rec : in t_addr_cmd_config_rec; mode_reg_val : in std_logic_vector; rank_num : in natural range 0 to 2**c_max_ranks - 1; reorder_addr_bits : in boolean ) return t_addr_cmd_vector is variable int_mode_reg : std_logic_vector(mode_reg_val'range); variable output : t_addr_cmd_vector(0 to config_rec.cmds_per_clk - 1); begin int_mode_reg := mode_reg_val; int_mode_reg(8) := '1'; -- set DLL reset bit. output := load_mode(config_rec, 0, int_mode_reg, rank_num, reorder_addr_bits); return output; end function; -- ------------------------------------------------------------- -- package configuration functions -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- the following function sets up the odt settings -- NOTES: supports DDR/DDR2/DDR3 SDRAM memories -- ------------------------------------------------------------- function set_odt_values (ranks : natural; ranks_per_slot : natural; mem_type : in string ) return t_odt_array is variable v_num_slots : natural; variable v_cs : natural range 0 to ranks-1; variable v_odt_values : t_odt_array(0 to ranks-1); variable v_cs_addr : unsigned(ranks-1 downto 0); begin if mem_type = "DDR" then -- ODT not supported for DDR memory so set default off for v_cs in 0 to ranks-1 loop v_odt_values(v_cs).write := 0; v_odt_values(v_cs).read := 0; end loop; elsif mem_type = "DDR2" then -- odt setting as implemented in the altera high-performance controller for ddr2 memories assert (ranks rem ranks_per_slot = 0) report ac_report_prefix & "number of ranks per slot must be a multiple of number of ranks" severity failure; v_num_slots := ranks/ranks_per_slot; if v_num_slots = 1 then -- special condition for 1 slot (i.e. DIMM) (2^n, n=0,1,2,... ranks only) -- set odt on one chip for writes and no odt for reads for v_cs in 0 to ranks-1 loop v_odt_values(v_cs).write := 2**v_cs; -- on on the rank being written to v_odt_values(v_cs).read := 0; end loop; else -- if > 1 slot, set 1 odt enable on neighbouring slot for read and write -- as an example consider the below for 4 slots with 2 ranks per slot -- access to CS[0] or CS[1], enable ODT[2] or ODT[3] -- access to CS[2] or CS[3], enable ODT[0] or ODT[1] -- access to CS[4] or CS[5], enable ODT[6] or ODT[7] -- access to CS[6] or CS[7], enable ODT[4] or ODT[5] -- the logic below implements the above for varying ranks and ranks_per slot -- under the condition that ranks/ranks_per_slot is integer for v_cs in 0 to ranks-1 loop v_cs_addr := to_unsigned(v_cs, ranks); v_cs_addr(ranks_per_slot-1) := not v_cs_addr(ranks_per_slot-1); v_odt_values(v_cs).write := 2**to_integer(v_cs_addr); v_odt_values(v_cs).read := v_odt_values(v_cs).write; end loop; end if; elsif mem_type = "DDR3" then assert (ranks rem ranks_per_slot = 0) report ac_report_prefix & "number of ranks per slot must be a multiple of number of ranks" severity failure; v_num_slots := ranks/ranks_per_slot; if v_num_slots = 1 then -- special condition for 1 slot (i.e. DIMM) (2^n, n=0,1,2,... ranks only) -- set odt on one chip for writes and no odt for reads for v_cs in 0 to ranks-1 loop v_odt_values(v_cs).write := 2**v_cs; -- on on the rank being written to v_odt_values(v_cs).read := 0; end loop; else -- if > 1 slot, set 1 odt enable on neighbouring slot for read and write -- as an example consider the below for 4 slots with 2 ranks per slot -- access to CS[0] or CS[1], enable ODT[2] or ODT[3] -- access to CS[2] or CS[3], enable ODT[0] or ODT[1] -- access to CS[4] or CS[5], enable ODT[6] or ODT[7] -- access to CS[6] or CS[7], enable ODT[4] or ODT[5] -- the logic below implements the above for varying ranks and ranks_per slot -- under the condition that ranks/ranks_per_slot is integer for v_cs in 0 to ranks-1 loop v_cs_addr := to_unsigned(v_cs, ranks); v_cs_addr(ranks_per_slot-1) := not v_cs_addr(ranks_per_slot-1); v_odt_values(v_cs).write := 2**to_integer(v_cs_addr) + 2**(v_cs); -- turn on a neighbouring slots cs and current rank being written to v_odt_values(v_cs).read := 2**to_integer(v_cs_addr); end loop; end if; else report ac_report_prefix & "unknown mem_type specified in the set_odt_values function in addr_cmd_pkg package" severity failure; end if; return v_odt_values; end function; -- ----------------------------------------------------------- -- set constant values to config_rec -- ---------------------------------------------------------- function set_config_rec ( num_addr_bits : in natural; num_ba_bits : in natural; num_cs_bits : in natural; num_ranks : in natural; dwidth_ratio : in natural range 1 to c_max_cmds_per_clk; mem_type : in string ) return t_addr_cmd_config_rec is variable v_config_rec : t_addr_cmd_config_rec; begin v_config_rec.num_addr_bits := num_addr_bits; v_config_rec.num_ba_bits := num_ba_bits; v_config_rec.num_cs_bits := num_cs_bits; v_config_rec.num_ranks := num_ranks; v_config_rec.cmds_per_clk := dwidth_ratio/2; if mem_type = "DDR" then v_config_rec.mem_type := DDR; elsif mem_type = "DDR2" then v_config_rec.mem_type := DDR2; elsif mem_type = "DDR3" then v_config_rec.mem_type := DDR3; else report ac_report_prefix & "unknown mem_type specified in the set_config_rec function in addr_cmd_pkg package" severity failure; end if; return v_config_rec; end function; -- The non-levelled sequencer doesn't make a distinction between CS_WIDTH and NUM_RANKS. In this case, -- just set the two to be the same. function set_config_rec ( num_addr_bits : in natural; num_ba_bits : in natural; num_cs_bits : in natural; dwidth_ratio : in natural range 1 to c_max_cmds_per_clk; mem_type : in string ) return t_addr_cmd_config_rec is begin return set_config_rec(num_addr_bits, num_ba_bits, num_cs_bits, num_cs_bits, dwidth_ratio, mem_type); end function; -- ----------------------------------------------------------- -- unpack and pack address and command signals from and to t_addr_cmd_vector -- ----------------------------------------------------------- -- ------------------------------------------------------------- -- convert from t_addr_cmd_vector to expanded addr/cmd signals -- ------------------------------------------------------------- procedure unpack_addr_cmd_vector( addr_cmd_vector : in t_addr_cmd_vector; config_rec : in t_addr_cmd_config_rec; addr : out std_logic_vector; ba : out std_logic_vector; cas_n : out std_logic_vector; ras_n : out std_logic_vector; we_n : out std_logic_vector; cke : out std_logic_vector; cs_n : out std_logic_vector; odt : out std_logic_vector; rst_n : out std_logic_vector ) is variable v_mem_if_ranks : natural range 0 to 2**c_max_ranks - 1; variable v_vec_len : natural range 1 to 4; variable v_addr : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_addr_bits - 1 downto 0); variable v_ba : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ba_bits - 1 downto 0); variable v_odt : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ranks - 1 downto 0); variable v_cs_n : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_cs_bits - 1 downto 0); variable v_cke : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ranks - 1 downto 0); variable v_cas_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_ras_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_we_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_rst_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); begin v_vec_len := config_rec.cmds_per_clk; v_mem_if_ranks := config_rec.num_ranks; for v_i in 0 to v_vec_len-1 loop assert addr_cmd_vector(v_i).addr < 2**config_rec.num_addr_bits report ac_report_prefix & "value of addr exceeds range of number of address bits in unpack_addr_cmd_vector procedure" severity failure; assert addr_cmd_vector(v_i).ba < 2**config_rec.num_ba_bits report ac_report_prefix & "value of ba exceeds range of number of bank address bits in unpack_addr_cmd_vector procedure" severity failure; assert addr_cmd_vector(v_i).odt < 2**v_mem_if_ranks report ac_report_prefix & "value of odt exceeds range of number of ranks in unpack_addr_cmd_vector procedure" severity failure; assert addr_cmd_vector(v_i).cs_n < 2**config_rec.num_cs_bits report ac_report_prefix & "value of cs_n exceeds range of number of ranks in unpack_addr_cmd_vector procedure" severity failure; assert addr_cmd_vector(v_i).cke < 2**v_mem_if_ranks report ac_report_prefix & "value of cke exceeds range of number of ranks in unpack_addr_cmd_vector procedure" severity failure; v_addr((v_i+1)*config_rec.num_addr_bits - 1 downto v_i*config_rec.num_addr_bits) := std_logic_vector(to_unsigned(addr_cmd_vector(v_i).addr,config_rec.num_addr_bits)); v_ba((v_i+1)*config_rec.num_ba_bits - 1 downto v_i*config_rec.num_ba_bits) := std_logic_vector(to_unsigned(addr_cmd_vector(v_i).ba,config_rec.num_ba_bits)); v_cke((v_i+1)*v_mem_if_ranks - 1 downto v_i*v_mem_if_ranks) := std_logic_vector(to_unsigned(addr_cmd_vector(v_i).cke,v_mem_if_ranks)); v_cs_n((v_i+1)*config_rec.num_cs_bits - 1 downto v_i*config_rec.num_cs_bits) := std_logic_vector(to_unsigned(addr_cmd_vector(v_i).cs_n,config_rec.num_cs_bits)); v_odt((v_i+1)*v_mem_if_ranks - 1 downto v_i*v_mem_if_ranks) := std_logic_vector(to_unsigned(addr_cmd_vector(v_i).odt,v_mem_if_ranks)); if (addr_cmd_vector(v_i).cas_n) then v_cas_n(v_i) := '0'; else v_cas_n(v_i) := '1'; end if; if (addr_cmd_vector(v_i).ras_n) then v_ras_n(v_i) := '0'; else v_ras_n(v_i) := '1'; end if; if (addr_cmd_vector(v_i).we_n) then v_we_n(v_i) := '0'; else v_we_n(v_i) := '1'; end if; if (addr_cmd_vector(v_i).rst_n) then v_rst_n(v_i) := '0'; else v_rst_n(v_i) := '1'; end if; end loop; addr := v_addr; ba := v_ba; cke := v_cke; cs_n := v_cs_n; odt := v_odt; cas_n := v_cas_n; ras_n := v_ras_n; we_n := v_we_n; rst_n := v_rst_n; end procedure; procedure unpack_addr_cmd_vector( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal addr : out std_logic_vector; signal ba : out std_logic_vector; signal cas_n : out std_logic_vector; signal ras_n : out std_logic_vector; signal we_n : out std_logic_vector; signal cke : out std_logic_vector; signal cs_n : out std_logic_vector; signal odt : out std_logic_vector; signal rst_n : out std_logic_vector ) is variable v_mem_if_ranks : natural range 0 to 2**c_max_ranks - 1; variable v_vec_len : natural range 1 to 4; variable v_seq_ac_addr : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_addr_bits - 1 downto 0); variable v_seq_ac_ba : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ba_bits - 1 downto 0); variable v_seq_ac_cas_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_seq_ac_ras_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_seq_ac_we_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_seq_ac_cke : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ranks - 1 downto 0); variable v_seq_ac_cs_n : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_cs_bits - 1 downto 0); variable v_seq_ac_odt : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ranks - 1 downto 0); variable v_seq_ac_rst_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); begin unpack_addr_cmd_vector ( addr_cmd_vector, config_rec, v_seq_ac_addr, v_seq_ac_ba, v_seq_ac_cas_n, v_seq_ac_ras_n, v_seq_ac_we_n, v_seq_ac_cke, v_seq_ac_cs_n, v_seq_ac_odt, v_seq_ac_rst_n); addr <= v_seq_ac_addr; ba <= v_seq_ac_ba; cas_n <= v_seq_ac_cas_n; ras_n <= v_seq_ac_ras_n; we_n <= v_seq_ac_we_n; cke <= v_seq_ac_cke; cs_n <= v_seq_ac_cs_n; odt <= v_seq_ac_odt; rst_n <= v_seq_ac_rst_n; end procedure; -- ----------------------------------------------------------- -- function to mask each bit of signal signal_name in addr_cmd_ -- ----------------------------------------------------------- -- ----------------------------------------------------------- -- function to mask each bit of signal signal_name in addr_cmd_vector with mask_value -- ----------------------------------------------------------- function mask ( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic ) return t_addr_cmd_vector is variable v_i : integer; variable v_addr_cmd_vector : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_addr_cmd_vector := addr_cmd_vector; for v_i in 0 to (config_rec.cmds_per_clk)-1 loop case signal_name is when addr => if (mask_value = '0') then v_addr_cmd_vector(v_i).addr := 0; else v_addr_cmd_vector(v_i).addr := (2 ** config_rec.num_addr_bits) - 1; end if; when ba => if (mask_value = '0') then v_addr_cmd_vector(v_i).ba := 0; else v_addr_cmd_vector(v_i).ba := (2 ** config_rec.num_ba_bits) - 1; end if; when cas_n => if (mask_value = '0') then v_addr_cmd_vector(v_i).cas_n := true; else v_addr_cmd_vector(v_i).cas_n := false; end if; when ras_n => if (mask_value = '0') then v_addr_cmd_vector(v_i).ras_n := true; else v_addr_cmd_vector(v_i).ras_n := false; end if; when we_n => if (mask_value = '0') then v_addr_cmd_vector(v_i).we_n := true; else v_addr_cmd_vector(v_i).we_n := false; end if; when cke => if (mask_value = '0') then v_addr_cmd_vector(v_i).cke := 0; else v_addr_cmd_vector(v_i).cke := (2**config_rec.num_ranks) -1; end if; when cs_n => if (mask_value = '0') then v_addr_cmd_vector(v_i).cs_n := 0; else v_addr_cmd_vector(v_i).cs_n := (2**config_rec.num_cs_bits) -1; end if; when odt => if (mask_value = '0') then v_addr_cmd_vector(v_i).odt := 0; else v_addr_cmd_vector(v_i).odt := (2**config_rec.num_ranks) -1; end if; when rst_n => if (mask_value = '0') then v_addr_cmd_vector(v_i).rst_n := true; else v_addr_cmd_vector(v_i).rst_n := false; end if; when others => report ac_report_prefix & "bit masking not supported for the given signal name" severity failure; end case; end loop; return v_addr_cmd_vector; end function; -- ----------------------------------------------------------- -- procedure to mask each bit of signal signal_name in addr_cmd_vector with mask_value -- ----------------------------------------------------------- procedure mask( config_rec : in t_addr_cmd_config_rec; signal addr_cmd_vector : inout t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic ) is variable v_i : integer; begin for v_i in 0 to (config_rec.cmds_per_clk)-1 loop case signal_name is when addr => if (mask_value = '0') then addr_cmd_vector(v_i).addr <= 0; else addr_cmd_vector(v_i).addr <= (2 ** config_rec.num_addr_bits) - 1; end if; when ba => if (mask_value = '0') then addr_cmd_vector(v_i).ba <= 0; else addr_cmd_vector(v_i).ba <= (2 ** config_rec.num_ba_bits) - 1; end if; when cas_n => if (mask_value = '0') then addr_cmd_vector(v_i).cas_n <= true; else addr_cmd_vector(v_i).cas_n <= false; end if; when ras_n => if (mask_value = '0') then addr_cmd_vector(v_i).ras_n <= true; else addr_cmd_vector(v_i).ras_n <= false; end if; when we_n => if (mask_value = '0') then addr_cmd_vector(v_i).we_n <= true; else addr_cmd_vector(v_i).we_n <= false; end if; when cke => if (mask_value = '0') then addr_cmd_vector(v_i).cke <= 0; else addr_cmd_vector(v_i).cke <= (2**config_rec.num_ranks) -1; end if; when cs_n => if (mask_value = '0') then addr_cmd_vector(v_i).cs_n <= 0; else addr_cmd_vector(v_i).cs_n <= (2**config_rec.num_cs_bits) -1; end if; when odt => if (mask_value = '0') then addr_cmd_vector(v_i).odt <= 0; else addr_cmd_vector(v_i).odt <= (2**config_rec.num_ranks) -1; end if; when rst_n => if (mask_value = '0') then addr_cmd_vector(v_i).rst_n <= true; else addr_cmd_vector(v_i).rst_n <= false; end if; when others => report ac_report_prefix & "masking not supported for the given signal name" severity failure; end case; end loop; end procedure; -- ----------------------------------------------------------- -- function to mask a given bit (mask_bit) of signal signal_name in addr_cmd_vector with mask_value -- ----------------------------------------------------------- function mask ( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic; mask_bit : in natural ) return t_addr_cmd_vector is variable v_i : integer; variable v_addr : std_logic_vector(config_rec.num_addr_bits-1 downto 0); -- v_addr is bit vector of address variable v_ba : std_logic_vector(config_rec.num_ba_bits-1 downto 0); -- v_addr is bit vector of bank address variable v_vec_len : natural range 0 to 4; variable v_addr_cmd_vector : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_addr_cmd_vector := addr_cmd_vector; v_vec_len := config_rec.cmds_per_clk; for v_i in 0 to v_vec_len-1 loop case signal_name is when addr => v_addr := std_logic_vector(to_unsigned(v_addr_cmd_vector(v_i).addr,v_addr'length)); v_addr(mask_bit) := mask_value; v_addr_cmd_vector(v_i).addr := to_integer(unsigned(v_addr)); when ba => v_ba := std_logic_vector(to_unsigned(v_addr_cmd_vector(v_i).ba,v_ba'length)); v_ba(mask_bit) := mask_value; v_addr_cmd_vector(v_i).ba := to_integer(unsigned(v_ba)); when others => report ac_report_prefix & "bit masking not supported for the given signal name" severity failure; end case; end loop; return v_addr_cmd_vector; end function; -- end nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg; -- -- ----------------------------------------------------------------------------- -- Abstract : iram addressing package for the non-levelling AFI PHY sequencer -- The iram address package (alt_mem_phy_iram_addr_pkg) is -- used to define the base addresses used for iram writes -- during calibration. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- package nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg IS constant c_ihi_size : natural := 8; type t_base_hdr_addresses is record base_hdr : natural; rrp : natural; safe_dummy : natural; required_addr_bits : natural; end record; function defaults return t_base_hdr_addresses; function rrp_pll_phase_mult (dwidth_ratio : in natural; dqs_capture : in natural ) return natural; function iram_wd_for_full_rrp ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; dqs_capture : in natural ) return natural; function iram_wd_for_one_pin_rrp ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; dqs_capture : in natural ) return natural; function calc_iram_addresses ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; num_ranks : in natural; dqs_capture : in natural ) return t_base_hdr_addresses; -- end nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg; -- package body nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg IS -- set some safe default values function defaults return t_base_hdr_addresses is variable temp : t_base_hdr_addresses; begin temp.base_hdr := 0; temp.rrp := 0; temp.safe_dummy := 0; temp.required_addr_bits := 1; return temp; end function; -- this function determines now many times the PLL phases are swept through per pin -- i.e. an n * 360 degree phase sweep function rrp_pll_phase_mult (dwidth_ratio : in natural; dqs_capture : in natural ) return natural is variable v_output : natural; begin if dwidth_ratio = 2 and dqs_capture = 1 then v_output := 2; -- if dqs_capture then a 720 degree sweep needed in FR else v_output := (dwidth_ratio/2); end if; return v_output; end function; -- function to calculate how many words are required for a rrp sweep over all pins function iram_wd_for_full_rrp ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; dqs_capture : in natural ) return natural is variable v_output : natural; variable v_phase_mul : natural; begin -- determine the n * 360 degrees of sweep required v_phase_mul := rrp_pll_phase_mult(dwidth_ratio, dqs_capture); -- calculate output size v_output := dq_pins * (((v_phase_mul * pll_phases) + 31) / 32); return v_output; end function; -- function to calculate how many words are required for a rrp sweep over all pins function iram_wd_for_one_pin_rrp ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; dqs_capture : in natural ) return natural is variable v_output : natural; variable v_phase_mul : natural; begin -- determine the n * 360 degrees of sweep required v_phase_mul := rrp_pll_phase_mult(dwidth_ratio, dqs_capture); -- calculate output size v_output := ((v_phase_mul * pll_phases) + 31) / 32; return v_output; end function; -- return iram addresses function calc_iram_addresses ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; num_ranks : in natural; dqs_capture : in natural ) return t_base_hdr_addresses is variable working : t_base_hdr_addresses; variable temp : natural; variable v_required_words : natural; begin working.base_hdr := 0; working.rrp := working.base_hdr + c_ihi_size; -- work out required number of address bits -- + for 1 full rrp calibration v_required_words := iram_wd_for_full_rrp(dwidth_ratio, pll_phases, dq_pins, dqs_capture) + 2; -- +2 for header + footer -- * loop per cs v_required_words := v_required_words * num_ranks; -- + for 1 rrp_seek result v_required_words := v_required_words + 3; -- 1 header, 1 word result, 1 footer -- + 2 mtp_almt passes v_required_words := v_required_words + 2 * (iram_wd_for_one_pin_rrp(dwidth_ratio, pll_phases, dq_pins, dqs_capture) + 2); -- + for 2 read_mtp result calculation v_required_words := v_required_words + 3*2; -- 1 header, 1 word result, 1 footer -- * possible dwidth_ratio/2 iterations for different ac_nt settings v_required_words := v_required_words * (dwidth_ratio / 2); working.safe_dummy := working.rrp + v_required_words; temp := working.safe_dummy; working.required_addr_bits := 0; while (temp >= 1) loop working.required_addr_bits := working.required_addr_bits + 1; temp := temp /2; end loop; return working; end function calc_iram_addresses; -- END nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg; -- -- ----------------------------------------------------------------------------- -- Abstract : register package for the non-levelling AFI PHY sequencer -- The registers package (alt_mem_phy_regs_pkg) is used to -- combine the definition of the registers for the mmi status -- registers and functions/procedures applied to the registers -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- package nios_altmemddr_0_phy_alt_mem_phy_regs_pkg is -- a prefix for all report signals to identify phy and sequencer block -- constant regs_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (register package) : "; -- --------------------------------------------------------------- -- register declarations with associated functions of: -- default - assign default values -- write - write data into the reg (from avalon i/f) -- read - read data from the reg (sent to the avalon i/f) -- write_clear - clear reg to all zeros -- --------------------------------------------------------------- -- TYPE DECLARATIONS -- >>>>>>>>>>>>>>>>>>>>>>>> -- Read Only Registers -- >>>>>>>>>>>>>>>>>>>>>>>> -- cal_status type t_cal_status is record iram_addr_width : std_logic_vector(3 downto 0); out_of_mem : std_logic; contested_access : std_logic; cal_fail : std_logic; cal_success : std_logic; ctrl_err_code : std_logic_vector(7 downto 0); trefi_failure : std_logic; int_ac_1t : std_logic; dqs_capture : std_logic; iram_present : std_logic; active_block : std_logic_vector(3 downto 0); current_stage : std_logic_vector(7 downto 0); end record; -- codvw status type t_codvw_status is record cal_codvw_phase : std_logic_vector(7 downto 0); cal_codvw_size : std_logic_vector(7 downto 0); codvw_trk_shift : std_logic_vector(11 downto 0); codvw_grt_one_dvw : std_logic; end record t_codvw_status; -- test status report type t_test_status is record ack_seen : std_logic_vector(c_hl_ccs_num_stages-1 downto 0); pll_mmi_err : std_logic_vector(1 downto 0); pll_busy : std_logic; end record; -- define all the read only registers : type t_ro_regs is record cal_status : t_cal_status; codvw_status : t_codvw_status; test_status : t_test_status; end record; -- >>>>>>>>>>>>>>>>>>>>>>>> -- Read / Write Registers -- >>>>>>>>>>>>>>>>>>>>>>>> -- Calibration control register type t_hl_css is record hl_css : std_logic_vector(c_hl_ccs_num_stages-1 downto 0); cal_start : std_logic; end record t_hl_css; -- Mode register A type t_mr_register_a is record mr0 : std_logic_vector(c_max_mode_reg_index -1 downto 0); mr1 : std_logic_vector(c_max_mode_reg_index -1 downto 0); end record t_mr_register_a; -- Mode register B type t_mr_register_b is record mr2 : std_logic_vector(c_max_mode_reg_index -1 downto 0); mr3 : std_logic_vector(c_max_mode_reg_index -1 downto 0); end record t_mr_register_b; -- algorithm parameterisation register type t_parameterisation_reg_a is record nominal_poa_phase_lead : std_logic_vector(3 downto 0); maximum_poa_delay : std_logic_vector(3 downto 0); num_phases_per_tck_pll : std_logic_vector(3 downto 0); pll_360_sweeps : std_logic_vector(3 downto 0); nominal_dqs_delay : std_logic_vector(2 downto 0); extend_octrt_by : std_logic_vector(3 downto 0); delay_octrt_by : std_logic_vector(3 downto 0); end record; -- test signal register type t_if_test_reg is record pll_phs_shft_phase_sel : natural range 0 to 15; pll_phs_shft_up_wc : std_logic; pll_phs_shft_dn_wc : std_logic; ac_1t_toggle : std_logic; -- unused tracking_period_ms : std_logic_vector(7 downto 0); -- 0 = as fast as possible approx in ms tracking_units_are_10us : std_logic; end record; -- define all the read/write registers type t_rw_regs is record mr_reg_a : t_mr_register_a; mr_reg_b : t_mr_register_b; rw_hl_css : t_hl_css; rw_param_reg : t_parameterisation_reg_a; rw_if_test : t_if_test_reg; end record; -- >>>>>>>>>>>>>>>>>>>>>>> -- Group all registers -- >>>>>>>>>>>>>>>>>>>>>>> type t_mmi_regs is record rw_regs : t_rw_regs; ro_regs : t_ro_regs; enable_writes : std_logic; end record; -- FUNCTION DECLARATIONS -- >>>>>>>>>>>>>>>>>>>>>>>> -- Read Only Registers -- >>>>>>>>>>>>>>>>>>>>>>>> -- cal_status function defaults return t_cal_status; function defaults ( ctrl_mmi : in t_ctrl_mmi; USE_IRAM : in std_logic; dqs_capture : in natural; int_ac_1t : in std_logic; trefi_failure : in std_logic; iram_status : in t_iram_stat; IRAM_AWIDTH : in natural ) return t_cal_status; function read (reg : t_cal_status) return std_logic_vector; -- codvw status function defaults return t_codvw_status; function defaults ( dgrb_mmi : t_dgrb_mmi ) return t_codvw_status; function read (reg : in t_codvw_status) return std_logic_vector; -- test status report function defaults return t_test_status; function defaults ( ctrl_mmi : in t_ctrl_mmi; pll_mmi : in t_pll_mmi; rw_if_test : t_if_test_reg ) return t_test_status; function read (reg : t_test_status) return std_logic_vector; -- define all the read only registers function defaults return t_ro_regs; function defaults (dgrb_mmi : t_dgrb_mmi; ctrl_mmi : t_ctrl_mmi; pll_mmi : t_pll_mmi; rw_if_test : t_if_test_reg; USE_IRAM : std_logic; dqs_capture : natural; int_ac_1t : std_logic; trefi_failure : std_logic; iram_status : t_iram_stat; IRAM_AWIDTH : natural ) return t_ro_regs; -- >>>>>>>>>>>>>>>>>>>>>>>> -- Read / Write Registers -- >>>>>>>>>>>>>>>>>>>>>>>> -- Calibration control register -- high level calibration stage set register comprises a bit vector for -- the calibration stage coding and the 1 control bit. function defaults return t_hl_css; function write (wdata_in : std_logic_vector(31 downto 0)) return t_hl_css; function read (reg : in t_hl_css) return std_logic_vector; procedure write_clear (signal reg : inout t_hl_css); -- Mode register A -- mode registers 0 and 1 (mr and emr1) function defaults return t_mr_register_a; function defaults ( mr0 : in std_logic_vector; mr1 : in std_logic_vector ) return t_mr_register_a; function write (wdata_in : std_logic_vector(31 downto 0)) return t_mr_register_a; function read (reg : in t_mr_register_a) return std_logic_vector; -- Mode register B -- mode registers 2 and 3 (emr2 and emr3) - not present in ddr DRAM function defaults return t_mr_register_b; function defaults ( mr2 : in std_logic_vector; mr3 : in std_logic_vector ) return t_mr_register_b; function write (wdata_in : std_logic_vector(31 downto 0)) return t_mr_register_b; function read (reg : in t_mr_register_b) return std_logic_vector; -- algorithm parameterisation register function defaults return t_parameterisation_reg_a; function defaults ( NOM_DQS_PHASE_SETTING : in natural; PLL_STEPS_PER_CYCLE : in natural; pll_360_sweeps : in natural ) return t_parameterisation_reg_a; function read ( reg : in t_parameterisation_reg_a) return std_logic_vector; function write (wdata_in : std_logic_vector(31 downto 0)) return t_parameterisation_reg_a; -- test signal register function defaults return t_if_test_reg; function defaults ( TRACKING_INTERVAL_IN_MS : in natural ) return t_if_test_reg; function read ( reg : in t_if_test_reg) return std_logic_vector; function write (wdata_in : std_logic_vector(31 downto 0)) return t_if_test_reg; procedure write_clear (signal reg : inout t_if_test_reg); -- define all the read/write registers function defaults return t_rw_regs; function defaults( mr0 : in std_logic_vector; mr1 : in std_logic_vector; mr2 : in std_logic_vector; mr3 : in std_logic_vector; NOM_DQS_PHASE_SETTING : in natural; PLL_STEPS_PER_CYCLE : in natural; pll_360_sweeps : in natural; TRACKING_INTERVAL_IN_MS : in natural; C_HL_STAGE_ENABLE : in std_logic_vector(c_hl_ccs_num_stages-1 downto 0) )return t_rw_regs; procedure write_clear (signal regs : inout t_rw_regs); -- >>>>>>>>>>>>>>>>>>>>>>> -- Group all registers -- >>>>>>>>>>>>>>>>>>>>>>> function defaults return t_mmi_regs; function v_read (mmi_regs : in t_mmi_regs; address : in natural ) return std_logic_vector; function read (signal mmi_regs : in t_mmi_regs; address : in natural ) return std_logic_vector; procedure write (mmi_regs : inout t_mmi_regs; address : in natural; wdata : in std_logic_vector(31 downto 0)); -- >>>>>>>>>>>>>>>>>>>>>>> -- functions to communicate register settings to other sequencer blocks -- >>>>>>>>>>>>>>>>>>>>>>> function pack_record (ip_regs : t_rw_regs) return t_mmi_pll_reconfig; function pack_record (ip_regs : t_rw_regs) return t_admin_ctrl; function pack_record (ip_regs : t_rw_regs) return t_mmi_ctrl; function pack_record ( ip_regs : t_rw_regs) return t_algm_paramaterisation; -- >>>>>>>>>>>>>>>>>>>>>>> -- helper functions -- >>>>>>>>>>>>>>>>>>>>>>> function to_t_hl_css_reg (hl_css : t_hl_css ) return t_hl_css_reg; function pack_ack_seen ( cal_stage_ack_seen : in t_cal_stage_ack_seen ) return std_logic_vector; -- encoding of stage and active block for register setting function encode_current_stage (ctrl_cmd_id : t_ctrl_cmd_id) return std_logic_vector; function encode_active_block (active_block : t_ctrl_active_block) return std_logic_vector; -- end nios_altmemddr_0_phy_alt_mem_phy_regs_pkg; -- package body nios_altmemddr_0_phy_alt_mem_phy_regs_pkg is -- >>>>>>>>>>>>>>>>>>>> -- Read Only Registers -- >>>>>>>>>>>>>>>>>>> -- --------------------------------------------------------------- -- CODVW status report -- --------------------------------------------------------------- function defaults return t_codvw_status is variable temp: t_codvw_status; begin temp.cal_codvw_phase := (others => '0'); temp.cal_codvw_size := (others => '0'); temp.codvw_trk_shift := (others => '0'); temp.codvw_grt_one_dvw := '0'; return temp; end function; function defaults ( dgrb_mmi : t_dgrb_mmi ) return t_codvw_status is variable temp: t_codvw_status; begin temp := defaults; temp.cal_codvw_phase := dgrb_mmi.cal_codvw_phase; temp.cal_codvw_size := dgrb_mmi.cal_codvw_size; temp.codvw_trk_shift := dgrb_mmi.codvw_trk_shift; temp.codvw_grt_one_dvw := dgrb_mmi.codvw_grt_one_dvw; return temp; end function; function read (reg : in t_codvw_status) return std_logic_vector is variable temp : std_logic_vector(31 downto 0); begin temp := (others => '0'); temp(31 downto 24) := reg.cal_codvw_phase; temp(23 downto 16) := reg.cal_codvw_size; temp(15 downto 4) := reg.codvw_trk_shift; temp(0) := reg.codvw_grt_one_dvw; return temp; end function; -- --------------------------------------------------------------- -- Calibration status report -- --------------------------------------------------------------- function defaults return t_cal_status is variable temp: t_cal_status; begin temp.iram_addr_width := (others => '0'); temp.out_of_mem := '0'; temp.contested_access := '0'; temp.cal_fail := '0'; temp.cal_success := '0'; temp.ctrl_err_code := (others => '0'); temp.trefi_failure := '0'; temp.int_ac_1t := '0'; temp.dqs_capture := '0'; temp.iram_present := '0'; temp.active_block := (others => '0'); temp.current_stage := (others => '0'); return temp; end function; function defaults ( ctrl_mmi : in t_ctrl_mmi; USE_IRAM : in std_logic; dqs_capture : in natural; int_ac_1t : in std_logic; trefi_failure : in std_logic; iram_status : in t_iram_stat; IRAM_AWIDTH : in natural ) return t_cal_status is variable temp : t_cal_status; begin temp := defaults; temp.iram_addr_width := std_logic_vector(to_unsigned(IRAM_AWIDTH, temp.iram_addr_width'length)); temp.out_of_mem := iram_status.out_of_mem; temp.contested_access := iram_status.contested_access; temp.cal_fail := ctrl_mmi.ctrl_calibration_fail; temp.cal_success := ctrl_mmi.ctrl_calibration_success; temp.ctrl_err_code := ctrl_mmi.ctrl_err_code; temp.trefi_failure := trefi_failure; temp.int_ac_1t := int_ac_1t; if dqs_capture = 1 then temp.dqs_capture := '1'; elsif dqs_capture = 0 then temp.dqs_capture := '0'; else report regs_report_prefix & " invalid value for dqs_capture constant of " & integer'image(dqs_capture) severity failure; end if; temp.iram_present := USE_IRAM; temp.active_block := encode_active_block(ctrl_mmi.ctrl_current_active_block); temp.current_stage := encode_current_stage(ctrl_mmi.ctrl_current_stage); return temp; end function; -- read for mmi status register function read ( reg : t_cal_status ) return std_logic_vector is variable output : std_logic_vector(31 downto 0); begin output := (others => '0'); output( 7 downto 0) := reg.current_stage; output(11 downto 8) := reg.active_block; output(12) := reg.iram_present; output(13) := reg.dqs_capture; output(14) := reg.int_ac_1t; output(15) := reg.trefi_failure; output(23 downto 16) := reg.ctrl_err_code; output(24) := reg.cal_success; output(25) := reg.cal_fail; output(26) := reg.contested_access; output(27) := reg.out_of_mem; output(31 downto 28) := reg.iram_addr_width; return output; end function; -- --------------------------------------------------------------- -- Test status report -- --------------------------------------------------------------- function defaults return t_test_status is variable temp: t_test_status; begin temp.ack_seen := (others => '0'); temp.pll_mmi_err := (others => '0'); temp.pll_busy := '0'; return temp; end function; function defaults ( ctrl_mmi : in t_ctrl_mmi; pll_mmi : in t_pll_mmi; rw_if_test : t_if_test_reg ) return t_test_status is variable temp : t_test_status; begin temp := defaults; temp.ack_seen := pack_ack_seen(ctrl_mmi.ctrl_cal_stage_ack_seen); temp.pll_mmi_err := pll_mmi.err; temp.pll_busy := pll_mmi.pll_busy or rw_if_test.pll_phs_shft_up_wc or rw_if_test.pll_phs_shft_dn_wc; return temp; end function; -- read for mmi status register function read ( reg : t_test_status ) return std_logic_vector is variable output : std_logic_vector(31 downto 0); begin output := (others => '0'); output(31 downto 32-c_hl_ccs_num_stages) := reg.ack_seen; output( 5 downto 4) := reg.pll_mmi_err; output(0) := reg.pll_busy; return output; end function; ------------------------------------------------- -- FOR ALL RO REGS: ------------------------------------------------- function defaults return t_ro_regs is variable temp: t_ro_regs; begin temp.cal_status := defaults; temp.codvw_status := defaults; return temp; end function; function defaults (dgrb_mmi : t_dgrb_mmi; ctrl_mmi : t_ctrl_mmi; pll_mmi : t_pll_mmi; rw_if_test : t_if_test_reg; USE_IRAM : std_logic; dqs_capture : natural; int_ac_1t : std_logic; trefi_failure : std_logic; iram_status : t_iram_stat; IRAM_AWIDTH : natural ) return t_ro_regs is variable output : t_ro_regs; begin output := defaults; output.cal_status := defaults(ctrl_mmi, USE_IRAM, dqs_capture, int_ac_1t, trefi_failure, iram_status, IRAM_AWIDTH); output.codvw_status := defaults(dgrb_mmi); output.test_status := defaults(ctrl_mmi, pll_mmi, rw_if_test); return output; end function; -- >>>>>>>>>>>>>>>>>>>>>>>> -- Read / Write registers -- >>>>>>>>>>>>>>>>>>>>>>>> -- --------------------------------------------------------------- -- mode register set A -- --------------------------------------------------------------- function defaults return t_mr_register_a is variable temp :t_mr_register_a; begin temp.mr0 := (others => '0'); temp.mr1 := (others => '0'); return temp; end function; -- apply default mode register settings to register function defaults ( mr0 : in std_logic_vector; mr1 : in std_logic_vector ) return t_mr_register_a is variable temp :t_mr_register_a; begin temp := defaults; temp.mr0 := mr0(temp.mr0'range); temp.mr1 := mr1(temp.mr1'range); return temp; end function; function write (wdata_in : std_logic_vector(31 downto 0)) return t_mr_register_a is variable temp :t_mr_register_a; begin temp.mr0 := wdata_in(c_max_mode_reg_index -1 downto 0); temp.mr1 := wdata_in(c_max_mode_reg_index -1 + 16 downto 16); return temp; end function; function read (reg : in t_mr_register_a) return std_logic_vector is variable temp : std_logic_vector(31 downto 0) := (others => '0'); begin temp(c_max_mode_reg_index -1 downto 0) := reg.mr0; temp(c_max_mode_reg_index -1 + 16 downto 16) := reg.mr1; return temp; end function; -- --------------------------------------------------------------- -- mode register set B -- --------------------------------------------------------------- function defaults return t_mr_register_b is variable temp :t_mr_register_b; begin temp.mr2 := (others => '0'); temp.mr3 := (others => '0'); return temp; end function; -- apply default mode register settings to register function defaults ( mr2 : in std_logic_vector; mr3 : in std_logic_vector ) return t_mr_register_b is variable temp :t_mr_register_b; begin temp := defaults; temp.mr2 := mr2(temp.mr2'range); temp.mr3 := mr3(temp.mr3'range); return temp; end function; function write (wdata_in : std_logic_vector(31 downto 0)) return t_mr_register_b is variable temp :t_mr_register_b; begin temp.mr2 := wdata_in(c_max_mode_reg_index -1 downto 0); temp.mr3 := wdata_in(c_max_mode_reg_index -1 + 16 downto 16); return temp; end function; function read (reg : in t_mr_register_b) return std_logic_vector is variable temp : std_logic_vector(31 downto 0) := (others => '0'); begin temp(c_max_mode_reg_index -1 downto 0) := reg.mr2; temp(c_max_mode_reg_index -1 + 16 downto 16) := reg.mr3; return temp; end function; -- --------------------------------------------------------------- -- HL CSS (high level calibration state status) -- --------------------------------------------------------------- function defaults return t_hl_css is variable temp : t_hl_css; begin temp.hl_css := (others => '0'); temp.cal_start := '0'; return temp; end function; function defaults ( C_HL_STAGE_ENABLE : in std_logic_vector(c_hl_ccs_num_stages-1 downto 0) ) return t_hl_css is variable temp: t_hl_css; begin temp := defaults; temp.hl_css := temp.hl_css OR C_HL_STAGE_ENABLE; return temp; end function; function read ( reg : in t_hl_css) return std_logic_vector is variable temp : std_logic_vector (31 downto 0) := (others => '0'); begin temp(30 downto 30-c_hl_ccs_num_stages+1) := reg.hl_css; temp(0) := reg.cal_start; return temp; end function; function write (wdata_in : std_logic_vector(31 downto 0) )return t_hl_css is variable reg : t_hl_css; begin reg.hl_css := wdata_in(30 downto 30-c_hl_ccs_num_stages+1); reg.cal_start := wdata_in(0); return reg; end function; procedure write_clear (signal reg : inout t_hl_css) is begin reg.cal_start <= '0'; end procedure; -- --------------------------------------------------------------- -- paramaterisation of sequencer through Avalon interface -- --------------------------------------------------------------- function defaults return t_parameterisation_reg_a is variable temp : t_parameterisation_reg_a; begin temp.nominal_poa_phase_lead := (others => '0'); temp.maximum_poa_delay := (others => '0'); temp.pll_360_sweeps := "0000"; temp.num_phases_per_tck_pll := "0011"; temp.nominal_dqs_delay := (others => '0'); temp.extend_octrt_by := "0100"; temp.delay_octrt_by := "0000"; return temp; end function; -- reset the paramterisation reg to given values function defaults ( NOM_DQS_PHASE_SETTING : in natural; PLL_STEPS_PER_CYCLE : in natural; pll_360_sweeps : in natural ) return t_parameterisation_reg_a is variable temp: t_parameterisation_reg_a; begin temp := defaults; temp.num_phases_per_tck_pll := std_logic_vector(to_unsigned(PLL_STEPS_PER_CYCLE /8 , temp.num_phases_per_tck_pll'high + 1 )); temp.pll_360_sweeps := std_logic_vector(to_unsigned(pll_360_sweeps , temp.pll_360_sweeps'high + 1 )); temp.nominal_dqs_delay := std_logic_vector(to_unsigned(NOM_DQS_PHASE_SETTING , temp.nominal_dqs_delay'high + 1 )); temp.extend_octrt_by := std_logic_vector(to_unsigned(5 , temp.extend_octrt_by'high + 1 )); temp.delay_octrt_by := std_logic_vector(to_unsigned(6 , temp.delay_octrt_by'high + 1 )); return temp; end function; function read ( reg : in t_parameterisation_reg_a) return std_logic_vector is variable temp : std_logic_vector (31 downto 0) := (others => '0'); begin temp( 3 downto 0) := reg.pll_360_sweeps; temp( 7 downto 4) := reg.num_phases_per_tck_pll; temp(10 downto 8) := reg.nominal_dqs_delay; temp(19 downto 16) := reg.nominal_poa_phase_lead; temp(23 downto 20) := reg.maximum_poa_delay; temp(27 downto 24) := reg.extend_octrt_by; temp(31 downto 28) := reg.delay_octrt_by; return temp; end function; function write (wdata_in : std_logic_vector(31 downto 0)) return t_parameterisation_reg_a is variable reg : t_parameterisation_reg_a; begin reg.pll_360_sweeps := wdata_in( 3 downto 0); reg.num_phases_per_tck_pll := wdata_in( 7 downto 4); reg.nominal_dqs_delay := wdata_in(10 downto 8); reg.nominal_poa_phase_lead := wdata_in(19 downto 16); reg.maximum_poa_delay := wdata_in(23 downto 20); reg.extend_octrt_by := wdata_in(27 downto 24); reg.delay_octrt_by := wdata_in(31 downto 28); return reg; end function; -- --------------------------------------------------------------- -- t_if_test_reg - additional test support register -- --------------------------------------------------------------- function defaults return t_if_test_reg is variable temp : t_if_test_reg; begin temp.pll_phs_shft_phase_sel := 0; temp.pll_phs_shft_up_wc := '0'; temp.pll_phs_shft_dn_wc := '0'; temp.ac_1t_toggle := '0'; temp.tracking_period_ms := "10000000"; -- 127 ms interval temp.tracking_units_are_10us := '0'; return temp; end function; -- reset the paramterisation reg to given values function defaults ( TRACKING_INTERVAL_IN_MS : in natural ) return t_if_test_reg is variable temp: t_if_test_reg; begin temp := defaults; temp.tracking_period_ms := std_logic_vector(to_unsigned(TRACKING_INTERVAL_IN_MS, temp.tracking_period_ms'length)); return temp; end function; function read ( reg : in t_if_test_reg) return std_logic_vector is variable temp : std_logic_vector (31 downto 0) := (others => '0'); begin temp( 3 downto 0) := std_logic_vector(to_unsigned(reg.pll_phs_shft_phase_sel,4)); temp(4) := reg.pll_phs_shft_up_wc; temp(5) := reg.pll_phs_shft_dn_wc; temp(16) := reg.ac_1t_toggle; temp(15 downto 8) := reg.tracking_period_ms; temp(20) := reg.tracking_units_are_10us; return temp; end function; function write (wdata_in : std_logic_vector(31 downto 0)) return t_if_test_reg is variable reg : t_if_test_reg; begin reg.pll_phs_shft_phase_sel := to_integer(unsigned(wdata_in( 3 downto 0))); reg.pll_phs_shft_up_wc := wdata_in(4); reg.pll_phs_shft_dn_wc := wdata_in(5); reg.ac_1t_toggle := wdata_in(16); reg.tracking_period_ms := wdata_in(15 downto 8); reg.tracking_units_are_10us := wdata_in(20); return reg; end function; procedure write_clear (signal reg : inout t_if_test_reg) is begin reg.ac_1t_toggle <= '0'; reg.pll_phs_shft_up_wc <= '0'; reg.pll_phs_shft_dn_wc <= '0'; end procedure; -- --------------------------------------------------------------- -- RW Regs, record of read/write register records (to simplify handling) -- --------------------------------------------------------------- function defaults return t_rw_regs is variable temp : t_rw_regs; begin temp.mr_reg_a := defaults; temp.mr_reg_b := defaults; temp.rw_hl_css := defaults; temp.rw_param_reg := defaults; temp.rw_if_test := defaults; return temp; end function; function defaults( mr0 : in std_logic_vector; mr1 : in std_logic_vector; mr2 : in std_logic_vector; mr3 : in std_logic_vector; NOM_DQS_PHASE_SETTING : in natural; PLL_STEPS_PER_CYCLE : in natural; pll_360_sweeps : in natural; TRACKING_INTERVAL_IN_MS : in natural; C_HL_STAGE_ENABLE : in std_logic_vector(c_hl_ccs_num_stages-1 downto 0) )return t_rw_regs is variable temp : t_rw_regs; begin temp := defaults; temp.mr_reg_a := defaults(mr0, mr1); temp.mr_reg_b := defaults(mr2, mr3); temp.rw_param_reg := defaults(NOM_DQS_PHASE_SETTING, PLL_STEPS_PER_CYCLE, pll_360_sweeps); temp.rw_if_test := defaults(TRACKING_INTERVAL_IN_MS); temp.rw_hl_css := defaults(C_HL_STAGE_ENABLE); return temp; end function; procedure write_clear (signal regs : inout t_rw_regs) is begin write_clear(regs.rw_if_test); write_clear(regs.rw_hl_css); end procedure; -- >>>>>>>>>>>>>>>>>>>>>>>>>> -- All mmi registers: -- >>>>>>>>>>>>>>>>>>>>>>>>>> function defaults return t_mmi_regs is variable v_mmi_regs : t_mmi_regs; begin v_mmi_regs.rw_regs := defaults; v_mmi_regs.ro_regs := defaults; v_mmi_regs.enable_writes := '0'; return v_mmi_regs; end function; function v_read (mmi_regs : in t_mmi_regs; address : in natural ) return std_logic_vector is variable output : std_logic_vector(31 downto 0); begin output := (others => '0'); case address is -- status register when c_regofst_cal_status => output := read (mmi_regs.ro_regs.cal_status); -- debug access register when c_regofst_debug_access => if (mmi_regs.enable_writes = '1') then output := c_mmi_access_codeword; else output := (others => '0'); end if; -- test i/f to check which stages have acknowledged a command and pll checks when c_regofst_test_status => output := read(mmi_regs.ro_regs.test_status); -- mode registers when c_regofst_mr_register_a => output := read(mmi_regs.rw_regs.mr_reg_a); when c_regofst_mr_register_b => output := read(mmi_regs.rw_regs.mr_reg_b); -- codvw r/o status register when c_regofst_codvw_status => output := read(mmi_regs.ro_regs.codvw_status); -- read/write registers when c_regofst_hl_css => output := read(mmi_regs.rw_regs.rw_hl_css); when c_regofst_if_param => output := read(mmi_regs.rw_regs.rw_param_reg); when c_regofst_if_test => output := read(mmi_regs.rw_regs.rw_if_test); when others => report regs_report_prefix & "MMI registers detected an attempt to read to non-existant register location" severity warning; -- set illegal addr interrupt. end case; return output; end function; function read (signal mmi_regs : in t_mmi_regs; address : in natural ) return std_logic_vector is variable output : std_logic_vector(31 downto 0); variable v_mmi_regs : t_mmi_regs; begin v_mmi_regs := mmi_regs; output := v_read(v_mmi_regs, address); return output; end function; procedure write (mmi_regs : inout t_mmi_regs; address : in natural; wdata : in std_logic_vector(31 downto 0)) is begin -- intercept writes to codeword. This needs to be set for iRAM access : if address = c_regofst_debug_access then if wdata = c_mmi_access_codeword then mmi_regs.enable_writes := '1'; else mmi_regs.enable_writes := '0'; end if; else case address is -- read only registers when c_regofst_cal_status | c_regofst_codvw_status | c_regofst_test_status => report regs_report_prefix & "MMI registers detected an attempt to write to read only register number" & integer'image(address) severity failure; -- read/write registers when c_regofst_mr_register_a => mmi_regs.rw_regs.mr_reg_a := write(wdata); when c_regofst_mr_register_b => mmi_regs.rw_regs.mr_reg_b := write(wdata); when c_regofst_hl_css => mmi_regs.rw_regs.rw_hl_css := write(wdata); when c_regofst_if_param => mmi_regs.rw_regs.rw_param_reg := write(wdata); when c_regofst_if_test => mmi_regs.rw_regs.rw_if_test := write(wdata); when others => -- set illegal addr interrupt. report regs_report_prefix & "MMI registers detected an attempt to write to non existant register, with expected number" & integer'image(address) severity failure; end case; end if; end procedure; -- >>>>>>>>>>>>>>>>>>>>>>>>>> -- the following functions enable register data to be communicated to other sequencer blocks -- >>>>>>>>>>>>>>>>>>>>>>>>>> function pack_record ( ip_regs : t_rw_regs ) return t_algm_paramaterisation is variable output : t_algm_paramaterisation; begin -- default assignments output.num_phases_per_tck_pll := 16; output.pll_360_sweeps := 1; output.nominal_dqs_delay := 2; output.nominal_poa_phase_lead := 1; output.maximum_poa_delay := 5; output.odt_enabled := false; output.num_phases_per_tck_pll := to_integer(unsigned(ip_regs.rw_param_reg.num_phases_per_tck_pll)) * 8; case ip_regs.rw_param_reg.nominal_dqs_delay is when "010" => output.nominal_dqs_delay := 2; when "001" => output.nominal_dqs_delay := 1; when "000" => output.nominal_dqs_delay := 0; when "011" => output.nominal_dqs_delay := 3; when others => report regs_report_prefix & "there is a unsupported number of DQS taps (" & natural'image(to_integer(unsigned(ip_regs.rw_param_reg.nominal_dqs_delay))) & ") being advertised as the standard value" severity error; end case; case ip_regs.rw_param_reg.nominal_poa_phase_lead is when "0001" => output.nominal_poa_phase_lead := 1; when "0010" => output.nominal_poa_phase_lead := 2; when "0011" => output.nominal_poa_phase_lead := 3; when "0000" => output.nominal_poa_phase_lead := 0; when others => report regs_report_prefix & "there is an unsupported nominal postamble phase lead paramater set (" & natural'image(to_integer(unsigned(ip_regs.rw_param_reg.nominal_poa_phase_lead))) & ")" severity error; end case; if ( (ip_regs.mr_reg_a.mr1(2) = '1') or (ip_regs.mr_reg_a.mr1(6) = '1') or (ip_regs.mr_reg_a.mr1(9) = '1') ) then output.odt_enabled := true; end if; output.pll_360_sweeps := to_integer(unsigned(ip_regs.rw_param_reg.pll_360_sweeps)); output.maximum_poa_delay := to_integer(unsigned(ip_regs.rw_param_reg.maximum_poa_delay)); output.extend_octrt_by := to_integer(unsigned(ip_regs.rw_param_reg.extend_octrt_by)); output.delay_octrt_by := to_integer(unsigned(ip_regs.rw_param_reg.delay_octrt_by)); output.tracking_period_ms := to_integer(unsigned(ip_regs.rw_if_test.tracking_period_ms)); return output; end function; function pack_record (ip_regs : t_rw_regs) return t_mmi_pll_reconfig is variable output : t_mmi_pll_reconfig; begin output.pll_phs_shft_phase_sel := ip_regs.rw_if_test.pll_phs_shft_phase_sel; output.pll_phs_shft_up_wc := ip_regs.rw_if_test.pll_phs_shft_up_wc; output.pll_phs_shft_dn_wc := ip_regs.rw_if_test.pll_phs_shft_dn_wc; return output; end function; function pack_record (ip_regs : t_rw_regs) return t_admin_ctrl is variable output : t_admin_ctrl := defaults; begin output.mr0 := ip_regs.mr_reg_a.mr0; output.mr1 := ip_regs.mr_reg_a.mr1; output.mr2 := ip_regs.mr_reg_b.mr2; output.mr3 := ip_regs.mr_reg_b.mr3; return output; end function; function pack_record (ip_regs : t_rw_regs) return t_mmi_ctrl is variable output : t_mmi_ctrl := defaults; begin output.hl_css := to_t_hl_css_reg (ip_regs.rw_hl_css); output.calibration_start := ip_regs.rw_hl_css.cal_start; output.tracking_period_ms := to_integer(unsigned(ip_regs.rw_if_test.tracking_period_ms)); output.tracking_orvd_to_10ms := ip_regs.rw_if_test.tracking_units_are_10us; return output; end function; -- >>>>>>>>>>>>>>>>>>>>>>>>>> -- Helper functions : -- >>>>>>>>>>>>>>>>>>>>>>>>>> function to_t_hl_css_reg (hl_css : t_hl_css ) return t_hl_css_reg is variable output : t_hl_css_reg := defaults; begin output.phy_initialise_dis := hl_css.hl_css(c_hl_css_reg_phy_initialise_dis_bit); output.init_dram_dis := hl_css.hl_css(c_hl_css_reg_init_dram_dis_bit); output.write_ihi_dis := hl_css.hl_css(c_hl_css_reg_write_ihi_dis_bit); output.cal_dis := hl_css.hl_css(c_hl_css_reg_cal_dis_bit); output.write_btp_dis := hl_css.hl_css(c_hl_css_reg_write_btp_dis_bit); output.write_mtp_dis := hl_css.hl_css(c_hl_css_reg_write_mtp_dis_bit); output.read_mtp_dis := hl_css.hl_css(c_hl_css_reg_read_mtp_dis_bit); output.rrp_reset_dis := hl_css.hl_css(c_hl_css_reg_rrp_reset_dis_bit); output.rrp_sweep_dis := hl_css.hl_css(c_hl_css_reg_rrp_sweep_dis_bit); output.rrp_seek_dis := hl_css.hl_css(c_hl_css_reg_rrp_seek_dis_bit); output.rdv_dis := hl_css.hl_css(c_hl_css_reg_rdv_dis_bit); output.poa_dis := hl_css.hl_css(c_hl_css_reg_poa_dis_bit); output.was_dis := hl_css.hl_css(c_hl_css_reg_was_dis_bit); output.adv_rd_lat_dis := hl_css.hl_css(c_hl_css_reg_adv_rd_lat_dis_bit); output.adv_wr_lat_dis := hl_css.hl_css(c_hl_css_reg_adv_wr_lat_dis_bit); output.prep_customer_mr_setup_dis := hl_css.hl_css(c_hl_css_reg_prep_customer_mr_setup_dis_bit); output.tracking_dis := hl_css.hl_css(c_hl_css_reg_tracking_dis_bit); return output; end function; -- pack the ack seen record element into a std_logic_vector function pack_ack_seen ( cal_stage_ack_seen : in t_cal_stage_ack_seen ) return std_logic_vector is variable v_output: std_logic_vector(c_hl_ccs_num_stages-1 downto 0); variable v_start : natural range 0 to c_hl_ccs_num_stages-1; begin v_output := (others => '0'); v_output(c_hl_css_reg_cal_dis_bit ) := cal_stage_ack_seen.cal; v_output(c_hl_css_reg_phy_initialise_dis_bit ) := cal_stage_ack_seen.phy_initialise; v_output(c_hl_css_reg_init_dram_dis_bit ) := cal_stage_ack_seen.init_dram; v_output(c_hl_css_reg_write_ihi_dis_bit ) := cal_stage_ack_seen.write_ihi; v_output(c_hl_css_reg_write_btp_dis_bit ) := cal_stage_ack_seen.write_btp; v_output(c_hl_css_reg_write_mtp_dis_bit ) := cal_stage_ack_seen.write_mtp; v_output(c_hl_css_reg_read_mtp_dis_bit ) := cal_stage_ack_seen.read_mtp; v_output(c_hl_css_reg_rrp_reset_dis_bit ) := cal_stage_ack_seen.rrp_reset; v_output(c_hl_css_reg_rrp_sweep_dis_bit ) := cal_stage_ack_seen.rrp_sweep; v_output(c_hl_css_reg_rrp_seek_dis_bit ) := cal_stage_ack_seen.rrp_seek; v_output(c_hl_css_reg_rdv_dis_bit ) := cal_stage_ack_seen.rdv; v_output(c_hl_css_reg_poa_dis_bit ) := cal_stage_ack_seen.poa; v_output(c_hl_css_reg_was_dis_bit ) := cal_stage_ack_seen.was; v_output(c_hl_css_reg_adv_rd_lat_dis_bit ) := cal_stage_ack_seen.adv_rd_lat; v_output(c_hl_css_reg_adv_wr_lat_dis_bit ) := cal_stage_ack_seen.adv_wr_lat; v_output(c_hl_css_reg_prep_customer_mr_setup_dis_bit) := cal_stage_ack_seen.prep_customer_mr_setup; v_output(c_hl_css_reg_tracking_dis_bit ) := cal_stage_ack_seen.tracking_setup; return v_output; end function; -- reg encoding of current stage function encode_current_stage (ctrl_cmd_id : t_ctrl_cmd_id ) return std_logic_vector is variable output : std_logic_vector(7 downto 0); begin case ctrl_cmd_id is when cmd_idle => output := X"00"; when cmd_phy_initialise => output := X"01"; when cmd_init_dram | cmd_prog_cal_mr => output := X"02"; when cmd_write_ihi => output := X"03"; when cmd_write_btp => output := X"04"; when cmd_write_mtp => output := X"05"; when cmd_read_mtp => output := X"06"; when cmd_rrp_reset => output := X"07"; when cmd_rrp_sweep => output := X"08"; when cmd_rrp_seek => output := X"09"; when cmd_rdv => output := X"0A"; when cmd_poa => output := X"0B"; when cmd_was => output := X"0C"; when cmd_prep_adv_rd_lat => output := X"0D"; when cmd_prep_adv_wr_lat => output := X"0E"; when cmd_prep_customer_mr_setup => output := X"0F"; when cmd_tr_due => output := X"10"; when others => null; report regs_report_prefix & "unknown cal command (" & t_ctrl_cmd_id'image(ctrl_cmd_id) & ") seen in encode_current_stage function" severity failure; end case; return output; end function; -- reg encoding of current active block function encode_active_block (active_block : t_ctrl_active_block ) return std_logic_vector is variable output : std_logic_vector(3 downto 0); begin case active_block is when idle => output := X"0"; when admin => output := X"1"; when dgwb => output := X"2"; when dgrb => output := X"3"; when proc => output := X"4"; when setup => output := X"5"; when iram => output := X"6"; when others => output := X"7"; report regs_report_prefix & "unknown active_block seen in encode_active_block function" severity failure; end case; return output; end function; -- end nios_altmemddr_0_phy_alt_mem_phy_regs_pkg; -- -- ----------------------------------------------------------------------------- -- Abstract : mmi block for the non-levelling AFI PHY sequencer -- This is an optional block with an Avalon interface and status -- register instantiations to enhance the debug capabilities of -- the sequencer. The format of the block is: -- a) an Avalon interface which supports different avalon and -- sequencer clock sources -- b) mmi status registers (which hold information about the -- successof the calibration) -- c) a read interface to the iram to enable debug through the -- avalon interface. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_mmi is generic ( -- physical interface width definitions MEM_IF_DQS_WIDTH : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; MEM_IF_DQS_CAPTURE : natural; DWIDTH_RATIO : natural; CLOCK_INDEX_WIDTH : natural; MEM_IF_CLK_PAIR_COUNT : natural; MEM_IF_ADDR_WIDTH : natural; MEM_IF_BANKADDR_WIDTH : natural; MEM_IF_NUM_RANKS : natural; ADV_LAT_WIDTH : natural; RESYNCHRONISE_AVALON_DBG : natural; AV_IF_ADDR_WIDTH : natural; MEM_IF_MEMTYPE : string; -- setup / algorithm information NOM_DQS_PHASE_SETTING : natural; SCAN_CLK_DIVIDE_BY : natural; RDP_ADDR_WIDTH : natural; PLL_STEPS_PER_CYCLE : natural; IOE_PHASES_PER_TCK : natural; IOE_DELAYS_PER_PHS : natural; MEM_IF_CLK_PS : natural; -- initial mode register settings PHY_DEF_MR_1ST : std_logic_vector(15 downto 0); PHY_DEF_MR_2ND : std_logic_vector(15 downto 0); PHY_DEF_MR_3RD : std_logic_vector(15 downto 0); PHY_DEF_MR_4TH : std_logic_vector(15 downto 0); PRESET_RLAT : natural; -- read latency preset value CAPABILITIES : natural; -- sequencer capabilities flags USE_IRAM : std_logic; -- RFU IRAM_AWIDTH : natural; TRACKING_INTERVAL_IN_MS : natural; READ_LAT_WIDTH : natural ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; --synchronous Avalon debug interface (internally re-synchronised to input clock) dbg_seq_clk : in std_logic; dbg_seq_rst_n : in std_logic; dbg_seq_addr : in std_logic_vector(AV_IF_ADDR_WIDTH -1 downto 0); dbg_seq_wr : in std_logic; dbg_seq_rd : in std_logic; dbg_seq_cs : in std_logic; dbg_seq_wr_data : in std_logic_vector(31 downto 0); seq_dbg_rd_data : out std_logic_vector(31 downto 0); seq_dbg_waitrequest : out std_logic; -- mmi to admin interface regs_admin_ctrl : out t_admin_ctrl; admin_regs_status : in t_admin_stat; trefi_failure : in std_logic; -- mmi to iram interface mmi_iram : out t_iram_ctrl; mmi_iram_enable_writes : out std_logic; iram_status : in t_iram_stat; -- mmi to control interface mmi_ctrl : out t_mmi_ctrl; ctrl_mmi : in t_ctrl_mmi; int_ac_1t : in std_logic; invert_ac_1t : out std_logic; -- global parameterisation record parameterisation_rec : out t_algm_paramaterisation; -- mmi pll interface pll_mmi : in t_pll_mmi; mmi_pll : out t_mmi_pll_reconfig; -- codvw status signals dgrb_mmi : in t_dgrb_mmi ); end entity; library work; -- The registers package (alt_mem_phy_regs_pkg) is used to combine the definition of the -- registers for the mmi status registers and functions/procedures applied to the registers -- use work.nios_altmemddr_0_phy_alt_mem_phy_regs_pkg.all; -- The iram address package (alt_mem_phy_iram_addr_pkg) is used to define the base addresses used -- for iram writes during calibration -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg.all; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_mmi IS -- maximum function function max (a, b : natural) return natural is begin if a > b then return a; else return b; end if; end function; -- ------------------------------------------- -- constant definitions -- ------------------------------------------- constant c_pll_360_sweeps : natural := rrp_pll_phase_mult(DWIDTH_RATIO, MEM_IF_DQS_CAPTURE); constant c_response_lat : natural := 6; constant c_codeword : std_logic_vector(31 downto 0) := c_mmi_access_codeword; constant c_int_iram_start_size : natural := max(IRAM_AWIDTH, 4); -- enable for ctrl state machine states constant c_slv_hl_stage_enable : std_logic_vector(31 downto 0) := std_logic_vector(to_unsigned(CAPABILITIES, 32)); constant c_hl_stage_enable : std_logic_vector(c_hl_ccs_num_stages-1 downto 0) := c_slv_hl_stage_enable(c_hl_ccs_num_stages-1 downto 0); -- a prefix for all report signals to identify phy and sequencer block -- constant mmi_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (mmi) : "; -- -------------------------------------------- -- internal signals -- -------------------------------------------- -- internal clock domain register interface signals signal int_wdata : std_logic_vector(31 downto 0); signal int_rdata : std_logic_vector(31 downto 0); signal int_address : std_logic_vector(AV_IF_ADDR_WIDTH-1 downto 0); signal int_read : std_logic; signal int_cs : std_logic; signal int_write : std_logic; signal waitreq_int : std_logic; -- register storage -- contains: -- read only (ro_regs) -- read/write (rw_regs) -- enable_writes flag signal mmi_regs : t_mmi_regs := defaults; signal mmi_rw_regs_initialised : std_logic; -- this counter ensures that the mmi waits for c_response_lat clocks before -- responding to a new Avalon request signal waitreq_count : natural range 0 to 15; signal waitreq_count_is_zero : std_logic; -- register error signals signal int_ac_1t_r : std_logic; signal trefi_failure_r : std_logic; -- iram ready - calibration complete and USE_IRAM high signal iram_ready : std_logic; begin -- architecture struct -- the following signals are reserved for future use invert_ac_1t <= '0'; -- -------------------------------------------------------------- -- generate for synchronous avalon interface -- -------------------------------------------------------------- simply_registered_avalon : if RESYNCHRONISE_AVALON_DBG = 0 generate begin process (rst_n, clk) begin if rst_n = '0' then int_wdata <= (others => '0'); int_address <= (others => '0'); int_read <= '0'; int_write <= '0'; int_cs <= '0'; elsif rising_edge(clk) then int_wdata <= dbg_seq_wr_data; int_address <= dbg_seq_addr; int_read <= dbg_seq_rd; int_write <= dbg_seq_wr; int_cs <= dbg_seq_cs; end if; end process; seq_dbg_rd_data <= int_rdata; seq_dbg_waitrequest <= waitreq_int and (dbg_seq_rd or dbg_seq_wr) and dbg_seq_cs; end generate simply_registered_avalon; -- -------------------------------------------------------------- -- clock domain crossing for asynchronous mmi interface -- -------------------------------------------------------------- re_synchronise_avalon : if RESYNCHRONISE_AVALON_DBG = 1 generate --clock domain crossing signals signal ccd_new_cmd : std_logic; signal ccd_new_cmd_ack : std_logic; signal ccd_cmd_done : std_logic; signal ccd_cmd_done_ack : std_logic; signal ccd_rd_data : std_logic_vector(dbg_seq_wr_data'range); signal ccd_cmd_done_ack_t : std_logic; signal ccd_cmd_done_ack_2t : std_logic; signal ccd_cmd_done_ack_3t : std_logic; signal ccd_cmd_done_t : std_logic; signal ccd_cmd_done_2t : std_logic; signal ccd_cmd_done_3t : std_logic; signal ccd_new_cmd_t : std_logic; signal ccd_new_cmd_2t : std_logic; signal ccd_new_cmd_3t : std_logic; signal ccd_new_cmd_ack_t : std_logic; signal ccd_new_cmd_ack_2t : std_logic; signal ccd_new_cmd_ack_3t : std_logic; signal cmd_pending : std_logic; signal seq_clk_waitreq_int : std_logic; begin process (rst_n, clk) begin if rst_n = '0' then int_wdata <= (others => '0'); int_address <= (others => '0'); int_read <= '0'; int_write <= '0'; int_cs <= '0'; ccd_new_cmd_ack <= '0'; ccd_new_cmd_t <= '0'; ccd_new_cmd_2t <= '0'; ccd_new_cmd_3t <= '0'; elsif rising_edge(clk) then ccd_new_cmd_t <= ccd_new_cmd; ccd_new_cmd_2t <= ccd_new_cmd_t; ccd_new_cmd_3t <= ccd_new_cmd_2t; if ccd_new_cmd_3t = '0' and ccd_new_cmd_2t = '1' then int_wdata <= dbg_seq_wr_data; int_address <= dbg_seq_addr; int_read <= dbg_seq_rd; int_write <= dbg_seq_wr; int_cs <= '1'; ccd_new_cmd_ack <= '1'; elsif ccd_new_cmd_3t = '1' and ccd_new_cmd_2t = '0' then ccd_new_cmd_ack <= '0'; end if; if int_cs = '1' and waitreq_int= '0' then int_cs <= '0'; int_read <= '0'; int_write <= '0'; end if; end if; end process; -- process to generate new cmd process (dbg_seq_rst_n, dbg_seq_clk) begin if dbg_seq_rst_n = '0' then ccd_new_cmd <= '0'; ccd_new_cmd_ack_t <= '0'; ccd_new_cmd_ack_2t <= '0'; ccd_new_cmd_ack_3t <= '0'; cmd_pending <= '0'; elsif rising_edge(dbg_seq_clk) then ccd_new_cmd_ack_t <= ccd_new_cmd_ack; ccd_new_cmd_ack_2t <= ccd_new_cmd_ack_t; ccd_new_cmd_ack_3t <= ccd_new_cmd_ack_2t; if ccd_new_cmd = '0' and dbg_seq_cs = '1' and cmd_pending = '0' then ccd_new_cmd <= '1'; cmd_pending <= '1'; elsif ccd_new_cmd_ack_2t = '1' and ccd_new_cmd_ack_3t = '0' then ccd_new_cmd <= '0'; end if; -- use falling edge of cmd_done if cmd_pending = '1' and ccd_cmd_done_2t = '0' and ccd_cmd_done_3t = '1' then cmd_pending <= '0'; end if; end if; end process; -- process to take read data back and transfer it across the clock domains process (rst_n, clk) begin if rst_n = '0' then ccd_cmd_done <= '0'; ccd_rd_data <= (others => '0'); ccd_cmd_done_ack_3t <= '0'; ccd_cmd_done_ack_2t <= '0'; ccd_cmd_done_ack_t <= '0'; elsif rising_edge(clk) then if ccd_cmd_done_ack_2t = '1' and ccd_cmd_done_ack_3t = '0' then ccd_cmd_done <= '0'; elsif waitreq_int = '0' then ccd_cmd_done <= '1'; ccd_rd_data <= int_rdata; end if; ccd_cmd_done_ack_3t <= ccd_cmd_done_ack_2t; ccd_cmd_done_ack_2t <= ccd_cmd_done_ack_t; ccd_cmd_done_ack_t <= ccd_cmd_done_ack; end if; end process; process (dbg_seq_rst_n, dbg_seq_clk) begin if dbg_seq_rst_n = '0' then ccd_cmd_done_ack <= '0'; ccd_cmd_done_3t <= '0'; ccd_cmd_done_2t <= '0'; ccd_cmd_done_t <= '0'; seq_dbg_rd_data <= (others => '0'); seq_clk_waitreq_int <= '1'; elsif rising_edge(dbg_seq_clk) then seq_clk_waitreq_int <= '1'; if ccd_cmd_done_2t = '1' and ccd_cmd_done_3t = '0' then seq_clk_waitreq_int <= '0'; ccd_cmd_done_ack <= '1'; seq_dbg_rd_data <= ccd_rd_data; -- if read elsif ccd_cmd_done_2t = '0' and ccd_cmd_done_3t = '1' then ccd_cmd_done_ack <= '0'; end if; ccd_cmd_done_3t <= ccd_cmd_done_2t; ccd_cmd_done_2t <= ccd_cmd_done_t; ccd_cmd_done_t <= ccd_cmd_done; end if; end process; seq_dbg_waitrequest <= seq_clk_waitreq_int and (dbg_seq_rd or dbg_seq_wr) and dbg_seq_cs; end generate re_synchronise_avalon; -- register some inputs for speed. process (rst_n, clk) begin if rst_n = '0' then int_ac_1t_r <= '0'; trefi_failure_r <= '0'; elsif rising_edge(clk) then int_ac_1t_r <= int_ac_1t; trefi_failure_r <= trefi_failure; end if; end process; -- mmi not able to write to iram in current instance of mmi block mmi_iram_enable_writes <= '0'; -- check if iram ready process (rst_n, clk) begin if rst_n = '0' then iram_ready <= '0'; elsif rising_edge(clk) then if USE_IRAM = '0' then iram_ready <= '0'; else if ctrl_mmi.ctrl_calibration_success = '1' or ctrl_mmi.ctrl_calibration_fail = '1' then iram_ready <= '1'; else iram_ready <= '0'; end if; end if; end if; end process; -- -------------------------------------------------------------- -- single registered process for mmi access. -- -------------------------------------------------------------- process (rst_n, clk) variable v_mmi_regs : t_mmi_regs; begin if rst_n = '0' then mmi_regs <= defaults; mmi_rw_regs_initialised <= '0'; -- this register records whether the c_codeword has been written to address 0x0001 -- once it has, then other writes are accepted. mmi_regs.enable_writes <= '0'; int_rdata <= (others => '0'); waitreq_int <= '1'; -- clear wait request counter waitreq_count <= 0; waitreq_count_is_zero <= '1'; -- iram interface defaults mmi_iram <= defaults; elsif rising_edge(clk) then -- default assignment waitreq_int <= '1'; write_clear(mmi_regs.rw_regs); -- only initialise rw_regs once after hard reset if mmi_rw_regs_initialised = '0' then mmi_rw_regs_initialised <= '1'; --reset all read/write regs and read path ouput registers and apply default MRS Settings. mmi_regs.rw_regs <= defaults(PHY_DEF_MR_1ST, PHY_DEF_MR_2ND, PHY_DEF_MR_3RD, PHY_DEF_MR_4TH, NOM_DQS_PHASE_SETTING, PLL_STEPS_PER_CYCLE, c_pll_360_sweeps, -- number of times 360 degrees is swept TRACKING_INTERVAL_IN_MS, c_hl_stage_enable); end if; -- bit packing input data structures into the ro_regs structure, for reading mmi_regs.ro_regs <= defaults(dgrb_mmi, ctrl_mmi, pll_mmi, mmi_regs.rw_regs.rw_if_test, USE_IRAM, MEM_IF_DQS_CAPTURE, int_ac_1t_r, trefi_failure_r, iram_status, IRAM_AWIDTH); -- write has priority over read if int_write = '1' and int_cs = '1' and waitreq_count_is_zero = '1' and waitreq_int = '1' then -- mmi local register write if to_integer(unsigned(int_address(int_address'high downto 4))) = 0 then v_mmi_regs := mmi_regs; write(v_mmi_regs, to_integer(unsigned(int_address(3 downto 0))), int_wdata); if mmi_regs.enable_writes = '1' then v_mmi_regs.rw_regs.rw_hl_css.hl_css := c_hl_stage_enable or v_mmi_regs.rw_regs.rw_hl_css.hl_css; end if; mmi_regs <= v_mmi_regs; -- handshake for safe transactions waitreq_int <= '0'; waitreq_count <= c_response_lat; -- iram write just handshake back (no write supported) else waitreq_int <= '0'; waitreq_count <= c_response_lat; end if; elsif int_read = '1' and int_cs = '1' and waitreq_count_is_zero = '1' and waitreq_int = '1' then -- mmi local register read if to_integer(unsigned(int_address(int_address'high downto 4))) = 0 then int_rdata <= read(mmi_regs, to_integer(unsigned(int_address(3 downto 0)))); waitreq_count <= c_response_lat; waitreq_int <= '0'; -- acknowledge read command regardless. -- iram being addressed elsif to_integer(unsigned(int_address(int_address'high downto c_int_iram_start_size))) = 1 and iram_ready = '1' then mmi_iram.read <= '1'; mmi_iram.addr <= to_integer(unsigned(int_address(IRAM_AWIDTH -1 downto 0))); if iram_status.done = '1' then waitreq_int <= '0'; mmi_iram.read <= '0'; waitreq_count <= c_response_lat; int_rdata <= iram_status.rdata; end if; else -- respond and keep the interface from hanging int_rdata <= x"DEADBEEF"; waitreq_int <= '0'; waitreq_count <= c_response_lat; end if; elsif waitreq_count /= 0 then waitreq_count <= waitreq_count -1; -- if performing a write, set back to defaults. If not, default anyway mmi_iram <= defaults; end if; if waitreq_count = 1 or waitreq_count = 0 then waitreq_count_is_zero <= '1'; -- as it will be next clock cycle else waitreq_count_is_zero <= '0'; end if; -- supply iram read data when ready if iram_status.done = '1' then int_rdata <= iram_status.rdata; end if; end if; end process; -- pack the registers into the output data structures regs_admin_ctrl <= pack_record(mmi_regs.rw_regs); parameterisation_rec <= pack_record(mmi_regs.rw_regs); mmi_pll <= pack_record(mmi_regs.rw_regs); mmi_ctrl <= pack_record(mmi_regs.rw_regs); end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : admin block for the non-levelling AFI PHY sequencer -- The admin block supports the autonomy of the sequencer from -- the memory interface controller. In this task admin handles -- memory initialisation (incl. the setting of mode registers) -- and memory refresh, bank activation and pre-charge commands -- (during memory interface calibration). Once calibration is -- complete admin is 'idle' and control of the memory device is -- passed to the users chosen memory interface controller. The -- supported memory types are exclusively DDR, DDR2 and DDR3. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The address and command package (alt_mem_phy_addr_cmd_pkg) is used to combine DRAM address -- and command signals in one record and unify the functions operating on this record. -- use work.nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_admin is generic ( -- physical interface width definitions MEM_IF_DQS_WIDTH : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; DWIDTH_RATIO : natural; CLOCK_INDEX_WIDTH : natural; MEM_IF_CLK_PAIR_COUNT : natural; MEM_IF_ADDR_WIDTH : natural; MEM_IF_BANKADDR_WIDTH : natural; MEM_IF_NUM_RANKS : natural; ADV_LAT_WIDTH : natural; MEM_IF_DQSN_EN : natural; MEM_IF_MEMTYPE : string; -- calibration address information MEM_IF_CAL_BANK : natural; -- Bank to which calibration data is written MEM_IF_CAL_BASE_ROW : natural; GENERATE_ADDITIONAL_DBG_RTL : natural; NON_OP_EVAL_MD : string; -- non_operational evaluation mode (used when GENERATE_ADDITIONAL_DBG_RTL = 1) -- timing parameters MEM_IF_CLK_PS : natural; TINIT_TCK : natural; -- initial delay TINIT_RST : natural -- used for DDR3 device support ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; -- the 2 signals below are unused for non-levelled sequencer (maintained for equivalent interface to levelled sequencer) mem_ac_swapped_ranks : in std_logic_vector(MEM_IF_NUM_RANKS - 1 downto 0); ctl_cal_byte_lanes : in std_logic_vector(MEM_IF_NUM_RANKS * MEM_IF_DQS_WIDTH - 1 downto 0); -- addr/cmd interface seq_ac : out t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); seq_ac_sel : out std_logic; -- determined from MR settings enable_odt : out std_logic; -- interface to the mmi block regs_admin_ctrl_rec : in t_admin_ctrl; admin_regs_status_rec : out t_admin_stat; trefi_failure : out std_logic; -- interface to the ctrl block ctrl_admin : in t_ctrl_command; admin_ctrl : out t_ctrl_stat; -- interface with dgrb/dgwb blocks ac_access_req : in std_logic; ac_access_gnt : out std_logic; -- calibration status signals (from ctrl block) cal_fail : in std_logic; cal_success : in std_logic; -- recalibrate request issued ctl_recalibrate_req : in std_logic ); end entity; library work; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_admin is constant c_max_mode_reg_index : natural := 12; -- timing below is safe for range 80-400MHz operation - taken from worst case DDR2 (JEDEC JESD79-2E) / DDR3 (JESD79-3B) -- Note: timings account for worst case use for both full rate and half rate ALTMEMPHY interfaces constant c_init_prech_delay : natural := 162; -- precharge delay (360ns = tRFC+10ns) (TXPR for DDR3) constant c_trp_in_clks : natural := 8; -- set equal to trp / tck (trp = 15ns) constant c_tmrd_in_clks : natural := 4; -- maximum 4 clock cycles (DDR3) constant c_tmod_in_clks : natural := 8; -- ODT update from MRS command (tmod = 12ns (DDR2)) constant c_trrd_min_in_clks : natural := 4; -- minimum clk cycles between bank activate cmds (10ns) constant c_trcd_min_in_clks : natural := 8; -- minimum bank activate to read/write cmd (15ns) -- the 2 constants below are parameterised to MEM_IF_CLK_PS due to the large range of possible clock frequency constant c_trfc_min_in_clks : natural := (350000/MEM_IF_CLK_PS)/(DWIDTH_RATIO/2) + 2; -- refresh-refresh timing (worst case trfc = 350 ns (DDR3)) constant c_trefi_min_in_clks : natural := (3900000/MEM_IF_CLK_PS)/(DWIDTH_RATIO/2) - 2; -- average refresh interval worst case trefi = 3.9 us (industrial grade devices) constant c_max_num_stacked_refreshes : natural := 8; -- max no. of stacked refreshes allowed constant c_max_wait_value : natural := 4; -- delay before moving from s_idle to s_refresh_state -- DDR3 specific: constant c_zq_init_duration_clks : natural := 514; -- full rate (worst case) cycle count for tZQCL init constant c_tzqcs : natural := 66; -- number of full rate clock cycles -- below is a record which is used to parameterise the address and command signals (addr_cmd) used in this block constant c_seq_addr_cmd_config : t_addr_cmd_config_rec := set_config_rec(MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS, DWIDTH_RATIO, MEM_IF_MEMTYPE); -- a prefix for all report signals to identify phy and sequencer block -- constant admin_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (admin) : "; -- state type for admin_state (main state machine of admin block) type t_admin_state is ( s_reset, -- reset state s_run_init_seq, -- run the initialisation sequence (up to but not including MR setting) s_program_cal_mrs, -- program the mode registers ready for calibration (this is the user settings -- with some overloads and extra init functionality) s_idle, -- idle (i.e. maintaining refresh to max) s_topup_refresh, -- make sure refreshes are maxed out before going on. s_topup_refresh_done, -- wait for tRFC after refresh command s_zq_cal_short, -- ZQCAL short command (issued prior to activate) - DDR3 only s_access_act, -- activate s_access, -- dgrb, dgwb accesses, s_access_precharge, -- precharge all memory banks s_prog_user_mrs, -- program user mode register settings s_dummy_wait, -- wait before going to s_refresh state s_refresh, -- issue a memory refresh command s_refresh_done, -- wait for trfc after refresh command s_non_operational -- special debug state to toggle interface if calibration fails ); signal state : t_admin_state; -- admin block state machine -- state type for ac_state type t_ac_state is ( s_0 , s_1 , s_2 , s_3 , s_4 , s_5 , s_6 , s_7 , s_8 , s_9 , s_10, s_11, s_12, s_13, s_14); -- enforce one-hot fsm encoding attribute syn_encoding : string; attribute syn_encoding of t_ac_state : TYPE is "one-hot"; signal ac_state : t_ac_state; -- state machine for sub-states of t_admin_state states signal stage_counter : natural range 0 to 2**18 - 1; -- counter to support memory timing delays signal stage_counter_zero : std_logic; signal addr_cmd : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); -- internal copy of output DRAM addr/cmd signals signal mem_init_complete : std_logic; -- signifies memory initialisation is complete signal cal_complete : std_logic; -- calibration complete (equals: cal_success OR cal_fail) signal int_mr0 : std_logic_vector(regs_admin_ctrl_rec.mr0'range); -- an internal copy of mode register settings signal int_mr1 : std_logic_vector(regs_admin_ctrl_rec.mr0'range); signal int_mr2 : std_logic_vector(regs_admin_ctrl_rec.mr0'range); signal int_mr3 : std_logic_vector(regs_admin_ctrl_rec.mr0'range); signal refresh_count : natural range c_trefi_min_in_clks downto 0; -- determine when refresh is due signal refresh_due : std_logic; -- need to do a refresh now signal refresh_done : std_logic; -- pulse when refresh complete signal num_stacked_refreshes : natural range 0 to c_max_num_stacked_refreshes - 1; -- can stack upto 8 refreshes (for DDR2) signal refreshes_maxed : std_logic; -- signal refreshes are maxed out signal initial_refresh_issued : std_logic; -- to start the refresh counter off signal ctrl_rec : t_ctrl_command; -- last state logic signal command_started : std_logic; -- provides a pulse when admin starts processing a command signal command_done : std_logic; -- provides a pulse when admin completes processing a command is completed signal finished_state : std_logic; -- finished current t_admin_state state signal admin_req_extended : std_logic; -- keep requests for this block asserted until it is an ack is asserted signal current_cs : natural range 0 to MEM_IF_NUM_RANKS - 1; -- which chip select being programmed at this instance signal per_cs_init_seen : std_logic_vector(MEM_IF_NUM_RANKS - 1 downto 0); -- some signals to enable non_operational debug (optimised away if GENERATE_ADDITIONAL_DBG_RTL = 0) signal nop_toggle_signal : t_addr_cmd_signals; signal nop_toggle_pin : natural range 0 to MEM_IF_ADDR_WIDTH - 1; -- track which pin in a signal to toggle signal nop_toggle_value : std_logic; begin -- architecture struct -- concurrent assignment of internal addr_cmd to output port seq_ac process (addr_cmd) begin seq_ac <= addr_cmd; end process; -- generate calibration complete signal process (cal_success, cal_fail) begin cal_complete <= cal_success or cal_fail; end process; -- register the control command record process (clk, rst_n) begin if rst_n = '0' then ctrl_rec <= defaults; elsif rising_edge(clk) then ctrl_rec <= ctrl_admin; end if; end process; -- extend the admin block request until ack is asserted process (clk, rst_n) begin if rst_n = '0' then admin_req_extended <= '0'; elsif rising_edge(clk) then if ( (ctrl_rec.command_req = '1') and ( curr_active_block(ctrl_rec.command) = admin) ) then admin_req_extended <= '1'; elsif command_started = '1' then -- this is effectively a copy of command_ack generation admin_req_extended <= '0'; end if; end if; end process; -- generate the current_cs signal to track which cs accessed by PHY at any instance process (clk, rst_n) begin if rst_n = '0' then current_cs <= 0; elsif rising_edge(clk) then if ctrl_rec.command_req = '1' then current_cs <= ctrl_rec.command_op.current_cs; end if; end if; end process; -- ----------------------------------------------------------------------------- -- refresh logic: DDR/DDR2/DDR3 allows upto 8 refreshes to be "stacked" or queued up. -- In the idle state, will ensure refreshes are issued when necessary. Then, -- when an access_request is received, 7 topup refreshes will be done to max out -- the number of queued refreshes. That way, we know we have the maximum time -- available before another refresh is due. -- ----------------------------------------------------------------------------- -- initial_refresh_issued flag: used to sync refresh_count process (clk, rst_n) begin if rst_n = '0' then initial_refresh_issued <= '0'; elsif rising_edge(clk) then if cal_complete = '1' then initial_refresh_issued <= '0'; else if state = s_refresh_done or state = s_topup_refresh_done then initial_refresh_issued <= '1'; end if; end if; end if; end process; -- refresh timer: used to work out when a refresh is due process (clk, rst_n) begin if rst_n = '0' then refresh_count <= c_trefi_min_in_clks; elsif rising_edge(clk) then if cal_complete = '1' then refresh_count <= c_trefi_min_in_clks; else if refresh_count = 0 or initial_refresh_issued = '0' or (refreshes_maxed = '1' and refresh_done = '1') then -- if refresh issued when already maxed refresh_count <= c_trefi_min_in_clks; else refresh_count <= refresh_count - 1; end if; end if; end if; end process; -- refresh_due generation: 1 cycle pulse to indicate that c_trefi_min_in_clks has elapsed, and -- therefore a refresh is due process (clk, rst_n) begin if rst_n = '0' then refresh_due <= '0'; elsif rising_edge(clk) then if refresh_count = 0 and cal_complete = '0' then refresh_due <= '1'; else refresh_due <= '0'; end if; end if; end process; -- counter to keep track of number of refreshes "stacked". NB: Up to 8 -- refreshes can be stacked. process (clk, rst_n) begin if rst_n = '0' then num_stacked_refreshes <= 0; trefi_failure <= '0'; -- default no trefi failure elsif rising_edge (clk) then if state = s_reset then trefi_failure <= '0'; -- default no trefi failure (in restart) end if; if cal_complete = '1' then num_stacked_refreshes <= 0; else if refresh_due = '1' and num_stacked_refreshes /= 0 then num_stacked_refreshes <= num_stacked_refreshes - 1; elsif refresh_done = '1' and num_stacked_refreshes /= c_max_num_stacked_refreshes - 1 then num_stacked_refreshes <= num_stacked_refreshes + 1; end if; -- debug message if stacked refreshes are depleted and refresh is due if refresh_due = '1' and num_stacked_refreshes = 0 and initial_refresh_issued = '1' then report admin_report_prefix & "error refresh is due and num_stacked_refreshes is zero" severity error; trefi_failure <= '1'; -- persist end if; end if; end if; end process; -- generate signal to state if refreshes are maxed out process (clk, rst_n) begin if rst_n = '0' then refreshes_maxed <= '0'; elsif rising_edge (clk) then if num_stacked_refreshes < c_max_num_stacked_refreshes - 1 then refreshes_maxed <= '0'; else refreshes_maxed <= '1'; end if; end if; end process; -- ---------------------------------------------------- -- Mode register selection -- ----------------------------------------------------- int_mr0(regs_admin_ctrl_rec.mr0'range) <= regs_admin_ctrl_rec.mr0; int_mr1(regs_admin_ctrl_rec.mr1'range) <= regs_admin_ctrl_rec.mr1; int_mr2(regs_admin_ctrl_rec.mr2'range) <= regs_admin_ctrl_rec.mr2; int_mr3(regs_admin_ctrl_rec.mr3'range) <= regs_admin_ctrl_rec.mr3; -- ------------------------------------------------------- -- State machine -- ------------------------------------------------------- process(rst_n, clk) begin if rst_n = '0' then state <= s_reset; command_done <= '0'; command_started <= '0'; elsif rising_edge(clk) then -- Last state logic command_done <= '0'; command_started <= '0'; case state is when s_reset | s_non_operational => if ctrl_rec.command = cmd_init_dram and admin_req_extended = '1' then state <= s_run_init_seq; command_started <= '1'; end if; when s_run_init_seq => if finished_state = '1' then state <= s_idle; command_done <= '1'; end if; when s_program_cal_mrs => if finished_state = '1' then if refreshes_maxed = '0' and mem_init_complete = '1' then -- only refresh if all ranks initialised state <= s_topup_refresh; else state <= s_idle; end if; command_done <= '1'; end if; when s_idle => if ac_access_req = '1' then state <= s_topup_refresh; elsif ctrl_rec.command = cmd_init_dram and admin_req_extended = '1' then -- start initialisation sequence state <= s_run_init_seq; command_started <= '1'; elsif ctrl_rec.command = cmd_prog_cal_mr and admin_req_extended = '1' then -- program mode registers (used for >1 chip select) state <= s_program_cal_mrs; command_started <= '1'; -- always enter s_prog_user_mrs via topup refresh elsif ctrl_rec.command = cmd_prep_customer_mr_setup and admin_req_extended = '1' then state <= s_topup_refresh; elsif refreshes_maxed = '0' and mem_init_complete = '1' then -- only refresh once all ranks initialised state <= s_dummy_wait; end if; when s_dummy_wait => if finished_state = '1' then state <= s_refresh; end if; when s_topup_refresh => if finished_state = '1' then state <= s_topup_refresh_done; end if; when s_topup_refresh_done => if finished_state = '1' then -- to ensure trfc is not violated if refreshes_maxed = '0' then state <= s_topup_refresh; elsif ctrl_rec.command = cmd_prep_customer_mr_setup and admin_req_extended = '1' then state <= s_prog_user_mrs; command_started <= '1'; elsif ac_access_req = '1' then if MEM_IF_MEMTYPE = "DDR3" then state <= s_zq_cal_short; else state <= s_access_act; end if; else state <= s_idle; end if; end if; when s_zq_cal_short => -- DDR3 only if finished_state = '1' then state <= s_access_act; end if; when s_access_act => if finished_state = '1' then state <= s_access; end if; when s_access => if ac_access_req = '0' then state <= s_access_precharge; end if; when s_access_precharge => -- ensure precharge all timer has elapsed. if finished_state = '1' then state <= s_idle; end if; when s_prog_user_mrs => if finished_state = '1' then state <= s_idle; command_done <= '1'; end if; when s_refresh => if finished_state = '1' then state <= s_refresh_done; end if; when s_refresh_done => if finished_state = '1' then -- to ensure trfc is not violated if refreshes_maxed = '0' then state <= s_refresh; else state <= s_idle; end if; end if; when others => state <= s_reset; end case; if cal_complete = '1' then state <= s_idle; if GENERATE_ADDITIONAL_DBG_RTL = 1 and cal_success = '0' then state <= s_non_operational; -- if calibration failed and debug enabled then toggle pins in pre-defined pattern end if; end if; -- if recalibrating then put admin in reset state to -- avoid issuing refresh commands when not needed if ctl_recalibrate_req = '1' then state <= s_reset; end if; end if; end process; -- -------------------------------------------------- -- process to generate initialisation complete -- -------------------------------------------------- process (rst_n, clk) begin if rst_n = '0' then mem_init_complete <= '0'; elsif rising_edge(clk) then if to_integer(unsigned(per_cs_init_seen)) = 2**MEM_IF_NUM_RANKS - 1 then mem_init_complete <= '1'; else mem_init_complete <= '0'; end if; end if; end process; -- -------------------------------------------------- -- process to generate addr/cmd. -- -------------------------------------------------- process(rst_n, clk) variable v_mr_overload : std_logic_vector(regs_admin_ctrl_rec.mr0'range); -- required for non_operational state only variable v_nop_ac_0 : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); variable v_nop_ac_1 : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); begin if rst_n = '0' then ac_state <= s_0; stage_counter <= 0; stage_counter_zero <= '1'; finished_state <= '0'; seq_ac_sel <= '1'; refresh_done <= '0'; per_cs_init_seen <= (others => '0'); addr_cmd <= int_pup_reset(c_seq_addr_cmd_config); if GENERATE_ADDITIONAL_DBG_RTL = 1 then nop_toggle_signal <= addr; nop_toggle_pin <= 0; nop_toggle_value <= '0'; end if; elsif rising_edge(clk) then finished_state <= '0'; refresh_done <= '0'; -- address / command path control -- if seq_ac_sel = 1 then sequencer has control of a/c -- if seq_ac_sel = 0 then memory controller has control of a/c seq_ac_sel <= '1'; if cal_complete = '1' then if cal_success = '1' or GENERATE_ADDITIONAL_DBG_RTL = 0 then -- hand over interface if cal successful or no debug enabled seq_ac_sel <= '0'; end if; end if; -- if recalibration request then take control of a/c path if ctl_recalibrate_req = '1' then seq_ac_sel <= '1'; end if; if state = s_reset then addr_cmd <= reset(c_seq_addr_cmd_config); stage_counter <= 0; elsif state /= s_run_init_seq and state /= s_non_operational then addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value end if; if (stage_counter = 1 or stage_counter = 0) then stage_counter_zero <= '1'; else stage_counter_zero <= '0'; end if; if stage_counter_zero /= '1' and state /= s_reset then stage_counter <= stage_counter -1; else stage_counter_zero <= '0'; case state is when s_run_init_seq => per_cs_init_seen <= (others => '0'); -- per cs test if MEM_IF_MEMTYPE = "DDR" or MEM_IF_MEMTYPE = "DDR2" then case ac_state is -- JEDEC (JESD79-2E) stage c when s_0 to s_9 => ac_state <= t_ac_state'succ(ac_state); stage_counter <= (TINIT_TCK/10)+1; addr_cmd <= maintain_pd_or_sr(c_seq_addr_cmd_config, deselect(c_seq_addr_cmd_config, addr_cmd), 2**MEM_IF_NUM_RANKS -1); -- JEDEC (JESD79-2E) stage d when s_10 => ac_state <= s_11; stage_counter <= c_init_prech_delay; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value when s_11 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; -- finish sequence by going into s_program_cal_mrs state when others => ac_state <= s_0; end case; elsif MEM_IF_MEMTYPE = "DDR3" then -- DDR3 specific initialisation sequence case ac_state is when s_0 => ac_state <= s_1; stage_counter <= TINIT_RST + 1; addr_cmd <= reset(c_seq_addr_cmd_config); when s_1 to s_10 => ac_state <= t_ac_state'succ(ac_state); stage_counter <= (TINIT_TCK/10) + 1; addr_cmd <= maintain_pd_or_sr(c_seq_addr_cmd_config, deselect(c_seq_addr_cmd_config, addr_cmd), 2**MEM_IF_NUM_RANKS -1); when s_11 => ac_state <= s_12; stage_counter <= c_init_prech_delay; addr_cmd <= deselect(c_seq_addr_cmd_config, addr_cmd); when s_12 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; -- finish sequence by going into s_program_cal_mrs state when others => ac_state <= s_0; end case; else report admin_report_prefix & "unsupported memory type specified" severity error; end if; -- end of initialisation sequence when s_program_cal_mrs => if MEM_IF_MEMTYPE = "DDR2" then -- DDR2 style mode register settings case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 1; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value -- JEDEC (JESD79-2E) stage d when s_1 => ac_state <= s_2; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**current_cs); -- rank -- JEDEC (JESD79-2E) stage e when s_2 => ac_state <= s_3; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 2, -- mode register number int_mr2(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage f when s_3 => ac_state <= s_4; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 3, -- mode register number int_mr3(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage g when s_4 => ac_state <= s_5; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr1(c_max_mode_reg_index downto 0); v_mr_overload(0) := '0'; -- override DLL enable v_mr_overload(9 downto 7) := "000"; -- required in JESD79-2E (but not in JESD79-2B) addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number v_mr_overload , -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage h when s_5 => ac_state <= s_6; stage_counter <= c_tmod_in_clks; addr_cmd <= dll_reset(c_seq_addr_cmd_config, -- configuration int_mr0(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage i when s_6 => ac_state <= s_7; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**MEM_IF_NUM_RANKS - 1); -- rank(s) -- JEDEC (JESD79-2E) stage j when s_7 => ac_state <= s_8; stage_counter <= c_trfc_min_in_clks; addr_cmd <= refresh(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value 2**current_cs); -- rank -- JEDEC (JESD79-2E) stage j - second refresh when s_8 => ac_state <= s_9; stage_counter <= c_trfc_min_in_clks; addr_cmd <= refresh(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value 2**current_cs); -- rank -- JEDEC (JESD79-2E) stage k when s_9 => ac_state <= s_10; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr0(c_max_mode_reg_index downto 3) & "010"; -- override to burst length 4 v_mr_overload(8) := '0'; -- required in JESD79-2E addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 0, -- mode register number v_mr_overload, -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage l - wait 200 cycles when s_10 => ac_state <= s_11; stage_counter <= 200; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value -- JEDEC (JESD79-2E) stage l - OCD default when s_11 => ac_state <= s_12; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr1(c_max_mode_reg_index downto 0); v_mr_overload(9 downto 7) := "111"; -- OCD calibration default (i.e. OCD unused) v_mr_overload(0) := '0'; -- override for DLL enable addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number v_mr_overload , -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage l - OCD cal exit when s_12 => ac_state <= s_13; stage_counter <= c_tmod_in_clks; v_mr_overload := int_mr1(c_max_mode_reg_index downto 0); v_mr_overload(9 downto 7) := "000"; -- OCD calibration exit v_mr_overload(0) := '0'; -- override for DLL enable addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number v_mr_overload , -- mode register value 2**current_cs, -- rank false); -- remap address and bank address per_cs_init_seen(current_cs) <= '1'; -- JEDEC (JESD79-2E) stage m - cal finished when s_13 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => null; end case; elsif MEM_IF_MEMTYPE = "DDR" then -- DDR style mode register setting following JEDEC (JESD79E) case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 1; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value when s_1 => ac_state <= s_2; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**current_cs); -- rank(s) when s_2 => ac_state <= s_3; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr1(c_max_mode_reg_index downto 0); v_mr_overload(0) := '0'; -- override DLL enable addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number v_mr_overload , -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_3 => ac_state <= s_4; stage_counter <= c_tmod_in_clks; addr_cmd <= dll_reset(c_seq_addr_cmd_config, -- configuration int_mr0(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_4 => ac_state <= s_5; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**MEM_IF_NUM_RANKS - 1); -- rank(s) when s_5 => ac_state <= s_6; stage_counter <= c_trfc_min_in_clks; addr_cmd <= refresh(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value 2**current_cs); -- rank when s_6 => ac_state <= s_7; stage_counter <= c_trfc_min_in_clks; addr_cmd <= refresh(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value 2**current_cs); -- rank when s_7 => ac_state <= s_8; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr0(c_max_mode_reg_index downto 3) & "010"; -- override to burst length 4 addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 0, -- mode register number v_mr_overload, -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_8 => ac_state <= s_9; stage_counter <= 200; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value per_cs_init_seen(current_cs) <= '1'; when s_9 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => null; end case; elsif MEM_IF_MEMTYPE = "DDR3" then case ac_state is when s_0 => ac_state <= s_1; stage_counter <= c_trp_in_clks; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value when s_1 => ac_state <= s_2; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 2, -- mode register number int_mr2(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_2 => ac_state <= s_3; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 3, -- mode register number int_mr3(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_3 => ac_state <= s_4; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr1(c_max_mode_reg_index downto 0); v_mr_overload(0) := '0'; -- Override for DLL enable v_mr_overload(12) := '0'; -- output buffer enable. v_mr_overload(7) := '0'; -- Disable Write levelling addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number v_mr_overload, -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_4 => ac_state <= s_5; stage_counter <= c_tmod_in_clks; v_mr_overload := int_mr0(c_max_mode_reg_index downto 0); v_mr_overload(1 downto 0) := "01"; -- override to on the fly burst length choice v_mr_overload(7) := '0'; -- test mode not enabled v_mr_overload(8) := '1'; -- DLL reset addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 0, -- mode register number v_mr_overload, -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_5 => ac_state <= s_6; stage_counter <= c_zq_init_duration_clks; addr_cmd <= ZQCL(c_seq_addr_cmd_config, -- configuration 2**current_cs); -- rank per_cs_init_seen(current_cs) <= '1'; when s_6 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; else report admin_report_prefix & "unsupported memory type specified" severity error; end if; -- end of s_program_cal_mrs case when s_prog_user_mrs => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 1; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value when s_1 => if MEM_IF_MEMTYPE = "DDR" then -- for DDR memory skip MR2/3 because not present ac_state <= s_4; else -- for DDR2/DDR3 all MRs programmed ac_state <= s_2; end if; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**MEM_IF_NUM_RANKS - 1); -- rank(s) when s_2 => ac_state <= s_3; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 2, -- mode register number int_mr2(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_3 => ac_state <= s_4; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 3, -- mode register number int_mr3(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address if to_integer(unsigned(int_mr3)) /= 0 then report admin_report_prefix & " mode register 3 is expected to have a value of 0 but has a value of : " & integer'image(to_integer(unsigned(int_mr3))) severity warning; end if; when s_4 => ac_state <= s_5; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number int_mr1(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address if (MEM_IF_DQSN_EN = 0) and (int_mr1(10) = '0') and (MEM_IF_MEMTYPE = "DDR2") then report admin_report_prefix & "mode register and generic conflict:" & LF & "* generic MEM_IF_DQSN_EN is set to 'disable' DQSN" & LF & "* user mode register MEM_IF_MR1 bit 10 is set to 'enable' DQSN" severity warning; end if; when s_5 => ac_state <= s_6; stage_counter <= c_tmod_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 0, -- mode register number int_mr0(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_6 => ac_state <= s_7; stage_counter <= 1; when s_7 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; -- end of s_prog_user_mr case when s_access_precharge => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 10; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value when s_1 => ac_state <= s_2; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**MEM_IF_NUM_RANKS - 1); -- rank(s) when s_2 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; when s_topup_refresh | s_refresh => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 1; when s_1 => ac_state <= s_2; stage_counter <= 1; addr_cmd <= refresh(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value 2**MEM_IF_NUM_RANKS - 1); -- rank when s_2 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; when s_topup_refresh_done | s_refresh_done => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= c_trfc_min_in_clks; refresh_done <= '1'; -- ensure trfc not violated when s_1 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; when s_zq_cal_short => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 1; when s_1 => ac_state <= s_2; stage_counter <= c_tzqcs; addr_cmd <= ZQCS(c_seq_addr_cmd_config, -- configuration 2**current_cs); -- all ranks when s_2 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; when s_access_act => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= c_trrd_min_in_clks; when s_1 => ac_state <= s_2; stage_counter <= c_trcd_min_in_clks; addr_cmd <= activate(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_ROW, -- row address 2**current_cs); -- rank when s_2 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; -- counter to delay transition from s_idle to s_refresh - this is to ensure a refresh command is not sent -- just as we enter operational state (could cause a trfc violation) when s_dummy_wait => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= c_max_wait_value; when s_1 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; when s_reset => stage_counter <= 1; -- default some s_non_operational signals if GENERATE_ADDITIONAL_DBG_RTL = 1 then nop_toggle_signal <= addr; nop_toggle_pin <= 0; nop_toggle_value <= '0'; end if; when s_non_operational => -- if failed then output a recognised pattern to the memory (Only executes if GENERATE_ADDITIONAL_DBG_RTL set) addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value if NON_OP_EVAL_MD = "PIN_FINDER" then -- toggle pins in turn for 200 memory clk cycles stage_counter <= 200/(DWIDTH_RATIO/2); -- 200 mem_clk cycles case nop_toggle_signal is when addr => addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, addr, '0'); addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, addr, nop_toggle_value, nop_toggle_pin); nop_toggle_value <= not nop_toggle_value; if nop_toggle_value = '1' then if nop_toggle_pin = MEM_IF_ADDR_WIDTH-1 then nop_toggle_signal <= ba; nop_toggle_pin <= 0; else nop_toggle_pin <= nop_toggle_pin + 1; end if; end if; when ba => addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, ba, '0'); addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, ba, nop_toggle_value, nop_toggle_pin); nop_toggle_value <= not nop_toggle_value; if nop_toggle_value = '1' then if nop_toggle_pin = MEM_IF_BANKADDR_WIDTH-1 then nop_toggle_signal <= cas_n; nop_toggle_pin <= 0; else nop_toggle_pin <= nop_toggle_pin + 1; end if; end if; when cas_n => addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, cas_n, nop_toggle_value); nop_toggle_value <= not nop_toggle_value; if nop_toggle_value = '1' then nop_toggle_signal <= ras_n; end if; when ras_n => addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, ras_n, nop_toggle_value); nop_toggle_value <= not nop_toggle_value; if nop_toggle_value = '1' then nop_toggle_signal <= we_n; end if; when we_n => addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, we_n, nop_toggle_value); nop_toggle_value <= not nop_toggle_value; if nop_toggle_value = '1' then nop_toggle_signal <= addr; end if; when others => report admin_report_prefix & " an attempt to toggle a non addr/cmd pin detected" severity failure; end case; elsif NON_OP_EVAL_MD = "SI_EVALUATOR" then -- toggle all addr/cmd pins at fmax stage_counter <= 0; -- every mem_clk cycle stage_counter_zero <= '1'; v_nop_ac_0 := mask (c_seq_addr_cmd_config, addr_cmd, addr, nop_toggle_value); v_nop_ac_0 := mask (c_seq_addr_cmd_config, v_nop_ac_0, ba, nop_toggle_value); v_nop_ac_0 := mask (c_seq_addr_cmd_config, v_nop_ac_0, we_n, nop_toggle_value); v_nop_ac_0 := mask (c_seq_addr_cmd_config, v_nop_ac_0, ras_n, nop_toggle_value); v_nop_ac_0 := mask (c_seq_addr_cmd_config, v_nop_ac_0, cas_n, nop_toggle_value); v_nop_ac_1 := mask (c_seq_addr_cmd_config, addr_cmd, addr, not nop_toggle_value); v_nop_ac_1 := mask (c_seq_addr_cmd_config, v_nop_ac_1, ba, not nop_toggle_value); v_nop_ac_1 := mask (c_seq_addr_cmd_config, v_nop_ac_1, we_n, not nop_toggle_value); v_nop_ac_1 := mask (c_seq_addr_cmd_config, v_nop_ac_1, ras_n, not nop_toggle_value); v_nop_ac_1 := mask (c_seq_addr_cmd_config, v_nop_ac_1, cas_n, not nop_toggle_value); for i in 0 to DWIDTH_RATIO/2 - 1 loop if i mod 2 = 0 then addr_cmd(i) <= v_nop_ac_0(i); else addr_cmd(i) <= v_nop_ac_1(i); end if; end loop; if DWIDTH_RATIO = 2 then nop_toggle_value <= not nop_toggle_value; end if; else report admin_report_prefix & "unknown non-operational evaluation mode " & NON_OP_EVAL_MD severity failure; end if; when others => addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value stage_counter <= 1; ac_state <= s_0; end case; end if; end if; end process; -- ------------------------------------------------------------------- -- output packing of mode register settings and enabling of ODT -- ------------------------------------------------------------------- process (int_mr0, int_mr1, int_mr2, int_mr3, mem_init_complete) begin admin_regs_status_rec.mr0 <= int_mr0; admin_regs_status_rec.mr1 <= int_mr1; admin_regs_status_rec.mr2 <= int_mr2; admin_regs_status_rec.mr3 <= int_mr3; admin_regs_status_rec.init_done <= mem_init_complete; enable_odt <= int_mr1(2) or int_mr1(6); -- if ODT enabled in MR settings (i.e. MR1 bits 2 or 6 /= 0) end process; -- -------------------------------------------------------------------------------- -- generation of handshake signals with ctrl, dgrb and dgwb blocks (this includes -- command ack, command done for ctrl and access grant for dgrb/dgwb) -- -------------------------------------------------------------------------------- process (rst_n, clk) begin if rst_n = '0' then admin_ctrl <= defaults; ac_access_gnt <= '0'; elsif rising_edge(clk) then admin_ctrl <= defaults; ac_access_gnt <= '0'; admin_ctrl.command_ack <= command_started; admin_ctrl.command_done <= command_done; if state = s_access then ac_access_gnt <= '1'; end if; end if; end process; end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : inferred ram for the non-levelling AFI PHY sequencer -- The inferred ram is used in the iram block to store -- debug information about the sequencer. It is variable in -- size based on the IRAM_AWIDTH generic and is of size -- 32 * (2 ** IRAM_ADDR_WIDTH) bits -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_iram_ram IS generic ( IRAM_AWIDTH : natural ); port ( clk : in std_logic; rst_n : in std_logic; -- ram ports addr : in unsigned(IRAM_AWIDTH-1 downto 0); wdata : in std_logic_vector(31 downto 0); write : in std_logic; rdata : out std_logic_vector(31 downto 0) ); end entity; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_iram_ram is -- infer ram constant c_max_ram_address : natural := 2**IRAM_AWIDTH -1; -- registered ram signals signal addr_r : unsigned(IRAM_AWIDTH-1 downto 0); signal wdata_r : std_logic_vector(31 downto 0); signal write_r : std_logic; signal rdata_r : std_logic_vector(31 downto 0); -- ram storage array type t_iram is array (0 to c_max_ram_address) of std_logic_vector(31 downto 0); signal iram_ram : t_iram; attribute altera_attribute : string; attribute altera_attribute of iram_ram : signal is "-name ADD_PASS_THROUGH_LOGIC_TO_INFERRED_RAMS ""OFF"""; begin -- architecture struct -- inferred ram instance - standard ram logic process (clk, rst_n) begin if rst_n = '0' then rdata_r <= (others => '0'); elsif rising_edge(clk) then if write_r = '1' then iram_ram(to_integer(addr_r)) <= wdata_r; end if; rdata_r <= iram_ram(to_integer(addr_r)); end if; end process; -- register i/o for speed process (clk, rst_n) begin if rst_n = '0' then rdata <= (others => '0'); write_r <= '0'; addr_r <= (others => '0'); wdata_r <= (others => '0'); elsif rising_edge(clk) then rdata <= rdata_r; write_r <= write; addr_r <= addr; wdata_r <= wdata; end if; end process; end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : iram block for the non-levelling AFI PHY sequencer -- This block is an optional storage of debug information for -- the sequencer. In the current form the iram stores header -- information about the arrangement of the sequencer and pass/ -- fail information for per-delay/phase/pin sweeps for the -- read resynch phase calibration stage. Support for debug of -- additional commands can be added at a later date -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The altmemphy iram ram (alt_mem_phy_iram_ram) is an inferred ram memory to implement the debug -- iram ram block -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram_ram; -- entity nios_altmemddr_0_phy_alt_mem_phy_iram is generic ( -- physical interface width definitions MEM_IF_MEMTYPE : string; FAMILYGROUP_ID : natural; MEM_IF_DQS_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; MEM_IF_NUM_RANKS : natural; IRAM_AWIDTH : natural; REFRESH_COUNT_INIT : natural; PRESET_RLAT : natural; PLL_STEPS_PER_CYCLE : natural; CAPABILITIES : natural; IP_BUILDNUM : natural ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; -- read interface from mmi block: mmi_iram : in t_iram_ctrl; mmi_iram_enable_writes : in std_logic; --iram status signal (includes read data from iram) iram_status : out t_iram_stat; iram_push_done : out std_logic; -- from ctrl block ctrl_iram : in t_ctrl_command; -- from dgrb block dgrb_iram : in t_iram_push; -- from admin block admin_regs_status_rec : in t_admin_stat; -- current write position in the iram ctrl_idib_top : in natural range 0 to 2 ** IRAM_AWIDTH - 1; ctrl_iram_push : in t_ctrl_iram; -- the following signals are unused and reserved for future use dgwb_iram : in t_iram_push ); end entity; library work; -- The registers package (alt_mem_phy_regs_pkg) is used to combine the definition of the -- registers for the mmi status registers and functions/procedures applied to the registers -- use work.nios_altmemddr_0_phy_alt_mem_phy_regs_pkg.all; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_iram is -- ------------------------------------------- -- IHI fields -- ------------------------------------------- -- memory type , Quartus Build No., Quartus release, sequencer architecture version : signal memtype : std_logic_vector(7 downto 0); signal ihi_self_description : std_logic_vector(31 downto 0); signal ihi_self_description_extra : std_logic_vector(31 downto 0); -- for iram address generation: signal curr_iram_offset : natural range 0 to 2 ** IRAM_AWIDTH - 1; -- set read latency for iram_rdata_valid signal control: constant c_iram_rlat : natural := 3; -- iram read latency (increment if read pipelining added -- for rdata valid generation: signal read_valid_ctr : natural range 0 to c_iram_rlat; signal iram_addr_r : unsigned(IRAM_AWIDTH downto 0); constant c_ihi_phys_if_desc : std_logic_vector(31 downto 0) := std_logic_vector (to_unsigned(MEM_IF_NUM_RANKS,8) & to_unsigned(MEM_IF_DM_WIDTH,8) & to_unsigned(MEM_IF_DQS_WIDTH,8) & to_unsigned(MEM_IF_DWIDTH,8)); constant c_ihi_timing_info : std_logic_vector(31 downto 0) := X"DEADDEAD"; constant c_ihi_ctrl_ss_word2 : std_logic_vector(31 downto 0) := std_logic_vector (to_unsigned(PRESET_RLAT,16) & X"0000"); -- IDIB header codes constant c_idib_header_code0 : std_logic_vector(7 downto 0) := X"4A"; constant c_idib_footer_code : std_logic_vector(7 downto 0) := X"5A"; -- encoded Quartus version -- constant c_quartus_version : natural := 0; -- Quartus 7.2 -- constant c_quartus_version : natural := 1; -- Quartus 8.0 --constant c_quartus_version : natural := 2; -- Quartus 8.1 --constant c_quartus_version : natural := 3; -- Quartus 9.0 --constant c_quartus_version : natural := 4; -- Quartus 9.0sp2 --constant c_quartus_version : natural := 5; -- Quartus 9.1 --constant c_quartus_version : natural := 6; -- Quartus 9.1sp1? --constant c_quartus_version : natural := 7; -- Quartus 9.1sp2? constant c_quartus_version : natural := 8; -- Quartus 10.0 -- constant c_quartus_version : natural := 114; -- reserved -- allow for different variants for debug i/f constant c_dbg_if_version : natural := 2; -- sequencer type 1 for levelling, 2 for non-levelling constant c_sequencer_type : natural := 2; -- a prefix for all report signals to identify phy and sequencer block -- constant iram_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (iram) : "; -- ------------------------------------------- -- signal and type declarations -- ------------------------------------------- type t_iram_state is ( s_reset, -- system reset s_pre_init_ram, -- identify pre-initialisation s_init_ram, -- zero all locations s_idle, -- default state s_word_access_ram, -- mmi access to the iram (post-calibration) s_word_fetch_ram_rdata, -- sample read data from RAM s_word_fetch_ram_rdata_r,-- register the sampling of data from RAM (to improve timing) s_word_complete, -- finalise iram ram write s_idib_header_write, -- when starting a command s_idib_header_inc_addr, -- address increment s_idib_footer_write, -- unique footer to indicate end of data s_cal_data_read, -- read RAM location (read occurs continuously from idle state) s_cal_data_read_r, s_cal_data_modify, -- modify RAM location (read occurs continuously) s_cal_data_write, -- write modified value back to RAM s_ihi_header_word0_wr, -- from 0 to 6 writing iram header info s_ihi_header_word1_wr, s_ihi_header_word2_wr, s_ihi_header_word3_wr, s_ihi_header_word4_wr, s_ihi_header_word5_wr, s_ihi_header_word6_wr, s_ihi_header_word7_wr-- end writing iram header info ); signal state : t_iram_state; signal contested_access : std_logic; signal idib_header_count : std_logic_vector(7 downto 0); -- register a new cmd request signal new_cmd : std_logic; signal cmd_processed : std_logic; -- signals to control dgrb writes signal iram_modified_data : std_logic_vector(31 downto 0); -- scratchpad memory for read-modify-write -- ------------------------------------------- -- physical ram connections -- ------------------------------------------- -- Note that the iram_addr here is created IRAM_AWIDTH downto 0, and not -- IRAM_AWIDTH-1 downto 0. This means that the MSB is outside the addressable -- area of the RAM. The purpose of this is that this shall be our memory -- overflow bit. It shall be directly connected to the iram_out_of_memory flag -- 32-bit interface port (read and write) signal iram_addr : unsigned(IRAM_AWIDTH downto 0); signal iram_wdata : std_logic_vector(31 downto 0); signal iram_rdata : std_logic_vector(31 downto 0); signal iram_write : std_logic; -- signal generated external to the iram to say when read data is valid signal iram_rdata_valid : std_logic; -- The FSM owns local storage that is loaded with the wdata/addr from the -- requesting sub-block, which is then fed to the iram's wdata/addr in turn -- until all data has gone across signal fsm_read : std_logic; -- ------------------------------------------- -- multiplexed push data -- ------------------------------------------- signal iram_done : std_logic; -- unused signal iram_pushdata : std_logic_vector(31 downto 0); signal pending_push : std_logic; -- push data to RAM signal iram_wordnum : natural range 0 to 511; signal iram_bitnum : natural range 0 to 31; begin -- architecture struct -- ------------------------------------------- -- iram ram instantiation -- ------------------------------------------- -- Note that the IRAM_AWIDTH is the physical number of address bits that the RAM has. -- However, for out of range access detection purposes, an additional bit is added to -- the various address signals. The iRAM does not register any of its inputs as the addr, -- wdata etc are registered directly before being driven to it. -- The dgrb accesses are of format read-modify-write to a single bit of a 32-bit word, the -- mmi reads and header writes are in 32-bit words -- ram : entity nios_altmemddr_0_phy_alt_mem_phy_iram_ram generic map ( IRAM_AWIDTH => IRAM_AWIDTH ) port map ( clk => clk, rst_n => rst_n, addr => iram_addr(IRAM_AWIDTH-1 downto 0), wdata => iram_wdata, write => iram_write, rdata => iram_rdata ); -- ------------------------------------------- -- IHI fields -- asynchronously -- ------------------------------------------- -- this field identifies the type of memory memtype <= X"03" when (MEM_IF_MEMTYPE = "DDR3") else X"02" when (MEM_IF_MEMTYPE = "DDR2") else X"01" when (MEM_IF_MEMTYPE = "DDR") else X"10" when (MEM_IF_MEMTYPE = "QDRII") else X"00" ; -- this field indentifies the gross level description of the sequencer ihi_self_description <= memtype & std_logic_vector(to_unsigned(IP_BUILDNUM,8)) & std_logic_vector(to_unsigned(c_quartus_version,8)) & std_logic_vector(to_unsigned(c_dbg_if_version,8)); -- some extra information for the debug gui - sequencer type and familygroup ihi_self_description_extra <= std_logic_vector(to_unsigned(FAMILYGROUP_ID,4)) & std_logic_vector(to_unsigned(c_sequencer_type,4)) & x"000000"; -- ------------------------------------------- -- check for contested memory accesses -- ------------------------------------------- process(clk,rst_n) begin if rst_n = '0' then contested_access <= '0'; elsif rising_edge(clk) then contested_access <= '0'; if mmi_iram.read = '1' and pending_push = '1' then report iram_report_prefix & "contested memory accesses to the iram" severity failure; contested_access <= '1'; end if; -- sanity checks if mmi_iram.write = '1' then report iram_report_prefix & "mmi writes to the iram unsupported for non-levelling AFI PHY sequencer" severity failure; end if; if dgwb_iram.iram_write = '1' then report iram_report_prefix & "dgwb writes to the iram unsupported for non-levelling AFI PHY sequencer" severity failure; end if; end if; end process; -- ------------------------------------------- -- mux push data and associated signals -- note: single bit taken for iram_pushdata because 1-bit read-modify-write to -- a 32-bit word in the ram. This interface style is maintained for future -- scalability / wider application of the iram block. -- ------------------------------------------- process(clk,rst_n) begin if rst_n = '0' then iram_done <= '0'; iram_pushdata <= (others => '0'); pending_push <= '0'; iram_wordnum <= 0; iram_bitnum <= 0; elsif rising_edge(clk) then case curr_active_block(ctrl_iram.command) is when dgrb => iram_done <= dgrb_iram.iram_done; iram_pushdata <= dgrb_iram.iram_pushdata; pending_push <= dgrb_iram.iram_write; iram_wordnum <= dgrb_iram.iram_wordnum; iram_bitnum <= dgrb_iram.iram_bitnum; when others => -- default dgrb iram_done <= dgrb_iram.iram_done; iram_pushdata <= dgrb_iram.iram_pushdata; pending_push <= dgrb_iram.iram_write; iram_wordnum <= dgrb_iram.iram_wordnum; iram_bitnum <= dgrb_iram.iram_bitnum; end case; end if; end process; -- ------------------------------------------- -- generate write signal for the ram -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then iram_write <= '0'; elsif rising_edge(clk) then case state is when s_idle => iram_write <= '0'; when s_pre_init_ram | s_init_ram => iram_write <= '1'; when s_ihi_header_word0_wr | s_ihi_header_word1_wr | s_ihi_header_word2_wr | s_ihi_header_word3_wr | s_ihi_header_word4_wr | s_ihi_header_word5_wr | s_ihi_header_word6_wr | s_ihi_header_word7_wr => iram_write <= '1'; when s_idib_header_write => iram_write <= '1'; when s_idib_footer_write => iram_write <= '1'; when s_cal_data_write => iram_write <= '1'; when others => iram_write <= '0'; -- default end case; end if; end process; -- ------------------------------------------- -- generate wdata for the ram -- ------------------------------------------- process(clk, rst_n) variable v_current_cs : std_logic_vector(3 downto 0); variable v_mtp_alignment : std_logic_vector(0 downto 0); variable v_single_bit : std_logic; begin if rst_n = '0' then iram_wdata <= (others => '0'); elsif rising_edge(clk) then case state is when s_pre_init_ram | s_init_ram => iram_wdata <= (others => '0'); when s_ihi_header_word0_wr => iram_wdata <= ihi_self_description; when s_ihi_header_word1_wr => iram_wdata <= c_ihi_phys_if_desc; when s_ihi_header_word2_wr => iram_wdata <= c_ihi_timing_info; when s_ihi_header_word3_wr => iram_wdata <= ( others => '0'); iram_wdata(admin_regs_status_rec.mr0'range) <= admin_regs_status_rec.mr0; iram_wdata(admin_regs_status_rec.mr1'high + 16 downto 16) <= admin_regs_status_rec.mr1; when s_ihi_header_word4_wr => iram_wdata <= ( others => '0'); iram_wdata(admin_regs_status_rec.mr2'range) <= admin_regs_status_rec.mr2; iram_wdata(admin_regs_status_rec.mr3'high + 16 downto 16) <= admin_regs_status_rec.mr3; when s_ihi_header_word5_wr => iram_wdata <= c_ihi_ctrl_ss_word2; when s_ihi_header_word6_wr => iram_wdata <= std_logic_vector(to_unsigned(IRAM_AWIDTH,32)); -- tbd write the occupancy at end of cal when s_ihi_header_word7_wr => iram_wdata <= ihi_self_description_extra; when s_idib_header_write => -- encode command_op for current operation v_current_cs := std_logic_vector(to_unsigned(ctrl_iram.command_op.current_cs, 4)); v_mtp_alignment := std_logic_vector(to_unsigned(ctrl_iram.command_op.mtp_almt, 1)); v_single_bit := ctrl_iram.command_op.single_bit; iram_wdata <= encode_current_stage(ctrl_iram.command) & -- which command being executed (currently this should only be cmd_rrp_sweep (8 bits) v_current_cs & -- which chip select being processed (4 bits) v_mtp_alignment & -- currently used MTP alignment (1 bit) v_single_bit & -- is single bit calibration selected (1 bit) - used during MTP alignment "00" & -- RFU idib_header_count & -- unique ID to how many headers have been written (8 bits) c_idib_header_code0; -- unique ID for headers (8 bits) when s_idib_footer_write => iram_wdata <= c_idib_footer_code & c_idib_footer_code & c_idib_footer_code & c_idib_footer_code; when s_cal_data_modify => -- default don't overwrite iram_modified_data <= iram_rdata; -- update iram data based on packing and write modes if ctrl_iram_push.packing_mode = dq_bitwise then case ctrl_iram_push.write_mode is when overwrite_ram => iram_modified_data(iram_bitnum) <= iram_pushdata(0); when or_into_ram => iram_modified_data(iram_bitnum) <= iram_pushdata(0) or iram_rdata(0); when and_into_ram => iram_modified_data(iram_bitnum) <= iram_pushdata(0) and iram_rdata(0); when others => report iram_report_prefix & "unidentified write mode of " & t_iram_write_mode'image(ctrl_iram_push.write_mode) & " specified when generating iram write data" severity failure; end case; elsif ctrl_iram_push.packing_mode = dq_wordwise then case ctrl_iram_push.write_mode is when overwrite_ram => iram_modified_data <= iram_pushdata; when or_into_ram => iram_modified_data <= iram_pushdata or iram_rdata; when and_into_ram => iram_modified_data <= iram_pushdata and iram_rdata; when others => report iram_report_prefix & "unidentified write mode of " & t_iram_write_mode'image(ctrl_iram_push.write_mode) & " specified when generating iram write data" severity failure; end case; else report iram_report_prefix & "unidentified packing mode of " & t_iram_packing_mode'image(ctrl_iram_push.packing_mode) & " specified when generating iram write data" severity failure; end if; when s_cal_data_write => iram_wdata <= iram_modified_data; when others => iram_wdata <= (others => '0'); end case; end if; end process; -- ------------------------------------------- -- generate addr for the ram -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then iram_addr <= (others => '0'); curr_iram_offset <= 0; elsif rising_edge(clk) then case (state) is when s_idle => if mmi_iram.read = '1' then -- pre-set mmi read location address iram_addr <= ('0' & to_unsigned(mmi_iram.addr,IRAM_AWIDTH)); -- Pad MSB else -- default get next push data location from iram iram_addr <= to_unsigned(curr_iram_offset + iram_wordnum, IRAM_AWIDTH+1); end if; when s_word_access_ram => -- calculate the address if mmi_iram.read = '1' then -- mmi access iram_addr <= ('0' & to_unsigned(mmi_iram.addr,IRAM_AWIDTH)); -- Pad MSB end if; when s_ihi_header_word0_wr => iram_addr <= (others => '0'); -- increment address for IHI word writes : when s_ihi_header_word1_wr | s_ihi_header_word2_wr | s_ihi_header_word3_wr | s_ihi_header_word4_wr | s_ihi_header_word5_wr | s_ihi_header_word6_wr | s_ihi_header_word7_wr => iram_addr <= iram_addr + 1; when s_idib_header_write => iram_addr <= '0' & to_unsigned(ctrl_idib_top, IRAM_AWIDTH); -- Always write header at idib_top location when s_idib_footer_write => iram_addr <= to_unsigned(curr_iram_offset + iram_wordnum, IRAM_AWIDTH+1); -- active block communicates where to put the footer with done signal when s_idib_header_inc_addr => iram_addr <= iram_addr + 1; curr_iram_offset <= to_integer('0' & iram_addr) + 1; when s_init_ram => if iram_addr(IRAM_AWIDTH) = '1' then iram_addr <= (others => '0'); -- this prevents erroneous out-of-mem flag after initialisation else iram_addr <= iram_addr + 1; end if; when others => iram_addr <= iram_addr; end case; end if; end process; -- ------------------------------------------- -- generate new cmd signal to register the command_req signal -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then new_cmd <= '0'; elsif rising_edge(clk) then if ctrl_iram.command_req = '1' then case ctrl_iram.command is when cmd_rrp_sweep | -- only prompt new_cmd for commands we wish to write headers for cmd_rrp_seek | cmd_read_mtp | cmd_write_ihi => new_cmd <= '1'; when others => new_cmd <= '0'; end case; end if; if cmd_processed = '1' then new_cmd <= '0'; end if; end if; end process; -- ------------------------------------------- -- generate read valid signal which takes account of pipelining of reads -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then iram_rdata_valid <= '0'; read_valid_ctr <= 0; iram_addr_r <= (others => '0'); elsif rising_edge(clk) then if read_valid_ctr < c_iram_rlat then iram_rdata_valid <= '0'; read_valid_ctr <= read_valid_ctr + 1; else iram_rdata_valid <= '1'; end if; if to_integer(iram_addr) /= to_integer(iram_addr_r) or iram_write = '1' then read_valid_ctr <= 0; iram_rdata_valid <= '0'; end if; -- register iram address iram_addr_r <= iram_addr; end if; end process; -- ------------------------------------------- -- state machine -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then state <= s_reset; cmd_processed <= '0'; elsif rising_edge(clk) then cmd_processed <= '0'; case state is when s_reset => state <= s_pre_init_ram; when s_pre_init_ram => state <= s_init_ram; -- remain in the init_ram state until all the ram locations have been zero'ed when s_init_ram => if iram_addr(IRAM_AWIDTH) = '1' then state <= s_idle; end if; -- default state after reset when s_idle => if pending_push = '1' then state <= s_cal_data_read; elsif iram_done = '1' then state <= s_idib_footer_write; elsif new_cmd = '1' then case ctrl_iram.command is when cmd_rrp_sweep | cmd_rrp_seek | cmd_read_mtp => state <= s_idib_header_write; when cmd_write_ihi => state <= s_ihi_header_word0_wr; when others => state <= state; end case; cmd_processed <= '1'; elsif mmi_iram.read = '1' then state <= s_word_access_ram; end if; -- mmi read accesses when s_word_access_ram => state <= s_word_fetch_ram_rdata; when s_word_fetch_ram_rdata => state <= s_word_fetch_ram_rdata_r; when s_word_fetch_ram_rdata_r => if iram_rdata_valid = '1' then state <= s_word_complete; end if; when s_word_complete => if iram_rdata_valid = '1' then -- return to idle when iram_rdata stable state <= s_idle; end if; -- header write (currently only for cmp_rrp stage) when s_idib_header_write => state <= s_idib_header_inc_addr; when s_idib_header_inc_addr => state <= s_idle; -- return to idle to wait for push when s_idib_footer_write => state <= s_word_complete; -- push data accesses (only used by the dgrb block at present) when s_cal_data_read => state <= s_cal_data_read_r; when s_cal_data_read_r => if iram_rdata_valid = '1' then state <= s_cal_data_modify; end if; when s_cal_data_modify => state <= s_cal_data_write; when s_cal_data_write => state <= s_word_complete; -- IHI Header write accesses when s_ihi_header_word0_wr => state <= s_ihi_header_word1_wr; when s_ihi_header_word1_wr => state <= s_ihi_header_word2_wr; when s_ihi_header_word2_wr => state <= s_ihi_header_word3_wr; when s_ihi_header_word3_wr => state <= s_ihi_header_word4_wr; when s_ihi_header_word4_wr => state <= s_ihi_header_word5_wr; when s_ihi_header_word5_wr => state <= s_ihi_header_word6_wr; when s_ihi_header_word6_wr => state <= s_ihi_header_word7_wr; when s_ihi_header_word7_wr => state <= s_idle; when others => state <= state; end case; end if; end process; -- ------------------------------------------- -- drive read data and responses back. -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then iram_status <= defaults; iram_push_done <= '0'; idib_header_count <= (others => '0'); fsm_read <= '0'; elsif rising_edge(clk) then -- defaults iram_status <= defaults; iram_status.done <= '0'; iram_status.rdata <= (others => '0'); iram_push_done <= '0'; if state = s_init_ram then iram_status.out_of_mem <= '0'; else iram_status.out_of_mem <= iram_addr(IRAM_AWIDTH); end if; -- register read flag for 32 bit accesses if state = s_idle then fsm_read <= mmi_iram.read; end if; if state = s_word_complete then iram_status.done <= '1'; if fsm_read = '1' then iram_status.rdata <= iram_rdata; else iram_status.rdata <= (others => '0'); end if; end if; -- if another access is ever presented while the FSM is busy, set the contested flag if contested_access = '1' then iram_status.contested_access <= '1'; end if; -- set (and keep set) the iram_init_done output once initialisation of the RAM is complete if (state /= s_init_ram) and (state /= s_pre_init_ram) and (state /= s_reset) then iram_status.init_done <= '1'; end if; if state = s_ihi_header_word7_wr then iram_push_done <= '1'; end if; -- if completing push or footer write then acknowledge if state = s_cal_data_modify or state = s_idib_footer_write then iram_push_done <= '1'; end if; -- increment IDIB header count each time a header is written if state = s_idib_header_write then idib_header_count <= std_logic_vector(unsigned(idib_header_count) + to_unsigned(1,idib_header_count'high +1)); end if; end if; end process; end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : data gatherer (read bias) [dgrb] block for the non-levelling -- AFI PHY sequencer -- This block handles all calibration commands which require -- memory read operations. -- -- These include: -- Resync phase calibration - sweep of phases, calculation of -- result and optional storage to iram -- Postamble calibration - clock cycle calibration of the postamble -- enable signal -- Read data valid signal alignment -- Calculation of advertised read and write latencies -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The address and command package (alt_mem_phy_addr_cmd_pkg) is used to combine DRAM address -- and command signals in one record and unify the functions operating on this record. -- use work.nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg.all; -- The iram address package (alt_mem_phy_iram_addr_pkg) is used to define the base addresses used -- for iram writes during calibration -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg.all; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_dgrb is generic ( MEM_IF_DQS_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; MEM_IF_DQS_CAPTURE : natural; MEM_IF_ADDR_WIDTH : natural; MEM_IF_BANKADDR_WIDTH : natural; MEM_IF_NUM_RANKS : natural; MEM_IF_MEMTYPE : string; ADV_LAT_WIDTH : natural; CLOCK_INDEX_WIDTH : natural; DWIDTH_RATIO : natural; PRESET_RLAT : natural; PLL_STEPS_PER_CYCLE : natural; -- number of PLL phase steps per PHY clock cycle SIM_TIME_REDUCTIONS : natural; GENERATE_ADDITIONAL_DBG_RTL : natural; PRESET_CODVW_PHASE : natural; PRESET_CODVW_SIZE : natural; -- base column address to which calibration data is written -- memory at MEM_IF_CAL_BASE_COL - MEM_IF_CAL_BASE_COL + C_CAL_DATA_LEN - 1 -- is assumed to contain the proper data MEM_IF_CAL_BANK : natural; -- bank to which calibration data is written MEM_IF_CAL_BASE_COL : natural; EN_OCT : natural ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; -- control interface dgrb_ctrl : out t_ctrl_stat; ctrl_dgrb : in t_ctrl_command; parameterisation_rec : in t_algm_paramaterisation; -- PLL reconfig interface phs_shft_busy : in std_logic; seq_pll_inc_dec_n : out std_logic; seq_pll_select : out std_logic_vector(CLOCK_INDEX_WIDTH - 1 DOWNTO 0); seq_pll_start_reconfig : out std_logic; pll_resync_clk_index : in std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); -- PLL phase used to select resync clock pll_measure_clk_index : in std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); -- PLL phase used to select mimic / aka measure clock -- iram 'push' interface dgrb_iram : out t_iram_push; iram_push_done : in std_logic; -- addr/cmd output for write commands dgrb_ac : out t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); -- admin block req/gnt interface dgrb_ac_access_req : out std_logic; dgrb_ac_access_gnt : in std_logic; -- RDV latency controls seq_rdata_valid_lat_inc : out std_logic; seq_rdata_valid_lat_dec : out std_logic; -- POA latency controls seq_poa_lat_dec_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_poa_lat_inc_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); -- read datapath interface rdata_valid : in std_logic_vector(DWIDTH_RATIO/2 - 1 downto 0); rdata : in std_logic_vector(DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0); doing_rd : out std_logic_vector(MEM_IF_DQS_WIDTH * DWIDTH_RATIO/2 - 1 downto 0); rd_lat : out std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); -- advertised write latency wd_lat : out std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); -- OCT control seq_oct_value : out std_logic; dgrb_wdp_ovride : out std_logic; -- mimic path interface seq_mmc_start : out std_logic; mmc_seq_done : in std_logic; mmc_seq_value : in std_logic; -- calibration byte lane select (reserved for future use - RFU) ctl_cal_byte_lanes : in std_logic_vector(MEM_IF_NUM_RANKS * MEM_IF_DQS_WIDTH - 1 downto 0); -- odt settings per chip select odt_settings : in t_odt_array(0 to MEM_IF_NUM_RANKS-1); -- signal to identify if a/c nt setting is correct (set after wr_lat calculation) -- NOTE: labelled nt for future scalability to quarter rate interfaces dgrb_ctrl_ac_nt_good : out std_logic; -- status signals on calibrated cdvw dgrb_mmi : out t_dgrb_mmi ); end entity; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_dgrb is -- ------------------------------------------------------------------ -- constant declarations -- ------------------------------------------------------------------ constant c_seq_addr_cmd_config : t_addr_cmd_config_rec := set_config_rec(MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS, DWIDTH_RATIO, MEM_IF_MEMTYPE); -- command/result length constant c_command_result_len : natural := 8; -- burst characteristics and latency characteristics constant c_max_read_lat : natural := 2**rd_lat'length - 1; -- maximum read latency in phy clock-cycles -- training pattern characteristics constant c_cal_mtp_len : natural := 16; constant c_cal_mtp : std_logic_vector(c_cal_mtp_len - 1 downto 0) := x"30F5"; constant c_cal_mtp_t : natural := c_cal_mtp_len / DWIDTH_RATIO; -- number of phy-clk cycles required to read BTP -- read/write latency defaults constant c_default_rd_lat_slv : std_logic_vector(ADV_LAT_WIDTH - 1 downto 0) := std_logic_vector(to_unsigned(c_default_rd_lat, ADV_LAT_WIDTH)); constant c_default_wd_lat_slv : std_logic_vector(ADV_LAT_WIDTH - 1 downto 0) := std_logic_vector(to_unsigned(c_default_wr_lat, ADV_LAT_WIDTH)); -- tracking reporting parameters constant c_max_rsc_drift_in_phases : natural := 127; -- this must be a value of < 2^10 - 1 because of the range of signal codvw_trk_shift -- Returns '1' when boolean b is True; '0' otherwise. function active_high(b : in boolean) return std_logic is variable r : std_logic; begin if b then r := '1'; else r := '0'; end if; return r; end function; -- a prefix for all report signals to identify phy and sequencer block -- constant dgrb_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (dgrb) : "; -- Return the number of clock periods the resync clock should sweep. -- -- On half-rate systems and in DQS-capture based systems a 720 -- to guarantee the resync window can be properly observed. function rsc_sweep_clk_periods return natural is variable v_num_periods : natural; begin if DWIDTH_RATIO = 2 then if MEM_IF_DQS_CAPTURE = 1 then -- families which use DQS capture require a 720 degree sweep for FR to show a window v_num_periods := 2; else v_num_periods := 1; end if; elsif DWIDTH_RATIO = 4 then v_num_periods := 2; else report dgrb_report_prefix & "unsupported DWIDTH_RATIO." severity failure; end if; return v_num_periods; end function; -- window for PLL sweep constant c_max_phase_shifts : natural := rsc_sweep_clk_periods*PLL_STEPS_PER_CYCLE; constant c_pll_phs_inc : std_logic := '1'; constant c_pll_phs_dec : std_logic := not c_pll_phs_inc; -- ------------------------------------------------------------------ -- type declarations -- ------------------------------------------------------------------ -- dgrb main state machine type t_dgrb_state is ( -- idle state s_idle, -- request access to memory address/command bus from the admin block s_wait_admin, -- relinquish address/command bus access s_release_admin, -- wind back resync phase to a 'zero' point s_reset_cdvw, -- perform resync phase sweep (used for MTP alignment checking and actual RRP sweep) s_test_phases, -- processing to when checking MTP alignment s_read_mtp, -- processing for RRP (read resync phase) sweep s_seek_cdvw, -- clock cycle alignment of read data valid signal s_rdata_valid_align, -- calculate advertised read latency s_adv_rd_lat_setup, s_adv_rd_lat, -- calculate advertised write latency s_adv_wd_lat, -- postamble clock cycle calibration s_poa_cal, -- tracking - setup and periodic update s_track ); -- dgrb slave state machine for addr/cmd signals type t_ac_state is ( -- idle state s_ac_idle, -- wait X cycles (issuing NOP command) to flush address/command and DQ buses s_ac_relax, -- read MTP pattern s_ac_read_mtp, -- read pattern for read data valid alignment s_ac_read_rdv, -- read pattern for POA calibration s_ac_read_poa_mtp, -- read pattern to calculate advertised write latency s_ac_read_wd_lat ); -- dgrb slave state machine for read resync phase calibration type t_resync_state is ( -- idle state s_rsc_idle, -- shift resync phase by one s_rsc_next_phase, -- start test sequence for current pin and current phase s_rsc_test_phase, -- flush the read datapath s_rsc_wait_for_idle_dimm, -- wait until no longer driving s_rsc_flush_datapath, -- flush a/c path -- sample DQ data to test phase s_rsc_test_dq, -- reset rsc phase to a zero position s_rsc_reset_cdvw, s_rsc_rewind_phase, -- calculate the centre of resync window s_rsc_cdvw_calc, s_rsc_cdvw_wait, -- wait for calc result -- set rsc clock phase to centre of data valid window s_rsc_seek_cdvw, -- wait until all results written to iram s_rsc_wait_iram -- only entered if GENERATE_ADDITIONAL_DBG_RTL = 1 ); -- record definitions for window processing type t_win_processing_status is ( calculating, valid_result, no_invalid_phases, multiple_equal_windows, no_valid_phases ); type t_window_processing is record working_window : std_logic_vector( c_max_phase_shifts - 1 downto 0); first_good_edge : natural range 0 to c_max_phase_shifts - 1; -- pointer to first detected good edge current_window_start : natural range 0 to c_max_phase_shifts - 1; current_window_size : natural range 0 to c_max_phase_shifts - 1; current_window_centre : natural range 0 to c_max_phase_shifts - 1; largest_window_start : natural range 0 to c_max_phase_shifts - 1; largest_window_size : natural range 0 to c_max_phase_shifts - 1; largest_window_centre : natural range 0 to c_max_phase_shifts - 1; current_bit : natural range 0 to c_max_phase_shifts - 1; window_centre_update : std_logic; last_bit_value : std_logic; valid_phase_seen : boolean; invalid_phase_seen : boolean; first_cycle : boolean; multiple_eq_windows : boolean; found_a_good_edge : boolean; status : t_win_processing_status; windows_seen : natural range 0 to c_max_phase_shifts/2 - 1; end record; -- ------------------------------------------------------------------ -- function and procedure definitions -- ------------------------------------------------------------------ -- Returns a string representation of a std_logic_vector. -- Not synthesizable. function str(v: std_logic_vector) return string is variable str_value : string (1 to v'length); variable str_len : integer; variable c : character; begin str_len := 1; for i in v'range loop case v(i) is when '0' => c := '0'; when '1' => c := '1'; when others => c := '?'; end case; str_value(str_len) := c; str_len := str_len + 1; end loop; return str_value; end str; -- functions and procedures for window processing function defaults return t_window_processing is variable output : t_window_processing; begin output.working_window := (others => '1'); output.last_bit_value := '1'; output.first_good_edge := 0; output.current_window_start := 0; output.current_window_size := 0; output.current_window_centre := 0; output.largest_window_start := 0; output.largest_window_size := 0; output.largest_window_centre := 0; output.window_centre_update := '1'; output.current_bit := 0; output.multiple_eq_windows := false; output.valid_phase_seen := false; output.invalid_phase_seen := false; output.found_a_good_edge := false; output.status := no_valid_phases; output.first_cycle := false; output.windows_seen := 0; return output; end function defaults; procedure initialise_window_for_proc ( working : inout t_window_processing ) is variable v_working_window : std_logic_vector( c_max_phase_shifts - 1 downto 0); begin v_working_window := working.working_window; working := defaults; working.working_window := v_working_window; working.status := calculating; working.first_cycle := true; working.window_centre_update := '1'; working.windows_seen := 0; end procedure initialise_window_for_proc; procedure shift_window (working : inout t_window_processing; num_phases : in natural range 1 to c_max_phase_shifts ) is begin if working.working_window(0) = '0' then working.invalid_phase_seen := true; else working.valid_phase_seen := true; end if; -- general bit serial shifting of window and incrementing of current bit counter. if working.current_bit < num_phases - 1 then working.current_bit := working.current_bit + 1; else working.current_bit := 0; end if; working.last_bit_value := working.working_window(0); working.working_window := working.working_window(0) & working.working_window(working.working_window'high downto 1); --synopsis translate_off -- for simulation to make it simpler to see IF we are not using all the bits in the window working.working_window(working.working_window'high) := 'H'; -- for visual debug --synopsis translate_on working.working_window(num_phases -1) := working.last_bit_value; working.first_cycle := false; end procedure shift_window; procedure find_centre_of_largest_data_valid_window ( working : inout t_window_processing; num_phases : in natural range 1 to c_max_phase_shifts ) is begin if working.first_cycle = false then -- not first call to procedure, then handle end conditions if working.current_bit = 0 and working.found_a_good_edge = false then -- have been all way arround window (circular) if working.valid_phase_seen = false then working.status := no_valid_phases; elsif working.invalid_phase_seen = false then working.status := no_invalid_phases; end if; elsif working.current_bit = working.first_good_edge then -- if have found a good edge then complete a circular sweep to that edge if working.multiple_eq_windows = true then working.status := multiple_equal_windows; else working.status := valid_result; end if; end if; end if; -- start of a window condition if working.last_bit_value = '0' and working.working_window(0) = '1' then working.current_window_start := working.current_bit; working.current_window_size := working.current_window_size + 1; -- equivalent to assigning to one because if not in a window then it is set to 0 working.window_centre_update := not working.window_centre_update; working.current_window_centre := working.current_bit; if working.found_a_good_edge /= true then -- if have not yet found a good edge then store this value working.first_good_edge := working.current_bit; working.found_a_good_edge := true; end if; -- end of window conditions elsif working.last_bit_value = '1' and working.working_window(0) = '0' then if working.current_window_size > working.largest_window_size then working.largest_window_size := working.current_window_size; working.largest_window_start := working.current_window_start; working.largest_window_centre := working.current_window_centre; working.multiple_eq_windows := false; elsif working.current_window_size = working.largest_window_size then working.multiple_eq_windows := true; end if; -- put counter in here because start of window 1 is observed twice if working.found_a_good_edge = true then working.windows_seen := working.windows_seen + 1; end if; working.current_window_size := 0; elsif working.last_bit_value = '1' and working.working_window(0) = '1' and (working.found_a_good_edge = true) then --note operand in brackets is excessive but for may provide power savings and makes visual inspection of simulatuion easier if working.window_centre_update = '1' then if working.current_window_centre < num_phases -1 then working.current_window_centre := working.current_window_centre + 1; else working.current_window_centre := 0; end if; end if; working.window_centre_update := not working.window_centre_update; working.current_window_size := working.current_window_size + 1; end if; shift_window(working,num_phases); end procedure find_centre_of_largest_data_valid_window; procedure find_last_failing_phase ( working : inout t_window_processing; num_phases : in natural range 1 to c_max_phase_shifts + 1 ) is begin if working.first_cycle = false then -- not first call to procedure if working.current_bit = 0 then -- and working.found_a_good_edge = false then if working.valid_phase_seen = false then working.status := no_valid_phases; elsif working.invalid_phase_seen = false then working.status := no_invalid_phases; else working.status := valid_result; end if; end if; end if; if working.working_window(1) = '1' and working.working_window(0) = '0' and working.status = calculating then working.current_window_start := working.current_bit; end if; shift_window(working, num_phases); -- shifts window and sets first_cycle = false end procedure find_last_failing_phase; procedure find_first_passing_phase ( working : inout t_window_processing; num_phases : in natural range 1 to c_max_phase_shifts ) is begin if working.first_cycle = false then -- not first call to procedure if working.current_bit = 0 then -- and working.found_a_good_edge = false then if working.valid_phase_seen = false then working.status := no_valid_phases; elsif working.invalid_phase_seen = false then working.status := no_invalid_phases; else working.status := valid_result; end if; end if; end if; if working.working_window(0) = '1' and working.last_bit_value = '0' and working.status = calculating then working.current_window_start := working.current_bit; end if; shift_window(working, num_phases); -- shifts window and sets first_cycle = false end procedure find_first_passing_phase; -- shift in current pass/fail result to the working window procedure shift_in( working : inout t_window_processing; status : in std_logic; num_phases : in natural range 1 to c_max_phase_shifts ) is begin working.last_bit_value := working.working_window(0); working.working_window(num_phases-1 downto 0) := (working.working_window(0) and status) & working.working_window(num_phases-1 downto 1); end procedure; -- The following function sets the width over which -- write latency should be repeated on the dq bus -- the default value is MEM_IF_DQ_PER_DQS function set_wlat_dq_rep_width return natural is begin for i in 1 to MEM_IF_DWIDTH/MEM_IF_DQ_PER_DQS loop if (i*MEM_IF_DQ_PER_DQS) >= ADV_LAT_WIDTH then return i*MEM_IF_DQ_PER_DQS; end if; end loop; report dgrb_report_prefix & "the specified maximum write latency cannot be fully represented in the given number of DQ pins" & LF & "** NOTE: This may cause overflow when setting ctl_wlat signal" severity warning; return MEM_IF_DQ_PER_DQS; end function; -- extract PHY 'addr/cmd' to 'wdata_valid' write latency from current read data function wd_lat_from_rdata(signal rdata : in std_logic_vector(DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0)) return std_logic_vector is variable v_wd_lat : std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); begin v_wd_lat := (others => '0'); if set_wlat_dq_rep_width >= ADV_LAT_WIDTH then v_wd_lat := rdata(v_wd_lat'high downto 0); else v_wd_lat := (others => '0'); v_wd_lat(set_wlat_dq_rep_width - 1 downto 0) := rdata(set_wlat_dq_rep_width - 1 downto 0); end if; return v_wd_lat; end function; -- check if rdata_valid is correctly aligned function rdata_valid_aligned( signal rdata : in std_logic_vector(DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0); signal rdata_valid : in std_logic_vector(DWIDTH_RATIO/2 - 1 downto 0) ) return std_logic is variable v_dq_rdata : std_logic_vector(DWIDTH_RATIO - 1 downto 0); variable v_aligned : std_logic; begin -- Look at data from a single DQ pin 0 (DWIDTH_RATIO data bits) for i in 0 to DWIDTH_RATIO - 1 loop v_dq_rdata(i) := rdata(i*MEM_IF_DWIDTH); end loop; -- Check each alignment (necessary because in the HR case rdata can be in any alignment) v_aligned := '0'; for i in 0 to DWIDTH_RATIO/2 - 1 loop if rdata_valid(i) = '1' then if v_dq_rdata(2*i + 1 downto 2*i) = "00" then v_aligned := '1'; end if; end if; end loop; return v_aligned; end function; -- set severity level for calibration failures function set_cal_fail_sev_level ( generate_additional_debug_rtl : natural ) return severity_level is begin if generate_additional_debug_rtl = 1 then return warning; else return failure; end if; end function; constant cal_fail_sev_level : severity_level := set_cal_fail_sev_level(GENERATE_ADDITIONAL_DBG_RTL); -- ------------------------------------------------------------------ -- signal declarations -- rsc = resync - the mechanism of capturing DQ pin data onto a local clock domain -- trk = tracking - a mechanism to track rsc clock phase with PVT variations -- poa = postamble - protection circuitry from postamble glitched on DQS -- ac = memory address / command signals -- ------------------------------------------------------------------ -- main state machine signal sig_dgrb_state : t_dgrb_state; signal sig_dgrb_last_state : t_dgrb_state; signal sig_rsc_req : t_resync_state; -- tells resync block which state to transition to. -- centre of data-valid window process signal sig_cdvw_state : t_window_processing; -- control signals for the address/command process signal sig_addr_cmd : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); signal sig_ac_req : t_ac_state; signal sig_dimm_driving_dq : std_logic; signal sig_doing_rd : std_logic_vector(MEM_IF_DQS_WIDTH * DWIDTH_RATIO/2 - 1 downto 0); signal sig_ac_even : std_logic; -- odd/even count of PHY clock cycles. -- -- sig_ac_even behaviour -- -- sig_ac_even is always '1' on the cycle a command is issued. It will -- be '1' on even clock cycles thereafter and '0' otherwise. -- -- ; ; ; ; ; ; -- ; _______ ; ; ; ; ; -- XXXXX / \ XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- addr/cmd XXXXXX CMD XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXX \_______/ XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- _________ _________ _________ -- sig_ac_even ____| |_________| |_________| |__________ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- phy clk -- count (0) (1) (2) (3) (4) -- -- -- resync related signals signal sig_rsc_ack : std_logic; signal sig_rsc_err : std_logic; signal sig_rsc_result : std_logic_vector(c_command_result_len - 1 downto 0 ); signal sig_rsc_cdvw_phase : std_logic; signal sig_rsc_cdvw_shift_in : std_logic; signal sig_rsc_cdvw_calc : std_logic; signal sig_rsc_pll_start_reconfig : std_logic; signal sig_rsc_pll_inc_dec_n : std_logic; signal sig_rsc_ac_access_req : std_logic; -- High when the resync block requires a training pattern to be read. -- tracking related signals signal sig_trk_ack : std_logic; signal sig_trk_err : std_logic; signal sig_trk_result : std_logic_vector(c_command_result_len - 1 downto 0 ); signal sig_trk_cdvw_phase : std_logic; signal sig_trk_cdvw_shift_in : std_logic; signal sig_trk_cdvw_calc : std_logic; signal sig_trk_pll_start_reconfig : std_logic; signal sig_trk_pll_select : std_logic_vector(CLOCK_INDEX_WIDTH - 1 DOWNTO 0); signal sig_trk_pll_inc_dec_n : std_logic; signal sig_trk_rsc_drift : integer range -c_max_rsc_drift_in_phases to c_max_rsc_drift_in_phases; -- stores total change in rsc phase from first calibration -- phs_shft_busy could (potentially) be asynchronous -- triple register it for metastability hardening -- these signals are the taps on the shift register signal sig_phs_shft_busy : std_logic; signal sig_phs_shft_busy_1t : std_logic; signal sig_phs_shft_start : std_logic; signal sig_phs_shft_end : std_logic; -- locally register crl_dgrb to minimise fan out signal ctrl_dgrb_r : t_ctrl_command; -- command_op signals signal current_cs : natural range 0 to MEM_IF_NUM_RANKS - 1; signal current_mtp_almt : natural range 0 to 1; signal single_bit_cal : std_logic; -- codvw status signals (packed into record and sent to mmi block) signal cal_codvw_phase : std_logic_vector(7 downto 0); signal codvw_trk_shift : std_logic_vector(11 downto 0); signal cal_codvw_size : std_logic_vector(7 downto 0); -- error signal and result from main state machine (operations other than rsc or tracking) signal sig_cmd_err : std_logic; signal sig_cmd_result : std_logic_vector(c_command_result_len - 1 downto 0 ); -- signals that the training pattern matched correctly on the last clock -- cycle. signal sig_dq_pin_ctr : natural range 0 to MEM_IF_DWIDTH - 1; signal sig_mtp_match : std_logic; -- controls postamble match and timing. signal sig_poa_match_en : std_logic; signal sig_poa_match : std_logic; -- postamble signals signal sig_poa_ack : std_logic; -- '1' for postamble block to acknowledge. -- calibration byte lane select signal cal_byte_lanes : std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); signal codvw_grt_one_dvw : std_logic; begin doing_rd <= sig_doing_rd; -- pack record of codvw status signals dgrb_mmi.cal_codvw_phase <= cal_codvw_phase; dgrb_mmi.codvw_trk_shift <= codvw_trk_shift; dgrb_mmi.cal_codvw_size <= cal_codvw_size; dgrb_mmi.codvw_grt_one_dvw <= codvw_grt_one_dvw; -- map some internal signals to outputs dgrb_ac <= sig_addr_cmd; -- locally register crl_dgrb to minimise fan out process (clk, rst_n) begin if rst_n = '0' then ctrl_dgrb_r <= defaults; elsif rising_edge(clk) then ctrl_dgrb_r <= ctrl_dgrb; end if; end process; -- generate the current_cs signal to track which cs accessed by PHY at any instance current_cs_proc : process (clk, rst_n) begin if rst_n = '0' then current_cs <= 0; current_mtp_almt <= 0; single_bit_cal <= '0'; cal_byte_lanes <= (others => '0'); elsif rising_edge(clk) then if ctrl_dgrb_r.command_req = '1' then current_cs <= ctrl_dgrb_r.command_op.current_cs; current_mtp_almt <= ctrl_dgrb_r.command_op.mtp_almt; single_bit_cal <= ctrl_dgrb_r.command_op.single_bit; end if; -- mux byte lane select for given chip select for i in 0 to MEM_IF_DQS_WIDTH - 1 loop cal_byte_lanes(i) <= ctl_cal_byte_lanes((current_cs * MEM_IF_DQS_WIDTH) + i); end loop; assert ctl_cal_byte_lanes(0) = '1' report dgrb_report_prefix & " Byte lane 0 (chip select 0) disable is not supported - ending simulation" severity failure; end if; end process; -- ------------------------------------------------------------------ -- main state machine for dgrb architecture -- -- process of commands from control (ctrl) block and overall control of -- the subsequent calibration processing functions -- also communicates completion and any errors back to the ctrl block -- read data valid alignment and advertised latency calculations are -- included in this block -- ------------------------------------------------------------------ dgrb_main_block : block signal sig_count : natural range 0 to 2**8 - 1; signal sig_wd_lat : std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); begin dgrb_state_proc : process(rst_n, clk) begin if rst_n = '0' then -- initialise state sig_dgrb_state <= s_idle; sig_dgrb_last_state <= s_idle; sig_ac_req <= s_ac_idle; sig_rsc_req <= s_rsc_idle; -- set up rd_lat defaults rd_lat <= c_default_rd_lat_slv; wd_lat <= c_default_wd_lat_slv; -- set up rdata_valid latency control defaults seq_rdata_valid_lat_inc <= '0'; seq_rdata_valid_lat_dec <= '0'; -- reset counter sig_count <= 0; -- error signals sig_cmd_err <= '0'; sig_cmd_result <= (others => '0'); -- sig_wd_lat sig_wd_lat <= (others => '0'); -- status of the ac_nt alignment dgrb_ctrl_ac_nt_good <= '1'; elsif rising_edge(clk) then sig_dgrb_last_state <= sig_dgrb_state; sig_rsc_req <= s_rsc_idle; -- set up rdata_valid latency control defaults seq_rdata_valid_lat_inc <= '0'; seq_rdata_valid_lat_dec <= '0'; -- error signals sig_cmd_err <= '0'; sig_cmd_result <= (others => '0'); -- register wd_lat output. wd_lat <= sig_wd_lat; case sig_dgrb_state is when s_idle => sig_count <= 0; if ctrl_dgrb_r.command_req = '1' then if curr_active_block(ctrl_dgrb_r.command) = dgrb then sig_dgrb_state <= s_wait_admin; end if; end if; sig_ac_req <= s_ac_idle; when s_wait_admin => sig_dgrb_state <= s_wait_admin; case ctrl_dgrb_r.command is when cmd_read_mtp => sig_dgrb_state <= s_read_mtp; when cmd_rrp_reset => sig_dgrb_state <= s_reset_cdvw; when cmd_rrp_sweep => sig_dgrb_state <= s_test_phases; when cmd_rrp_seek => sig_dgrb_state <= s_seek_cdvw; when cmd_rdv => sig_dgrb_state <= s_rdata_valid_align; when cmd_prep_adv_rd_lat => sig_dgrb_state <= s_adv_rd_lat_setup; when cmd_prep_adv_wr_lat => sig_dgrb_state <= s_adv_wd_lat; when cmd_tr_due => sig_dgrb_state <= s_track; when cmd_poa => sig_dgrb_state <= s_poa_cal; when others => report dgrb_report_prefix & "unknown command" severity failure; sig_dgrb_state <= s_idle; end case; when s_reset_cdvw => -- the cdvw proc watches for this state and resets the cdvw -- state block. if sig_rsc_ack = '1' then sig_dgrb_state <= s_release_admin; else sig_rsc_req <= s_rsc_reset_cdvw; end if; when s_test_phases => if sig_rsc_ack = '1' then sig_dgrb_state <= s_release_admin; else sig_rsc_req <= s_rsc_test_phase; if sig_rsc_ac_access_req = '1' then sig_ac_req <= s_ac_read_mtp; else sig_ac_req <= s_ac_idle; end if; end if; when s_seek_cdvw | s_read_mtp => if sig_rsc_ack = '1' then sig_dgrb_state <= s_release_admin; else sig_rsc_req <= s_rsc_cdvw_calc; end if; when s_release_admin => sig_ac_req <= s_ac_idle; if dgrb_ac_access_gnt = '0' and sig_dimm_driving_dq = '0' then sig_dgrb_state <= s_idle; end if; when s_rdata_valid_align => sig_ac_req <= s_ac_read_rdv; seq_rdata_valid_lat_dec <= '0'; seq_rdata_valid_lat_inc <= '0'; if sig_dimm_driving_dq = '1' then -- only do comparison if rdata_valid is all 'ones' if rdata_valid /= std_logic_vector(to_unsigned(0, DWIDTH_RATIO/2)) then -- rdata_valid is all ones if rdata_valid_aligned(rdata, rdata_valid) = '1' then -- success: rdata_valid and rdata are properly aligned sig_dgrb_state <= s_release_admin; else -- misaligned: bring in rdata_valid by a clock cycle seq_rdata_valid_lat_dec <= '1'; end if; end if; end if; when s_adv_rd_lat_setup => -- wait for sig_doing_rd to go high sig_ac_req <= s_ac_read_rdv; if sig_dgrb_state /= sig_dgrb_last_state then rd_lat <= (others => '0'); sig_count <= 0; elsif sig_dimm_driving_dq = '1' and sig_doing_rd(MEM_IF_DQS_WIDTH*(DWIDTH_RATIO/2-1)) = '1' then -- a read has started: start counter sig_dgrb_state <= s_adv_rd_lat; end if; when s_adv_rd_lat => sig_ac_req <= s_ac_read_rdv; if sig_dimm_driving_dq = '1' then if sig_count >= 2**rd_lat'length then report dgrb_report_prefix & "maximum read latency exceeded while waiting for rdata_valid" severity cal_fail_sev_level; sig_cmd_err <= '1'; sig_cmd_result <= std_logic_vector(to_unsigned(C_ERR_MAX_RD_LAT_EXCEEDED,sig_cmd_result'length)); end if; if rdata_valid /= std_logic_vector(to_unsigned(0, rdata_valid'length)) then -- have found the read latency sig_dgrb_state <= s_release_admin; else sig_count <= sig_count + 1; end if; rd_lat <= std_logic_vector(to_unsigned(sig_count, rd_lat'length)); end if; when s_adv_wd_lat => sig_ac_req <= s_ac_read_wd_lat; if sig_dgrb_state /= sig_dgrb_last_state then sig_wd_lat <= (others => '0'); else if sig_dimm_driving_dq = '1' and rdata_valid /= std_logic_vector(to_unsigned(0, rdata_valid'length)) then -- construct wd_lat using data from the lowest addresses -- wd_lat <= rdata(MEM_IF_DQ_PER_DQS - 1 downto 0); sig_wd_lat <= wd_lat_from_rdata(rdata); sig_dgrb_state <= s_release_admin; -- check data integrity for i in 1 to MEM_IF_DWIDTH/set_wlat_dq_rep_width - 1 loop -- wd_lat is copied across MEM_IF_DWIDTH bits in fields of width MEM_IF_DQ_PER_DQS. -- All of these fields must have the same value or it is an error. -- only check if byte lane not disabled if cal_byte_lanes((i*set_wlat_dq_rep_width)/MEM_IF_DQ_PER_DQS) = '1' then if rdata(set_wlat_dq_rep_width - 1 downto 0) /= rdata((i+1)*set_wlat_dq_rep_width - 1 downto i*set_wlat_dq_rep_width) then -- signal write latency different between DQS groups report dgrb_report_prefix & "the write latency read from memory is different accross dqs groups" severity cal_fail_sev_level; sig_cmd_err <= '1'; sig_cmd_result <= std_logic_vector(to_unsigned(C_ERR_WD_LAT_DISAGREEMENT, sig_cmd_result'length)); end if; end if; end loop; -- check if ac_nt alignment is ok -- in this condition all DWIDTH_RATIO copies of rdata should be identical dgrb_ctrl_ac_nt_good <= '1'; if DWIDTH_RATIO /= 2 then for j in 0 to DWIDTH_RATIO/2 - 1 loop if rdata(j*MEM_IF_DWIDTH + MEM_IF_DQ_PER_DQS - 1 downto j*MEM_IF_DWIDTH) /= rdata((j+2)*MEM_IF_DWIDTH + MEM_IF_DQ_PER_DQS - 1 downto (j+2)*MEM_IF_DWIDTH) then dgrb_ctrl_ac_nt_good <= '0'; end if; end loop; end if; end if; end if; when s_poa_cal => -- Request the address/command block begins reading the "M" -- training pattern here. There is no provision for doing -- refreshes so this limits the time spent in this state -- to 9 x tREFI (by the DDR2 JEDEC spec). Instead of the -- maximum value, a maximum "safe" time in this postamble -- state is chosen to be tpoamax = 5 x tREFI = 5 x 3.9us. -- When entering this s_poa_cal state it must be guaranteed -- that the number of stacked refreshes is at maximum. -- -- Minimum clock freq supported by DRAM is fck,min=125MHz. -- Each adjustment to postamble latency requires 16*clock -- cycles (time to read "M" training pattern twice) so -- maximum number of adjustments to POA latency (n) is: -- -- n = (5 x trefi x fck,min) / 16 -- = (5 x 3.9us x 125MHz) / 16 -- ~ 152 -- -- Postamble latency must be adjusted less than 152 cycles -- to meet this requirement. -- sig_ac_req <= s_ac_read_poa_mtp; if sig_poa_ack = '1' then sig_dgrb_state <= s_release_admin; end if; when s_track => if sig_trk_ack = '1' then sig_dgrb_state <= s_release_admin; end if; when others => null; report dgrb_report_prefix & "undefined state" severity failure; sig_dgrb_state <= s_idle; end case; -- default if not calibrating go to idle state via s_release_admin if ctrl_dgrb_r.command = cmd_idle and sig_dgrb_state /= s_idle and sig_dgrb_state /= s_release_admin then sig_dgrb_state <= s_release_admin; end if; end if; end process; end block; -- ------------------------------------------------------------------ -- metastability hardening of potentially async phs_shift_busy signal -- -- Triple register it for metastability hardening. This process -- creates the shift register. Also add a sig_phs_shft_busy and -- an sig_phs_shft_busy_1t echo because various other processes find -- this useful. -- ------------------------------------------------------------------ phs_shft_busy_reg: block signal phs_shft_busy_1r : std_logic; signal phs_shft_busy_2r : std_logic; signal phs_shft_busy_3r : std_logic; begin phs_shift_busy_sync : process (clk, rst_n) begin if rst_n = '0' then sig_phs_shft_busy <= '0'; sig_phs_shft_busy_1t <= '0'; phs_shft_busy_1r <= '0'; phs_shft_busy_2r <= '0'; phs_shft_busy_3r <= '0'; sig_phs_shft_start <= '0'; sig_phs_shft_end <= '0'; elsif rising_edge(clk) then sig_phs_shft_busy_1t <= phs_shft_busy_3r; sig_phs_shft_busy <= phs_shft_busy_2r; -- register the below to reduce fan out on sig_phs_shft_busy and sig_phs_shft_busy_1t sig_phs_shft_start <= phs_shft_busy_3r or phs_shft_busy_2r; sig_phs_shft_end <= phs_shft_busy_3r and not(phs_shft_busy_2r); phs_shft_busy_3r <= phs_shft_busy_2r; phs_shft_busy_2r <= phs_shft_busy_1r; phs_shft_busy_1r <= phs_shft_busy; end if; end process; end block; -- ------------------------------------------------------------------ -- PLL reconfig MUX -- -- switches PLL Reconfig input between tracking and resync blocks -- ------------------------------------------------------------------ pll_reconf_mux : process (clk, rst_n) begin if rst_n = '0' then seq_pll_inc_dec_n <= '0'; seq_pll_select <= (others => '0'); seq_pll_start_reconfig <= '0'; elsif rising_edge(clk) then if sig_dgrb_state = s_seek_cdvw or sig_dgrb_state = s_test_phases or sig_dgrb_state = s_reset_cdvw then seq_pll_select <= pll_resync_clk_index; seq_pll_inc_dec_n <= sig_rsc_pll_inc_dec_n; seq_pll_start_reconfig <= sig_rsc_pll_start_reconfig; elsif sig_dgrb_state = s_track then seq_pll_select <= sig_trk_pll_select; seq_pll_inc_dec_n <= sig_trk_pll_inc_dec_n; seq_pll_start_reconfig <= sig_trk_pll_start_reconfig; else seq_pll_select <= pll_measure_clk_index; seq_pll_inc_dec_n <= '0'; seq_pll_start_reconfig <= '0'; end if; end if; end process; -- ------------------------------------------------------------------ -- Centre of data valid window calculation block -- -- This block handles the sharing of the centre of window calculation -- logic between the rsc and trk operations. Functions defined in the -- header of this entity are called to do this. -- ------------------------------------------------------------------ cdvw_block : block signal sig_cdvw_calc_1t : std_logic; begin -- purpose: manages centre of data valid window calculations -- type : sequential -- inputs : clk, rst_n -- outputs: sig_cdvw_state cdvw_proc: process (clk, rst_n) variable v_cdvw_state : t_window_processing; variable v_start_calc : std_logic; variable v_shift_in : std_logic; variable v_phase : std_logic; begin -- process cdvw_proc if rst_n = '0' then -- asynchronous reset (active low) sig_cdvw_state <= defaults; sig_cdvw_calc_1t <= '0'; elsif rising_edge(clk) then -- rising clock edge v_cdvw_state := sig_cdvw_state; case sig_dgrb_state is when s_track => v_start_calc := sig_trk_cdvw_calc; v_phase := sig_trk_cdvw_phase; v_shift_in := sig_trk_cdvw_shift_in; when s_read_mtp | s_seek_cdvw | s_test_phases => v_start_calc := sig_rsc_cdvw_calc; v_phase := sig_rsc_cdvw_phase; v_shift_in := sig_rsc_cdvw_shift_in; when others => v_start_calc := '0'; v_phase := '0'; v_shift_in := '0'; end case; if sig_dgrb_state = s_reset_cdvw or (sig_dgrb_state = s_track and sig_dgrb_last_state /= s_track) then -- reset *C*entre of *D*ata *V*alid *W*indow v_cdvw_state := defaults; elsif sig_cdvw_calc_1t /= '1' and v_start_calc = '1' then initialise_window_for_proc(v_cdvw_state); elsif v_cdvw_state.status = calculating then if sig_dgrb_state = s_track then -- ensure 360 degrees sweep find_centre_of_largest_data_valid_window(v_cdvw_state, PLL_STEPS_PER_CYCLE); else -- can be a 720 degrees sweep find_centre_of_largest_data_valid_window(v_cdvw_state, c_max_phase_shifts); end if; elsif v_shift_in = '1' then if sig_dgrb_state = s_track then -- ensure 360 degrees sweep shift_in(v_cdvw_state, v_phase, PLL_STEPS_PER_CYCLE); else shift_in(v_cdvw_state, v_phase, c_max_phase_shifts); end if; end if; sig_cdvw_calc_1t <= v_start_calc; sig_cdvw_state <= v_cdvw_state; end if; end process cdvw_proc; end block; -- ------------------------------------------------------------------ -- block for resync calculation. -- -- This block implements the following: -- 1) Control logic for the rsc slave state machine -- 2) Processing of resync operations - through reports form cdvw block and -- test pattern match blocks -- 3) Shifting of the resync phase for rsc sweeps -- 4) Writing of results to iram (optional) -- ------------------------------------------------------------------ rsc_block : block signal sig_rsc_state : t_resync_state; signal sig_rsc_last_state : t_resync_state; signal sig_num_phase_shifts : natural range c_max_phase_shifts - 1 downto 0; signal sig_rewind_direction : std_logic; signal sig_count : natural range 0 to 2**8 - 1; signal sig_test_dq_expired : std_logic; signal sig_chkd_all_dq_pins : std_logic; -- prompts to write data to iram signal sig_dgrb_iram : t_iram_push; -- internal copy of dgrb to iram control signals signal sig_rsc_push_rrp_sweep : std_logic; -- push result of a rrp sweep pass (for cmd_rrp_sweep) signal sig_rsc_push_rrp_pass : std_logic; -- result of a rrp sweep result (for cmd_rrp_sweep) signal sig_rsc_push_rrp_seek : std_logic; -- write seek results (for cmd_rrp_seek / cmd_read_mtp states) signal sig_rsc_push_footer : std_logic; -- write a footer signal sig_dq_pin_ctr_r : natural range 0 to MEM_IF_DWIDTH - 1; -- registered version of dq_pin_ctr signal sig_rsc_curr_phase : natural range 0 to c_max_phase_shifts - 1; -- which phase is being processed signal sig_iram_idle : std_logic; -- track if iram currently writing data signal sig_mtp_match_en : std_logic; -- current byte lane disabled? signal sig_curr_byte_ln_dis : std_logic; signal sig_iram_wds_req : integer; -- words required for a given iram dump (used to locate where to write footer) begin -- When using DQS capture or not at full-rate only match on "even" clock cycles. sig_mtp_match_en <= active_high(sig_ac_even = '1' or MEM_IF_DQS_CAPTURE = 0 or DWIDTH_RATIO /= 2); -- register current byte lane disable mux for speed byte_lane_dis: process (clk, rst_n) begin if rst_n = '0' then sig_curr_byte_ln_dis <= '0'; elsif rising_edge(clk) then sig_curr_byte_ln_dis <= cal_byte_lanes(sig_dq_pin_ctr/MEM_IF_DQ_PER_DQS); end if; end process; -- check if all dq pins checked in rsc sweep chkd_dq : process (clk, rst_n) begin if rst_n = '0' then sig_chkd_all_dq_pins <= '0'; elsif rising_edge(clk) then if sig_dq_pin_ctr = 0 then sig_chkd_all_dq_pins <= '1'; else sig_chkd_all_dq_pins <= '0'; end if; end if; end process; -- main rsc process rsc_proc : process (clk, rst_n) -- these are temporary variables which should not infer FFs and -- are not guaranteed to be initialized by s_rsc_idle. variable v_rdata_correct : std_logic; variable v_phase_works : std_logic; begin if rst_n = '0' then -- initialise signals sig_rsc_state <= s_rsc_idle; sig_rsc_last_state <= s_rsc_idle; sig_dq_pin_ctr <= 0; sig_num_phase_shifts <= c_max_phase_shifts - 1; -- want c_max_phase_shifts-1 inc / decs of phase sig_count <= 0; sig_test_dq_expired <= '0'; v_phase_works := '0'; -- interface to other processes to tell them when we are done. sig_rsc_ack <= '0'; sig_rsc_err <= '0'; sig_rsc_result <= std_logic_vector(to_unsigned(C_SUCCESS, c_command_result_len)); -- centre of data valid window functions sig_rsc_cdvw_phase <= '0'; sig_rsc_cdvw_shift_in <= '0'; sig_rsc_cdvw_calc <= '0'; -- set up PLL reconfig interface controls sig_rsc_pll_start_reconfig <= '0'; sig_rsc_pll_inc_dec_n <= c_pll_phs_inc; sig_rewind_direction <= c_pll_phs_dec; -- True when access to the ac_block is required. sig_rsc_ac_access_req <= '0'; -- default values on centre and size of data valid window if SIM_TIME_REDUCTIONS = 1 then cal_codvw_phase <= std_logic_vector(to_unsigned(PRESET_CODVW_PHASE, 8)); cal_codvw_size <= std_logic_vector(to_unsigned(PRESET_CODVW_SIZE, 8)); else cal_codvw_phase <= (others => '0'); cal_codvw_size <= (others => '0'); end if; sig_rsc_push_rrp_sweep <= '0'; sig_rsc_push_rrp_seek <= '0'; sig_rsc_push_rrp_pass <= '0'; sig_rsc_push_footer <= '0'; codvw_grt_one_dvw <= '0'; elsif rising_edge(clk) then -- default values assigned to some signals sig_rsc_ack <= '0'; sig_rsc_cdvw_phase <= '0'; sig_rsc_cdvw_shift_in <= '0'; sig_rsc_cdvw_calc <= '0'; sig_rsc_pll_start_reconfig <= '0'; sig_rsc_pll_inc_dec_n <= c_pll_phs_inc; sig_rewind_direction <= c_pll_phs_dec; -- by default don't ask the resync block to read anything sig_rsc_ac_access_req <= '0'; sig_rsc_push_rrp_sweep <= '0'; sig_rsc_push_rrp_seek <= '0'; sig_rsc_push_rrp_pass <= '0'; sig_rsc_push_footer <= '0'; sig_test_dq_expired <= '0'; -- resync state machine case sig_rsc_state is when s_rsc_idle => -- initialize those signals we are ready to use. sig_dq_pin_ctr <= 0; sig_count <= 0; if sig_rsc_state = sig_rsc_last_state then -- avoid transition when acknowledging a command has finished if sig_rsc_req = s_rsc_test_phase then sig_rsc_state <= s_rsc_test_phase; elsif sig_rsc_req = s_rsc_cdvw_calc then sig_rsc_state <= s_rsc_cdvw_calc; elsif sig_rsc_req = s_rsc_seek_cdvw then sig_rsc_state <= s_rsc_seek_cdvw; elsif sig_rsc_req = s_rsc_reset_cdvw then sig_rsc_state <= s_rsc_reset_cdvw; else sig_rsc_state <= s_rsc_idle; end if; end if; when s_rsc_next_phase => sig_rsc_pll_inc_dec_n <= c_pll_phs_inc; sig_rsc_pll_start_reconfig <= '1'; if sig_phs_shft_start = '1' then -- PLL phase shift started - so stop requesting a shift sig_rsc_pll_start_reconfig <= '0'; end if; if sig_phs_shft_end = '1' then -- PLL phase shift finished - so proceed to flush the datapath sig_num_phase_shifts <= sig_num_phase_shifts - 1; sig_rsc_state <= s_rsc_test_phase; end if; when s_rsc_test_phase => v_phase_works := '1'; -- Note: For single pin single CS calibration set sig_dq_pin_ctr to 0 to -- ensure that only 1 pin calibrated sig_rsc_state <= s_rsc_wait_for_idle_dimm; if single_bit_cal = '1' then sig_dq_pin_ctr <= 0; else sig_dq_pin_ctr <= MEM_IF_DWIDTH-1; end if; when s_rsc_wait_for_idle_dimm => if sig_dimm_driving_dq = '0' then sig_rsc_state <= s_rsc_flush_datapath; end if; when s_rsc_flush_datapath => sig_rsc_ac_access_req <= '1'; if sig_rsc_state /= sig_rsc_last_state then -- reset variables we are interested in when we first arrive in this state. sig_count <= c_max_read_lat - 1; else if sig_dimm_driving_dq = '1' then if sig_count = 0 then sig_rsc_state <= s_rsc_test_dq; else sig_count <= sig_count - 1; end if; end if; end if; when s_rsc_test_dq => sig_rsc_ac_access_req <= '1'; if sig_rsc_state /= sig_rsc_last_state then -- reset variables we are interested in when we first arrive in this state. sig_count <= 2*c_cal_mtp_t; else if sig_dimm_driving_dq = '1' then if ( (sig_mtp_match = '1' and sig_mtp_match_en = '1') or -- have a pattern match (sig_test_dq_expired = '1') or -- time in this phase has expired. sig_curr_byte_ln_dis = '0' -- byte lane disabled ) then v_phase_works := v_phase_works and ((sig_mtp_match and sig_mtp_match_en) or (not sig_curr_byte_ln_dis)); sig_rsc_push_rrp_sweep <= '1'; sig_rsc_push_rrp_pass <= (sig_mtp_match and sig_mtp_match_en) or (not sig_curr_byte_ln_dis); if sig_chkd_all_dq_pins = '1' then -- finished checking all dq pins. -- done checking this phase. -- shift phase status into sig_rsc_cdvw_phase <= v_phase_works; sig_rsc_cdvw_shift_in <= '1'; if sig_num_phase_shifts /= 0 then -- there are more phases to test so shift to next phase sig_rsc_state <= s_rsc_next_phase; else -- no more phases to check. -- clean up after ourselves by -- going into s_rsc_rewind_phase sig_rsc_state <= s_rsc_rewind_phase; sig_rewind_direction <= c_pll_phs_dec; sig_num_phase_shifts <= c_max_phase_shifts - 1; end if; else -- shift to next dq pin if MEM_IF_DWIDTH > 71 and -- if >= 72 pins then: (sig_dq_pin_ctr mod 64) = 0 then -- ensure refreshes at least once every 64 pins sig_rsc_state <= s_rsc_wait_for_idle_dimm; else -- otherwise continue sweep sig_rsc_state <= s_rsc_flush_datapath; end if; sig_dq_pin_ctr <= sig_dq_pin_ctr - 1; end if; else sig_count <= sig_count - 1; if sig_count = 1 then sig_test_dq_expired <= '1'; end if; end if; end if; end if; when s_rsc_reset_cdvw => sig_rsc_state <= s_rsc_rewind_phase; -- determine the amount to rewind by (may be wind forward depending on tracking behaviour) if to_integer(unsigned(cal_codvw_phase)) + sig_trk_rsc_drift < 0 then sig_num_phase_shifts <= - (to_integer(unsigned(cal_codvw_phase)) + sig_trk_rsc_drift); sig_rewind_direction <= c_pll_phs_inc; else sig_num_phase_shifts <= (to_integer(unsigned(cal_codvw_phase)) + sig_trk_rsc_drift); sig_rewind_direction <= c_pll_phs_dec; end if; -- reset the calibrated phase and size to zero (because un-doing prior calibration here) cal_codvw_phase <= (others => '0'); cal_codvw_size <= (others => '0'); when s_rsc_rewind_phase => -- rewinds the resync PLL by sig_num_phase_shifts steps and returns to idle state if sig_num_phase_shifts = 0 then -- no more steps to take off, go to next state sig_num_phase_shifts <= c_max_phase_shifts - 1; if GENERATE_ADDITIONAL_DBG_RTL = 1 then -- if iram present hold off until access finished sig_rsc_state <= s_rsc_wait_iram; else sig_rsc_ack <= '1'; sig_rsc_state <= s_rsc_idle; end if; else sig_rsc_pll_inc_dec_n <= sig_rewind_direction; -- request a phase shift sig_rsc_pll_start_reconfig <= '1'; if sig_phs_shft_busy = '1' then -- inhibit a phase shift if phase shift is busy. sig_rsc_pll_start_reconfig <= '0'; end if; if sig_phs_shft_busy_1t = '1' and sig_phs_shft_busy /= '1' then -- we've just successfully removed a phase step -- decrement counter sig_num_phase_shifts <= sig_num_phase_shifts - 1; sig_rsc_pll_start_reconfig <= '0'; end if; end if; when s_rsc_cdvw_calc => if sig_rsc_state /= sig_rsc_last_state then if sig_dgrb_state = s_read_mtp then report dgrb_report_prefix & "gathered resync phase samples (for mtp alignment " & natural'image(current_mtp_almt) & ") is DGRB_PHASE_SAMPLES: " & str(sig_cdvw_state.working_window) severity note; else report dgrb_report_prefix & "gathered resync phase samples DGRB_PHASE_SAMPLES: " & str(sig_cdvw_state.working_window) severity note; end if; sig_rsc_cdvw_calc <= '1'; -- begin calculating result else sig_rsc_state <= s_rsc_cdvw_wait; end if; when s_rsc_cdvw_wait => if sig_cdvw_state.status /= calculating then -- a result has been reached. if sig_dgrb_state = s_read_mtp then -- if doing mtp alignment then skip setting phase if GENERATE_ADDITIONAL_DBG_RTL = 1 then -- if iram present hold off until access finished sig_rsc_state <= s_rsc_wait_iram; else sig_rsc_ack <= '1'; sig_rsc_state <= s_rsc_idle; end if; else if sig_cdvw_state.status = valid_result then -- calculation successfully found a -- data-valid window to seek to. sig_rsc_state <= s_rsc_seek_cdvw; sig_rsc_result <= std_logic_vector(to_unsigned(C_SUCCESS, sig_rsc_result'length)); -- If more than one data valid window was seen, then set the result code : if (sig_cdvw_state.windows_seen > 1) then report dgrb_report_prefix & "Warning : multiple data-valid windows found, largest chosen." severity note; codvw_grt_one_dvw <= '1'; else report dgrb_report_prefix & "data-valid window found successfully." severity note; end if; else -- calculation failed to find a data-valid window. report dgrb_report_prefix & "couldn't find a data-valid window in resync." severity warning; sig_rsc_ack <= '1'; sig_rsc_err <= '1'; sig_rsc_state <= s_rsc_idle; -- set resync result code case sig_cdvw_state.status is when no_invalid_phases => sig_rsc_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_NO_VALID_PHASES, sig_rsc_result'length)); when multiple_equal_windows => sig_rsc_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_MULTIPLE_EQUAL_WINDOWS, sig_rsc_result'length)); when no_valid_phases => sig_rsc_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_NO_VALID_PHASES, sig_rsc_result'length)); when others => sig_rsc_result <= std_logic_vector(to_unsigned(C_ERR_CRITICAL, sig_rsc_result'length)); end case; end if; end if; -- signal to write a rrp_sweep result to iram if GENERATE_ADDITIONAL_DBG_RTL = 1 then sig_rsc_push_rrp_seek <= '1'; end if; end if; when s_rsc_seek_cdvw => if sig_rsc_state /= sig_rsc_last_state then -- reset variables we are interested in when we first arrive in this state sig_count <= sig_cdvw_state.largest_window_centre; else if sig_count = 0 or ((MEM_IF_DQS_CAPTURE = 1 and DWIDTH_RATIO = 2) and sig_count = PLL_STEPS_PER_CYCLE) -- if FR and DQS capture ensure within 0-360 degrees phase then -- ready to transition to next state if GENERATE_ADDITIONAL_DBG_RTL = 1 then -- if iram present hold off until access finished sig_rsc_state <= s_rsc_wait_iram; else sig_rsc_ack <= '1'; sig_rsc_state <= s_rsc_idle; end if; -- return largest window centre and size in the result -- perform cal_codvw phase / size update only if a valid result is found if sig_cdvw_state.status = valid_result then cal_codvw_phase <= std_logic_vector(to_unsigned(sig_cdvw_state.largest_window_centre, 8)); cal_codvw_size <= std_logic_vector(to_unsigned(sig_cdvw_state.largest_window_size, 8)); end if; -- leaving sig_rsc_err or sig_rsc_result at -- their default values (of success) else sig_rsc_pll_inc_dec_n <= c_pll_phs_inc; -- request a phase shift sig_rsc_pll_start_reconfig <= '1'; if sig_phs_shft_start = '1' then -- inhibit a phase shift if phase shift is busy sig_rsc_pll_start_reconfig <= '0'; end if; if sig_phs_shft_end = '1' then -- we've just successfully removed a phase step -- decrement counter sig_count <= sig_count - 1; end if; end if; end if; when s_rsc_wait_iram => -- hold off check 1 clock cycle to enable last rsc push operations to start if sig_rsc_state = sig_rsc_last_state then if sig_iram_idle = '1' then sig_rsc_ack <= '1'; sig_rsc_state <= s_rsc_idle; if sig_dgrb_state = s_test_phases or sig_dgrb_state = s_seek_cdvw or sig_dgrb_state = s_read_mtp then sig_rsc_push_footer <= '1'; end if; end if; end if; when others => null; end case; sig_rsc_last_state <= sig_rsc_state; end if; end process; -- write results to the iram iram_push: process (clk, rst_n) begin if rst_n = '0' then sig_dgrb_iram <= defaults; sig_iram_idle <= '0'; sig_dq_pin_ctr_r <= 0; sig_rsc_curr_phase <= 0; sig_iram_wds_req <= 0; elsif rising_edge(clk) then if GENERATE_ADDITIONAL_DBG_RTL = 1 then if sig_dgrb_iram.iram_write = '1' and sig_dgrb_iram.iram_done = '1' then report dgrb_report_prefix & "iram_done and iram_write signals concurrently set - iram contents may be corrupted" severity failure; end if; if sig_dgrb_iram.iram_write = '0' and sig_dgrb_iram.iram_done = '0' then sig_iram_idle <= '1'; else sig_iram_idle <= '0'; end if; -- registered sig_dq_pin_ctr to align with rrp_sweep result sig_dq_pin_ctr_r <= sig_dq_pin_ctr; -- calculate current phase (registered to align with rrp_sweep result) sig_rsc_curr_phase <= (c_max_phase_shifts - 1) - sig_num_phase_shifts; -- serial push of rrp_sweep results into memory if sig_rsc_push_rrp_sweep = '1' then -- signal an iram write and track a write pending sig_dgrb_iram.iram_write <= '1'; sig_iram_idle <= '0'; -- if not single_bit_cal then pack pin phase results in MEM_IF_DWIDTH word blocks if single_bit_cal = '1' then sig_dgrb_iram.iram_wordnum <= sig_dq_pin_ctr_r + (sig_rsc_curr_phase/32); sig_iram_wds_req <= iram_wd_for_one_pin_rrp( DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, MEM_IF_DWIDTH, MEM_IF_DQS_CAPTURE); -- note total word requirement else sig_dgrb_iram.iram_wordnum <= sig_dq_pin_ctr_r + (sig_rsc_curr_phase/32) * MEM_IF_DWIDTH; sig_iram_wds_req <= iram_wd_for_full_rrp( DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, MEM_IF_DWIDTH, MEM_IF_DQS_CAPTURE); -- note total word requirement end if; -- check if current pin and phase passed: sig_dgrb_iram.iram_pushdata(0) <= sig_rsc_push_rrp_pass; -- bit offset is modulo phase sig_dgrb_iram.iram_bitnum <= sig_rsc_curr_phase mod 32; end if; -- write result of rrp_calc to iram when completed if sig_rsc_push_rrp_seek = '1' then -- a result found sig_dgrb_iram.iram_write <= '1'; sig_iram_idle <= '0'; sig_dgrb_iram.iram_wordnum <= 0; sig_iram_wds_req <= 1; -- note total word requirement if sig_cdvw_state.status = valid_result then -- result is valid sig_dgrb_iram.iram_pushdata <= x"0000" & std_logic_vector(to_unsigned(sig_cdvw_state.largest_window_centre, 8)) & std_logic_vector(to_unsigned(sig_cdvw_state.largest_window_size, 8)); else -- invalid result (error code communicated elsewhere) sig_dgrb_iram.iram_pushdata <= x"FFFF" & -- signals an error condition x"0000"; end if; end if; -- when stage finished write footer if sig_rsc_push_footer = '1' then sig_dgrb_iram.iram_done <= '1'; sig_iram_idle <= '0'; -- set address location of footer sig_dgrb_iram.iram_wordnum <= sig_iram_wds_req; end if; -- if write completed deassert iram_write and done signals if iram_push_done = '1' then sig_dgrb_iram.iram_write <= '0'; sig_dgrb_iram.iram_done <= '0'; end if; else sig_iram_idle <= '0'; sig_dq_pin_ctr_r <= 0; sig_rsc_curr_phase <= 0; sig_dgrb_iram <= defaults; end if; end if; end process; -- concurrently assign sig_dgrb_iram to dgrb_iram dgrb_iram <= sig_dgrb_iram; end block; -- resync calculation -- ------------------------------------------------------------------ -- test pattern match block -- -- This block handles the sharing of logic for test pattern matching -- which is used in resync and postamble calibration / code blocks -- ------------------------------------------------------------------ tp_match_block : block -- -- Ascii Waveforms: -- -- ; ; ; ; ; ; -- ____ ____ ____ ____ ____ ____ -- delayed_dqs |____| |____| |____| |____| |____| |____| |____| -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; _______ ; _______ ; _______ ; _______ ; _______ _______ -- XXXXX / \ / \ / \ / \ / \ / \ -- c0,c1 XXXXXX A B X C D X E F X G H X I J X L M X captured data -- XXXXX \_______/ \_______/ \_______/ \_______/ \_______/ \_______/ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ____; ____; ____ ____ ____ ____ ____ -- 180-resync_clk |____| |____| |____| |____| |____| |____| | 180deg shift from delayed dqs -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; _______ _______ _______ _______ _______ ____ -- XXXXXXXXXX / \ / \ / \ / \ / \ / -- 180-r0,r1 XXXXXXXXXXX A B X C D X E F X G H X I J X L resync data -- XXXXXXXXXX \_______/ \_______/ \_______/ \_______/ \_______/ \____ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ____ ____ ____ ____ ____ ____ -- 360-resync_clk ____| |____| |____| |____| |____| |____| |____| -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; _______ ; _______ ; _______ ; _______ ; _______ -- XXXXXXXXXXXXXXX / \ / \ / \ / \ / \ -- 360-r0,r1 XXXXXXXXXXXXXXXX A B X C D X E F X G H X I J X resync data -- XXXXXXXXXXXXXXX \_______/ \_______/ \_______/ \_______/ \_______/ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ____ ____ ____ ____ ____ ____ ____ -- 540-resync_clk |____| |____| |____| |____| |____| |____| | -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; _______ _______ _______ _______ ____ -- XXXXXXXXXXXXXXXXXXX / \ / \ / \ / \ / -- 540-r0,r1 XXXXXXXXXXXXXXXXXXXX A B X C D X E F X G H X I resync data -- XXXXXXXXXXXXXXXXXXX \_______/ \_______/ \_______/ \_______/ \____ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ;____ ____ ____ ____ ____ ____ -- phy_clk |____| |____| |____| |____| |____| |____| |____| -- -- 0 1 2 3 4 5 6 -- -- -- |<- Aligned Data ->| -- phy_clk 180-r0,r1 540-r0,r1 sig_mtp_match_en (generated from sig_ac_even) -- 0 XXXXXXXX XXXXXXXX '1' -- 1 XXXXXXAB XXXXXXXX '0' -- 2 XXXXABCD XXXXXXAB '1' -- 3 XXABCDEF XXXXABCD '0' -- 4 ABCDEFGH XXABCDEF '1' -- 5 CDEFGHAB ABCDEFGH '0' -- -- In DQS-based capture, sweeping resync_clk from 180 degrees to 360 -- does not necessarily result in a failure because the setup/hold -- requirements are so small. The data comparison needs to fail when -- the resync_clk is shifted more than 360 degrees. The -- sig_mtp_match_en signal allows the sequencer to blind itself -- training pattern matches that occur above 360 degrees. -- -- -- -- -- -- Asserts sig_mtp_match. -- -- Data comes in from rdata and is pushed into a two-bit wide shift register. -- It is a critical assumption that the rdata comes back byte aligned. -- -- --sig_mtp_match_valid -- rdata_valid (shift-enable) -- | -- | -- +-----------------------+-----------+------------------+ -- ___ | | | -- dq(0) >---| \ | Shift Register | -- dq(1) >---| \ +------+ +------+ +------------------+ -- dq(2) >---| )--->| D(0) |-+->| D(1) |-+->...-+->| D(c_cal_mtp_len - 1) | -- ... | / +------+ | +------+ | | +------------------+ -- dq(n-1) >---|___/ +-----------++-...-+ -- | || +---+ -- | (==)--------> sig_mtp_match_0t ---->| |-->sig_mtp_match_1t-->sig_mtp_match -- | || +---+ -- | +-----------++...-+ -- sig_dq_pin_ctr >-+ +------+ | +------+ | | +------------------+ -- | P(0) |-+ | P(1) |-+ ...-+->| P(c_cal_mtp_len - 1) | -- +------+ +------+ +------------------+ -- -- -- -- signal sig_rdata_current_pin : std_logic_vector(c_cal_mtp_len - 1 downto 0); -- A fundamental assumption here is that rdata_valid is all -- ones or all zeros - not both. signal sig_rdata_valid_1t : std_logic; -- rdata_valid delayed by 1 clock period. signal sig_rdata_valid_2t : std_logic; -- rdata_valid delayed by 2 clock periods. begin rdata_valid_1t_proc : process (clk, rst_n) begin if rst_n = '0' then sig_rdata_valid_1t <= '0'; sig_rdata_valid_2t <= '0'; elsif rising_edge(clk) then sig_rdata_valid_2t <= sig_rdata_valid_1t; sig_rdata_valid_1t <= rdata_valid(0); end if; end process; -- MUX data into sig_rdata_current_pin shift register. rdata_current_pin_proc: process (clk, rst_n) begin if rst_n = '0' then sig_rdata_current_pin <= (others => '0'); elsif rising_edge(clk) then -- shift old data down the shift register sig_rdata_current_pin(sig_rdata_current_pin'high - DWIDTH_RATIO downto 0) <= sig_rdata_current_pin(sig_rdata_current_pin'high downto DWIDTH_RATIO); -- shift new data into the bottom of the shift register. for i in 0 to DWIDTH_RATIO - 1 loop sig_rdata_current_pin(sig_rdata_current_pin'high - DWIDTH_RATIO + 1 + i) <= rdata(i*MEM_IF_DWIDTH + sig_dq_pin_ctr); end loop; end if; end process; mtp_match_proc : process (clk, rst_n) begin if rst_n = '0' then -- * when at least c_max_read_lat clock cycles have passed sig_mtp_match <= '0'; elsif rising_edge(clk) then sig_mtp_match <= '0'; if sig_rdata_current_pin = c_cal_mtp then sig_mtp_match <= '1'; end if; end if; end process; poa_match_proc : process (clk, rst_n) -- poa_match_Calibration Strategy -- -- Ascii Waveforms: -- -- __ __ __ __ __ __ __ __ __ -- clk __| |__| |__| |__| |__| |__| |__| |__| |__| | -- -- ; ; ; ; -- _________________ -- rdata_valid ________| |___________________________ -- -- ; ; ; ; -- _____ -- poa_match_en ______________________________________| |_______________ -- -- ; ; ; ; -- _____ -- poa_match XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXX -- -- -- Notes: -- -poa_match is only valid while poa_match_en is asserted. -- -- -- -- -- -- begin if rst_n = '0' then sig_poa_match_en <= '0'; sig_poa_match <= '0'; elsif rising_edge(clk) then sig_poa_match <= '0'; sig_poa_match_en <= '0'; if sig_rdata_valid_2t = '1' and sig_rdata_valid_1t = '0' then sig_poa_match_en <= '1'; end if; if DWIDTH_RATIO = 2 then if sig_rdata_current_pin(sig_rdata_current_pin'high downto sig_rdata_current_pin'length - 6) = "111100" then sig_poa_match <= '1'; end if; elsif DWIDTH_RATIO = 4 then if sig_rdata_current_pin(sig_rdata_current_pin'high downto sig_rdata_current_pin'length - 8) = "11111100" then sig_poa_match <= '1'; end if; else report dgrb_report_prefix & "unsupported DWIDTH_RATIO" severity failure; end if; end if; end process; end block; -- ------------------------------------------------------------------ -- Postamble calibration -- -- Implements the postamble slave state machine and collates the -- processing data from the test pattern match block. -- ------------------------------------------------------------------ poa_block : block -- Postamble Calibration Strategy -- -- Ascii Waveforms: -- -- c_read_burst_t c_read_burst_t -- ;<------->; ;<------->; -- ; ; ; ; -- __ / / __ -- mem_dq[0] ___________| |_____\ \________| |___ -- -- ; ; ; ; -- ; ; ; ; -- _________ / / _________ -- poa_enable ______| |___\ \_| |___ -- ; ; ; ; -- ; ; ; ; -- __ / / ______ -- rdata[0] ___________| |______\ \_______| -- ; ; ; ; -- ; ; ; ; -- ; ; ; ; -- _ / / _ -- poa_match_en _____________| |___\ \___________| |_ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- / / _ -- poa_match ___________________\ \___________| |_ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- _ / / -- seq_poa_lat_dec _______________| |_\ \_______________ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- / / -- seq_poa_lat_inc ___________________\ \_______________ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- -- (1) (2) -- -- -- (1) poa_enable signal is late, and the zeros on mem_dq after (1) -- are captured. -- (2) poa_enable signal is aligned. Zeros following (2) are not -- captured rdata remains at '1'. -- -- The DQS capture circuit wth the dqs enable asynchronous set. -- -- -- -- dqs_en_async_preset ----------+ -- | -- v -- +---------+ -- +--|Q SET D|----------- gnd -- | | <O---+ -- | +---------+ | -- | | -- | | -- +--+---. | -- |AND )--------+------- dqs_bus -- delayed_dqs -----+---^ -- -- -- -- _____ _____ _____ _____ -- dqs ____| |_____| |_____| |_____| |_____XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- ; ; ; ; ; -- ; ; ; ; -- _____ _____ _____ _____ -- delayed_dqs _______| |_____| |_____| |_____| |_____XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- -- ; ; ; ; ; -- ; ______________________________________________________________ -- dqs_en_async_ _____________________________| |_____ -- preset -- ; ; ; ; ; -- ; ; ; ; ; -- _____ _____ _____ -- dqs_bus _______| |_________________| |_____| |_____XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- -- ; ; -- (1) (2) -- -- -- Notes: -- (1) The dqs_bus pulse here comes because the last value of Q -- is '1' until the first DQS pulse clocks gnd into the FF, -- brings low the AND gate, and disables dqs_bus. A training -- pattern could potentially match at this point even though -- between (1) and (2) there are no dqs_bus triggers. Data -- is frozen on rdata while awaiting the dqs_bus pulses at -- (2). For this reason, wait until the first match of the -- training pattern, and continue reducing latency until it -- TP no longer matches, then increase latency by one. In -- this case, dqs_en_async_preset will have its latency -- reduced by three until the training pattern is not matched, -- then latency is increased by one. -- -- -- -- -- Postamble calibration state type t_poa_state is ( -- decrease poa enable latency by 1 cycle iteratively until 'correct' position found s_poa_rewind_to_pass, -- poa cal complete s_poa_done ); constant c_poa_lat_cmd_wait : natural := 10; -- Number of clock cycles to wait for lat_inc/lat_dec signal to take effect. constant c_poa_max_lat : natural := 100; -- Maximum number of allowable latency changes. signal sig_poa_adjust_count : integer range 0 to 2**8 - 1; signal sig_poa_state : t_poa_state; begin poa_proc : process (clk, rst_n) begin if rst_n = '0' then sig_poa_ack <= '0'; seq_poa_lat_dec_1x <= (others => '0'); seq_poa_lat_inc_1x <= (others => '0'); sig_poa_adjust_count <= 0; sig_poa_state <= s_poa_rewind_to_pass; elsif rising_edge(clk) then sig_poa_ack <= '0'; seq_poa_lat_inc_1x <= (others => '0'); seq_poa_lat_dec_1x <= (others => '0'); if sig_dgrb_state = s_poa_cal then case sig_poa_state is when s_poa_rewind_to_pass => -- In postamble calibration -- -- Normally, must wait for sig_dimm_driving_dq to be '1' -- before reading, but by this point in calibration -- rdata_valid is assumed to be set up properly. The -- sig_poa_match_en (derived from rdata_valid) is used -- here rather than sig_dimm_driving_dq. if sig_poa_match_en = '1' then if sig_poa_match = '1' then sig_poa_state <= s_poa_done; else seq_poa_lat_dec_1x <= (others => '1'); end if; sig_poa_adjust_count <= sig_poa_adjust_count + 1; end if; when s_poa_done => sig_poa_ack <= '1'; end case; else sig_poa_state <= s_poa_rewind_to_pass; sig_poa_adjust_count <= 0; end if; assert sig_poa_adjust_count <= c_poa_max_lat report dgrb_report_prefix & "Maximum number of postamble latency adjustments exceeded." severity failure; end if; end process; end block; -- ------------------------------------------------------------------ -- code block for tracking signal generation -- -- this is used for initial tracking setup (finding a reference window) -- and periodic tracking operations (PVT compensation on rsc phase) -- -- A slave trk state machine is described and implemented within the block -- The mimic path is controlled within this block -- ------------------------------------------------------------------ trk_block : block type t_tracking_state is ( -- initialise variables out of reset s_trk_init, -- idle state s_trk_idle, -- sample data from the mimic path (build window) s_trk_mimic_sample, -- 'shift' mimic path phase s_trk_next_phase, -- calculate mimic window s_trk_cdvw_calc, s_trk_cdvw_wait, -- for results -- calculate how much mimic window has moved (only entered in periodic tracking) s_trk_cdvw_drift, -- track rsc phase (only entered in periodic tracking) s_trk_adjust_resync, -- communicate command complete to the master state machine s_trk_complete ); signal sig_mmc_seq_done : std_logic; signal sig_mmc_seq_done_1t : std_logic; signal mmc_seq_value_r : std_logic; signal sig_mmc_start : std_logic; signal sig_trk_state : t_tracking_state; signal sig_trk_last_state : t_tracking_state; signal sig_rsc_drift : integer range -c_max_rsc_drift_in_phases to c_max_rsc_drift_in_phases; -- stores total change in rsc phase from first calibration signal sig_req_rsc_shift : integer range -c_max_rsc_drift_in_phases to c_max_rsc_drift_in_phases; -- stores required shift in rsc phase instantaneously signal sig_mimic_cdv_found : std_logic; signal sig_mimic_cdv : integer range 0 to PLL_STEPS_PER_CYCLE; -- centre of data valid window calculated from first mimic-cycle signal sig_mimic_delta : integer range -PLL_STEPS_PER_CYCLE to PLL_STEPS_PER_CYCLE; signal sig_large_drift_seen : std_logic; signal sig_remaining_samples : natural range 0 to 2**8 - 1; begin -- advertise the codvw phase shift process (clk, rst_n) variable v_length : integer; begin if rst_n = '0' then codvw_trk_shift <= (others => '0'); elsif rising_edge(clk) then if sig_mimic_cdv_found = '1' then -- check range v_length := codvw_trk_shift'length; codvw_trk_shift <= std_logic_vector(to_signed(sig_rsc_drift, v_length)); else codvw_trk_shift <= (others => '0'); end if; end if; end process; -- request a mimic sample mimic_sample_req : process (clk, rst_n) variable seq_mmc_start_r : std_logic_vector(3 downto 0); begin if rst_n = '0' then seq_mmc_start <= '0'; seq_mmc_start_r := "0000"; elsif rising_edge(clk) then seq_mmc_start_r(3) := seq_mmc_start_r(2); seq_mmc_start_r(2) := seq_mmc_start_r(1); seq_mmc_start_r(1) := seq_mmc_start_r(0); -- extend sig_mmc_start by one clock cycle if sig_mmc_start = '1' then seq_mmc_start <= '1'; seq_mmc_start_r(0) := '1'; elsif ( (seq_mmc_start_r(3) = '1') or (seq_mmc_start_r(2) = '1') or (seq_mmc_start_r(1) = '1') or (seq_mmc_start_r(0) = '1') ) then seq_mmc_start <= '1'; seq_mmc_start_r(0) := '0'; else seq_mmc_start <= '0'; end if; end if; end process; -- metastability hardening of async mmc_seq_done signal mmc_seq_req_sync : process (clk, rst_n) variable v_mmc_seq_done_1r : std_logic; variable v_mmc_seq_done_2r : std_logic; variable v_mmc_seq_done_3r : std_logic; begin if rst_n = '0' then sig_mmc_seq_done <= '0'; sig_mmc_seq_done_1t <= '0'; v_mmc_seq_done_1r := '0'; v_mmc_seq_done_2r := '0'; v_mmc_seq_done_3r := '0'; elsif rising_edge(clk) then sig_mmc_seq_done_1t <= v_mmc_seq_done_3r; sig_mmc_seq_done <= v_mmc_seq_done_2r; mmc_seq_value_r <= mmc_seq_value; v_mmc_seq_done_3r := v_mmc_seq_done_2r; v_mmc_seq_done_2r := v_mmc_seq_done_1r; v_mmc_seq_done_1r := mmc_seq_done; end if; end process; -- collect mimic samples as they arrive shift_in_mmc_seq_value : process (clk, rst_n) begin if rst_n = '0' then sig_trk_cdvw_shift_in <= '0'; sig_trk_cdvw_phase <= '0'; elsif rising_edge(clk) then sig_trk_cdvw_shift_in <= '0'; sig_trk_cdvw_phase <= '0'; if sig_mmc_seq_done_1t = '1' and sig_mmc_seq_done = '0' then sig_trk_cdvw_shift_in <= '1'; sig_trk_cdvw_phase <= mmc_seq_value_r; end if; end if; end process; -- main tracking state machine trk_proc : process (clk, rst_n) begin if rst_n = '0' then sig_trk_state <= s_trk_init; sig_trk_last_state <= s_trk_init; sig_trk_result <= (others => '0'); sig_trk_err <= '0'; sig_mmc_start <= '0'; sig_trk_pll_select <= (others => '0'); sig_req_rsc_shift <= -c_max_rsc_drift_in_phases; sig_rsc_drift <= -c_max_rsc_drift_in_phases; sig_mimic_delta <= -PLL_STEPS_PER_CYCLE; sig_mimic_cdv_found <= '0'; sig_mimic_cdv <= 0; sig_large_drift_seen <= '0'; sig_trk_cdvw_calc <= '0'; sig_remaining_samples <= 0; sig_trk_pll_start_reconfig <= '0'; sig_trk_pll_inc_dec_n <= c_pll_phs_inc; sig_trk_ack <= '0'; elsif rising_edge(clk) then sig_trk_pll_select <= pll_measure_clk_index; sig_trk_pll_start_reconfig <= '0'; sig_trk_pll_inc_dec_n <= c_pll_phs_inc; sig_large_drift_seen <= '0'; sig_trk_cdvw_calc <= '0'; sig_trk_ack <= '0'; sig_trk_err <= '0'; sig_trk_result <= (others => '0'); sig_mmc_start <= '0'; -- if no cdv found then reset tracking results if sig_mimic_cdv_found = '0' then sig_rsc_drift <= 0; sig_req_rsc_shift <= 0; sig_mimic_delta <= 0; end if; if sig_dgrb_state = s_track then -- resync state machine case sig_trk_state is when s_trk_init => sig_trk_state <= s_trk_idle; sig_mimic_cdv_found <= '0'; sig_rsc_drift <= 0; sig_req_rsc_shift <= 0; sig_mimic_delta <= 0; when s_trk_idle => sig_remaining_samples <= PLL_STEPS_PER_CYCLE; -- ensure a 360 degrees sweep sig_trk_state <= s_trk_mimic_sample; when s_trk_mimic_sample => if sig_remaining_samples = 0 then sig_trk_state <= s_trk_cdvw_calc; else if sig_trk_state /= sig_trk_last_state then -- request a sample as soon as we arrive in this state. -- the default value of sig_mmc_start is zero! sig_mmc_start <= '1'; end if; if sig_mmc_seq_done_1t = '1' and sig_mmc_seq_done = '0' then -- a sample has been collected, go to next PLL phase sig_remaining_samples <= sig_remaining_samples - 1; sig_trk_state <= s_trk_next_phase; end if; end if; when s_trk_next_phase => sig_trk_pll_start_reconfig <= '1'; sig_trk_pll_inc_dec_n <= c_pll_phs_inc; if sig_phs_shft_start = '1' then sig_trk_pll_start_reconfig <= '0'; end if; if sig_phs_shft_end = '1' then sig_trk_state <= s_trk_mimic_sample; end if; when s_trk_cdvw_calc => if sig_trk_state /= sig_trk_last_state then -- reset variables we are interested in when we first arrive in this state sig_trk_cdvw_calc <= '1'; report dgrb_report_prefix & "gathered mimic phase samples DGRB_MIMIC_SAMPLES: " & str(sig_cdvw_state.working_window(sig_cdvw_state.working_window'high downto sig_cdvw_state.working_window'length - PLL_STEPS_PER_CYCLE)) severity note; else sig_trk_state <= s_trk_cdvw_wait; end if; when s_trk_cdvw_wait => if sig_cdvw_state.status /= calculating then if sig_cdvw_state.status = valid_result then report dgrb_report_prefix & "mimic window successfully found." severity note; if sig_mimic_cdv_found = '0' then -- first run of tracking operation sig_mimic_cdv_found <= '1'; sig_mimic_cdv <= sig_cdvw_state.largest_window_centre; sig_trk_state <= s_trk_complete; else -- subsequent tracking operation runs sig_mimic_delta <= sig_mimic_cdv - sig_cdvw_state.largest_window_centre; sig_mimic_cdv <= sig_cdvw_state.largest_window_centre; sig_trk_state <= s_trk_cdvw_drift; end if; else report dgrb_report_prefix & "couldn't find a data-valid window for tracking." severity cal_fail_sev_level; sig_trk_ack <= '1'; sig_trk_err <= '1'; sig_trk_state <= s_trk_idle; -- set resync result code case sig_cdvw_state.status is when no_invalid_phases => sig_trk_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_NO_INVALID_PHASES, sig_trk_result'length)); when multiple_equal_windows => sig_trk_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_MULTIPLE_EQUAL_WINDOWS, sig_trk_result'length)); when no_valid_phases => sig_trk_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_NO_VALID_PHASES, sig_trk_result'length)); when others => sig_trk_result <= std_logic_vector(to_unsigned(C_ERR_CRITICAL, sig_trk_result'length)); end case; end if; end if; when s_trk_cdvw_drift => -- calculate the drift in rsc phase -- pipeline stage 1 if abs(sig_mimic_delta) > PLL_STEPS_PER_CYCLE/2 then sig_large_drift_seen <= '1'; else sig_large_drift_seen <= '0'; end if; --pipeline stage 2 if sig_trk_state = sig_trk_last_state then if sig_large_drift_seen = '1' then if sig_mimic_delta < 0 then -- anti-clockwise movement sig_req_rsc_shift <= sig_req_rsc_shift + sig_mimic_delta + PLL_STEPS_PER_CYCLE; else -- clockwise movement sig_req_rsc_shift <= sig_req_rsc_shift + sig_mimic_delta - PLL_STEPS_PER_CYCLE; end if; else sig_req_rsc_shift <= sig_req_rsc_shift + sig_mimic_delta; end if; sig_trk_state <= s_trk_adjust_resync; end if; when s_trk_adjust_resync => sig_trk_pll_select <= pll_resync_clk_index; sig_trk_pll_start_reconfig <= '1'; if sig_trk_state /= sig_trk_last_state then if sig_req_rsc_shift < 0 then sig_trk_pll_inc_dec_n <= c_pll_phs_inc; sig_req_rsc_shift <= sig_req_rsc_shift + 1; sig_rsc_drift <= sig_rsc_drift + 1; elsif sig_req_rsc_shift > 0 then sig_trk_pll_inc_dec_n <= c_pll_phs_dec; sig_req_rsc_shift <= sig_req_rsc_shift - 1; sig_rsc_drift <= sig_rsc_drift - 1; else sig_trk_state <= s_trk_complete; sig_trk_pll_start_reconfig <= '0'; end if; else sig_trk_pll_inc_dec_n <= sig_trk_pll_inc_dec_n; -- maintain current value end if; if abs(sig_rsc_drift) = c_max_rsc_drift_in_phases then report dgrb_report_prefix & " a maximum absolute change in resync_clk of " & integer'image(sig_rsc_drift) & " phases has " & LF & " occurred (since read resynch phase calibration) during tracking" severity cal_fail_sev_level; sig_trk_err <= '1'; sig_trk_result <= std_logic_vector(to_unsigned(C_ERR_MAX_TRK_SHFT_EXCEEDED, sig_trk_result'length)); end if; if sig_phs_shft_start = '1' then sig_trk_pll_start_reconfig <= '0'; end if; if sig_phs_shft_end = '1' then sig_trk_state <= s_trk_complete; end if; when s_trk_complete => sig_trk_ack <= '1'; end case; sig_trk_last_state <= sig_trk_state; else sig_trk_state <= s_trk_idle; sig_trk_last_state <= s_trk_idle; end if; end if; end process; rsc_drift: process (sig_rsc_drift) begin sig_trk_rsc_drift <= sig_rsc_drift; -- communicate tracking shift to rsc process end process; end block; -- tracking signals -- ------------------------------------------------------------------ -- write-datapath (WDP) ` and on-chip-termination (OCT) signal -- ------------------------------------------------------------------ wdp_oct : process(clk,rst_n) begin if rst_n = '0' then seq_oct_value <= c_set_oct_to_rs; dgrb_wdp_ovride <= '0'; elsif rising_edge(clk) then if ((sig_dgrb_state = s_idle) or (EN_OCT = 0)) then seq_oct_value <= c_set_oct_to_rs; dgrb_wdp_ovride <= '0'; else seq_oct_value <= c_set_oct_to_rt; dgrb_wdp_ovride <= '1'; end if; end if; end process; -- ------------------------------------------------------------------ -- handles muxing of error codes to the control block -- ------------------------------------------------------------------ ac_handshake_proc : process(rst_n, clk) begin if rst_n = '0' then dgrb_ctrl <= defaults; elsif rising_edge(clk) then dgrb_ctrl <= defaults; if sig_dgrb_state = s_wait_admin and sig_dgrb_last_state = s_idle then dgrb_ctrl.command_ack <= '1'; end if; case sig_dgrb_state is when s_seek_cdvw => dgrb_ctrl.command_err <= sig_rsc_err; dgrb_ctrl.command_result <= sig_rsc_result; when s_track => dgrb_ctrl.command_err <= sig_trk_err; dgrb_ctrl.command_result <= sig_trk_result; when others => -- from main state machine dgrb_ctrl.command_err <= sig_cmd_err; dgrb_ctrl.command_result <= sig_cmd_result; end case; if ctrl_dgrb_r.command = cmd_read_mtp then -- check against command because aligned with command done not command_err dgrb_ctrl.command_err <= '0'; dgrb_ctrl.command_result <= std_logic_vector(to_unsigned(sig_cdvw_state.largest_window_size,dgrb_ctrl.command_result'length)); end if; if sig_dgrb_state = s_idle and sig_dgrb_last_state = s_release_admin then dgrb_ctrl.command_done <= '1'; end if; end if; end process; -- ------------------------------------------------------------------ -- address/command state machine -- process is commanded to begin reading training patterns. -- -- implements the address/command slave state machine -- issues read commands to the memory relative to given calibration -- stage being implemented -- burst length is dependent on memory type -- ------------------------------------------------------------------ ac_block : block -- override the calibration burst length for DDR3 device support -- (requires BL8 / on the fly setting in MR in admin block) function set_read_bl ( memtype: in string ) return natural is begin if memtype = "DDR3" then return 8; elsif memtype = "DDR" or memtype = "DDR2" then return c_cal_burst_len; else report dgrb_report_prefix & " a calibration burst length choice has not been set for memory type " & memtype severity failure; end if; return 0; end function; -- parameterisation of the read algorithm by burst length constant c_poa_addr_width : natural := 6; constant c_cal_read_burst_len : natural := set_read_bl(MEM_IF_MEMTYPE); constant c_bursts_per_btp : natural := c_cal_mtp_len / c_cal_read_burst_len; constant c_read_burst_t : natural := c_cal_read_burst_len / DWIDTH_RATIO; constant c_max_rdata_valid_lat : natural := 50*(c_cal_read_burst_len / DWIDTH_RATIO); -- maximum latency that rdata_valid can ever have with respect to doing_rd constant c_rdv_ones_rd_clks : natural := (c_max_rdata_valid_lat + c_read_burst_t) / c_read_burst_t; -- number of cycles to read ones for before a pulse of zeros -- array of burst training pattern addresses -- here the MTP is used in this addressing subtype t_btp_addr is natural range 0 to 2 ** MEM_IF_ADDR_WIDTH - 1; type t_btp_addr_array is array (0 to c_bursts_per_btp - 1) of t_btp_addr; -- default values function defaults return t_btp_addr_array is variable v_btp_array : t_btp_addr_array; begin for i in 0 to c_bursts_per_btp - 1 loop v_btp_array(i) := 0; end loop; return v_btp_array; end function; -- load btp array addresses -- Note: this scales to burst lengths of 2, 4 and 8 -- the settings here are specific to the choice of training pattern and need updating if the pattern changes function set_btp_addr (mtp_almt : natural ) return t_btp_addr_array is variable v_addr_array : t_btp_addr_array; begin for i in 0 to 8/c_cal_read_burst_len - 1 loop -- set addresses for xF5 data v_addr_array((c_bursts_per_btp - 1) - i) := MEM_IF_CAL_BASE_COL + c_cal_ofs_xF5 + i*c_cal_read_burst_len; -- set addresses for x30 data (based on mtp alignment) if mtp_almt = 0 then v_addr_array((c_bursts_per_btp - 1) - (8/c_cal_read_burst_len + i)) := MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_0 + i*c_cal_read_burst_len; else v_addr_array((c_bursts_per_btp - 1) - (8/c_cal_read_burst_len + i)) := MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_1 + i*c_cal_read_burst_len; end if; end loop; return v_addr_array; end function; function find_poa_cycle_period return natural is -- Returns the period over which the postamble reads -- repeat in c_read_burst_t units. variable v_num_bursts : natural; begin v_num_bursts := 2 ** c_poa_addr_width / c_read_burst_t; if v_num_bursts * c_read_burst_t < 2**c_poa_addr_width then v_num_bursts := v_num_bursts + 1; end if; v_num_bursts := v_num_bursts + c_bursts_per_btp + 1; return v_num_bursts; end function; function get_poa_burst_addr(burst_count : in natural; mtp_almt : in natural) return t_btp_addr is variable v_addr : t_btp_addr; begin if burst_count = 0 then if mtp_almt = 0 then v_addr := c_cal_ofs_x30_almt_1; elsif mtp_almt = 1 then v_addr := c_cal_ofs_x30_almt_0; else report "Unsupported mtp_almt " & natural'image(mtp_almt) severity failure; end if; -- address gets incremented by four if in burst-length four. v_addr := v_addr + (8 - c_cal_read_burst_len); else v_addr := c_cal_ofs_zeros; end if; return v_addr; end function; signal btp_addr_array : t_btp_addr_array; -- burst training pattern addresses signal sig_addr_cmd_state : t_ac_state; signal sig_addr_cmd_last_state : t_ac_state; signal sig_doing_rd_count : integer range 0 to c_read_burst_t - 1; signal sig_count : integer range 0 to 2**8 - 1; signal sig_setup : integer range c_max_read_lat downto 0; signal sig_burst_count : integer range 0 to c_read_burst_t; begin -- handles counts for when to begin burst-reads (sig_burst_count) -- sets sig_dimm_driving_dq -- sets dgrb_ac_access_req dimm_driving_dq_proc : process(rst_n, clk) begin if rst_n = '0' then sig_dimm_driving_dq <= '1'; sig_setup <= c_max_read_lat; sig_burst_count <= 0; dgrb_ac_access_req <= '0'; sig_ac_even <= '0'; elsif rising_edge(clk) then sig_dimm_driving_dq <= '0'; if sig_addr_cmd_state /= s_ac_idle and sig_addr_cmd_state /= s_ac_relax then dgrb_ac_access_req <= '1'; else dgrb_ac_access_req <= '0'; end if; case sig_addr_cmd_state is when s_ac_read_mtp | s_ac_read_rdv | s_ac_read_wd_lat | s_ac_read_poa_mtp => sig_ac_even <= not sig_ac_even; -- a counter that keeps track of when we are ready -- to issue a burst read. Issue burst read eigvery -- time we are at zero. if sig_burst_count = 0 then sig_burst_count <= c_read_burst_t - 1; else sig_burst_count <= sig_burst_count - 1; end if; if dgrb_ac_access_gnt /= '1' then sig_setup <= c_max_read_lat; else -- primes reads -- signal that dimms are driving dq pins after -- at least c_max_read_lat clock cycles have passed. -- if sig_setup = 0 then sig_dimm_driving_dq <= '1'; elsif dgrb_ac_access_gnt = '1' then sig_setup <= sig_setup - 1; end if; end if; when s_ac_relax => sig_dimm_driving_dq <= '1'; sig_burst_count <= 0; sig_ac_even <= '0'; when others => sig_burst_count <= 0; sig_ac_even <= '0'; end case; end if; end process; ac_proc : process(rst_n, clk) begin if rst_n = '0' then sig_count <= 0; sig_addr_cmd_state <= s_ac_idle; sig_addr_cmd_last_state <= s_ac_idle; sig_doing_rd_count <= 0; sig_addr_cmd <= reset(c_seq_addr_cmd_config); btp_addr_array <= defaults; sig_doing_rd <= (others => '0'); elsif rising_edge(clk) then assert c_cal_mtp_len mod c_cal_read_burst_len = 0 report dgrb_report_prefix & "burst-training pattern length must be a multiple of burst-length." severity failure; assert MEM_IF_CAL_BANK < 2**MEM_IF_BANKADDR_WIDTH report dgrb_report_prefix & "MEM_IF_CAL_BANK out of range." severity failure; assert MEM_IF_CAL_BASE_COL < 2**MEM_IF_ADDR_WIDTH - 1 - C_CAL_DATA_LEN report dgrb_report_prefix & "MEM_IF_CAL_BASE_COL out of range." severity failure; sig_addr_cmd <= deselect(c_seq_addr_cmd_config, sig_addr_cmd); if sig_ac_req /= sig_addr_cmd_state and sig_addr_cmd_state /= s_ac_idle then -- and dgrb_ac_access_gnt = '1' sig_addr_cmd_state <= s_ac_relax; else sig_addr_cmd_state <= sig_ac_req; end if; if sig_doing_rd_count /= 0 then sig_doing_rd <= (others => '1'); sig_doing_rd_count <= sig_doing_rd_count - 1; else sig_doing_rd <= (others => '0'); end if; case sig_addr_cmd_state is when s_ac_idle => sig_addr_cmd <= defaults(c_seq_addr_cmd_config); when s_ac_relax => -- waits at least c_max_read_lat before returning to s_ac_idle state if sig_addr_cmd_state /= sig_addr_cmd_last_state then sig_count <= c_max_read_lat; else if sig_count = 0 then sig_addr_cmd_state <= s_ac_idle; else sig_count <= sig_count - 1; end if; end if; when s_ac_read_mtp => -- reads 'more'-training pattern -- issue read commands for proper addresses -- set burst training pattern (mtp in this case) addresses btp_addr_array <= set_btp_addr(current_mtp_almt); if sig_addr_cmd_state /= sig_addr_cmd_last_state then sig_count <= c_bursts_per_btp - 1; -- counts number of bursts in a training pattern else sig_doing_rd <= (others => '1'); -- issue a read command every c_read_burst_t clock cycles if sig_burst_count = 0 then -- decide which read command to issue for i in 0 to c_bursts_per_btp - 1 loop if sig_count = i then sig_addr_cmd <= read(c_seq_addr_cmd_config, -- configuration sig_addr_cmd, -- previous value MEM_IF_CAL_BANK, -- bank btp_addr_array(i), -- column address 2**current_cs, -- rank c_cal_read_burst_len, -- burst length false); end if; end loop; -- Set next value of count if sig_count = 0 then sig_count <= c_bursts_per_btp - 1; else sig_count <= sig_count - 1; end if; end if; end if; when s_ac_read_poa_mtp => -- Postamble rdata/rdata_valid Activity: -- -- -- (0) (1) (2) -- ; ; ; ; -- _________ __ ____________ _____________ _______ _________ -- \ / \ / \ \ \ / \ / -- (a) rdata[0] 00000000 X 11 X 0000000000 / / 0000000000 X MTP X 00000000 -- _________/ \__/ \____________\ \____________/ \_______/ \_________ -- ; ; ; ; -- ; ; ; ; -- _________ / / _________ -- rdata_valid ____| |_____________\ \_____________| |__________ -- -- ;<- (b) ->;<------------(c)------------>; ; -- ; ; ; ; -- -- -- This block must issue reads and drive doing_rd to place the above pattern on -- the rdata and rdata_valid ports. MTP will most likely come back corrupted but -- the postamble block (poa_block) will make the necessary adjustments to improve -- matters. -- -- (a) Read zeros followed by two ones. The two will be at the end of a burst. -- Assert rdata_valid only during the burst containing the ones. -- (b) c_read_burst_t clock cycles. -- (c) Must be greater than but NOT equal to maximum postamble latency clock -- cycles. Another way: c_min = (max_poa_lat + 1) phy clock cycles. This -- must also be long enough to allow the postamble block to respond to a -- the seq_poa_lat_dec_1x signal, but this requirement is less stringent -- than the first so that we can ignore it. -- -- The find_poa_cycle_period function should return (b+c)/c_read_burst_t -- rounded up to the next largest integer. -- -- -- set burst training pattern (mtp in this case) addresses btp_addr_array <= set_btp_addr(current_mtp_almt); -- issue read commands for proper addresses if sig_addr_cmd_state /= sig_addr_cmd_last_state then sig_count <= find_poa_cycle_period - 1; -- length of read patter in bursts. elsif dgrb_ac_access_gnt = '1' then -- only begin operation once dgrb_ac_access_gnt has been issued -- otherwise rdata_valid may be asserted when rdasta is not -- valid. -- -- *** WARNING: BE SAFE. DON'T LET THIS HAPPEN TO YOU: *** -- -- ; ; ; ; ; ; -- ; _______ ; ; _______ ; ; _______ -- XXXXX / \ XXXXXXXXX / \ XXXXXXXXX / \ XXXXXXXXX -- addr/cmd XXXXXX READ XXXXXXXXXXX READ XXXXXXXXXXX READ XXXXXXXXXXX -- XXXXX \_______/ XXXXXXXXX \_______/ XXXXXXXXX \_______/ XXXXXXXXX -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; ; ; ; ; _______ -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX / \ -- rdata XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX MTP X -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX \_______/ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- _________ _________ _________ -- doing_rd ____| |_________| |_________| |__________ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- __________________________________________________ -- ac_accesss_gnt ______________| -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- _________ _________ -- rdata_valid __________________________________| |_________| | -- ; ; ; ; ; ; -- -- (0) (1) (2) -- -- -- Cmmand and doing_rd issued at (0). The doing_rd signal enters the -- rdata_valid pipe here so that it will return on rdata_valid with the -- expected latency (at this point in calibration, rdata_valid and adv_rd_lat -- should be properly calibrated). Unlike doing_rd, since ac_access_gnt is not -- asserted the READ command at (0) is never actually issued. This results -- in the situation at (2) where rdata is undefined yet rdata_valid indicates -- valid data. The moral of this story is to wait for ac_access_gnt = '1' -- before issuing commands when it is important that rdata_valid be accurate. -- -- -- -- if sig_burst_count = 0 then sig_addr_cmd <= read(c_seq_addr_cmd_config, -- configuration sig_addr_cmd, -- previous value MEM_IF_CAL_BANK, -- bank get_poa_burst_addr(sig_count, current_mtp_almt),-- column address 2**current_cs, -- rank c_cal_read_burst_len, -- burst length false); -- Set doing_rd if sig_count = 0 then sig_doing_rd <= (others => '1'); sig_doing_rd_count <= c_read_burst_t - 1; -- Extend doing_rd pulse by this many phy_clk cycles. end if; -- Set next value of count if sig_count = 0 then sig_count <= find_poa_cycle_period - 1; -- read for one period then relax (no read) for same time period else sig_count <= sig_count - 1; end if; end if; end if; when s_ac_read_rdv => assert c_max_rdata_valid_lat mod c_read_burst_t = 0 report dgrb_report_prefix & "c_max_rdata_valid_lat must be a multiple of c_read_burst_t." severity failure; if sig_addr_cmd_state /= sig_addr_cmd_last_state then sig_count <= c_rdv_ones_rd_clks - 1; else if sig_burst_count = 0 then if sig_count = 0 then -- expecting to read ZEROS sig_addr_cmd <= read(c_seq_addr_cmd_config, -- configuration sig_addr_cmd, -- previous valid MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + C_CAL_OFS_ZEROS, -- column 2**current_cs, -- rank c_cal_read_burst_len, -- burst length false); else -- expecting to read ONES sig_addr_cmd <= read(c_seq_addr_cmd_config, -- configuration sig_addr_cmd, -- previous value MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + C_CAL_OFS_ONES, -- column address 2**current_cs, -- rank c_cal_read_burst_len, -- op length false); end if; if sig_count = 0 then sig_count <= c_rdv_ones_rd_clks - 1; else sig_count <= sig_count - 1; end if; end if; if (sig_count = c_rdv_ones_rd_clks - 1 and sig_burst_count = 1) or (sig_count = 0 and c_read_burst_t = 1) then -- the last burst read- that was issued was supposed to read only zeros -- a burst read command will be issued on the next clock cycle -- -- A long (>= maximim rdata_valid latency) series of burst reads are -- issued for ONES. -- Into this stream a single burst read for ZEROs is issued. After -- the ZERO read command is issued, rdata_valid needs to come back -- high one clock cycle before the next read command (reading ONES -- again) is issued. Since the rdata_valid is just a delayed -- version of doing_rd, doing_rd needs to exhibit the same behaviour. -- -- for FR (burst length 4): require that doing_rd high 1 clock cycle after cs_n is low -- ____ ____ ____ ____ ____ ____ ____ ____ ____ -- clk ____| |____| |____| |____| |____| |____| |____| |____| |____| -- -- ___ _______ _______ _______ _______ -- \ XXXXXXXXX / \ XXXXXXXXX / \ XXXXXXXXX / \ XXXXXXXXX / \ XXXX -- addr XXXXXXXXXXX ONES XXXXXXXXXXX ONES XXXXXXXXXXX ZEROS XXXXXXXXXXX ONES XXXXX--> Repeat -- ___/ XXXXXXXXX \_______/ XXXXXXXXX \_______/ XXXXXXXXX \_______/ XXXXXXXXX \_______/ XXXX -- -- _________ _________ _________ _________ ____ -- cs_n ____| |_________| |_________| |_________| |_________| -- -- _________ -- doing_rd ________________________________________________________________| |______________ -- -- -- for HR: require that doing_rd high in the same clock cycle as cs_n is low -- sig_doing_rd(MEM_IF_DQS_WIDTH*(DWIDTH_RATIO/2-1)) <= '1'; end if; end if; when s_ac_read_wd_lat => -- continuously issues reads on the memory locations -- containing write latency addr=[2*c_cal_burst_len - (3*c_cal_burst_len - 1)] if sig_addr_cmd_state /= sig_addr_cmd_last_state then -- no initialization required here. Must still wait -- a clock cycle before beginning operations so that -- we are properly synchronized with -- dimm_driving_dq_proc. else if sig_burst_count = 0 then if sig_dimm_driving_dq = '1' then sig_doing_rd <= (others => '1'); end if; sig_addr_cmd <= read(c_seq_addr_cmd_config, -- configuration sig_addr_cmd, -- previous value MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_wd_lat, -- column 2**current_cs, -- rank c_cal_read_burst_len, false); end if; end if; when others => report dgrb_report_prefix & "undefined state in addr_cmd_proc" severity error; sig_addr_cmd_state <= s_ac_idle; end case; -- mask odt signal for i in 0 to (DWIDTH_RATIO/2)-1 loop sig_addr_cmd(i).odt <= odt_settings(current_cs).read; end loop; sig_addr_cmd_last_state <= sig_addr_cmd_state; end if; end process; end block ac_block; end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : data gatherer (write bias) [dgwb] block for the non-levelling -- AFI PHY sequencer -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The address and command package (alt_mem_phy_addr_cmd_pkg) is used to combine DRAM address -- and command signals in one record and unify the functions operating on this record. -- use work.nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_dgwb is generic ( -- Physical IF width definitions MEM_IF_DQS_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; DWIDTH_RATIO : natural; MEM_IF_ADDR_WIDTH : natural; MEM_IF_BANKADDR_WIDTH : natural; MEM_IF_NUM_RANKS : natural; -- The sequencer outputs memory control signals of width num_ranks MEM_IF_MEMTYPE : string; ADV_LAT_WIDTH : natural; MEM_IF_CAL_BANK : natural; -- Bank to which calibration data is written -- Base column address to which calibration data is written. -- Memory at MEM_IF_CAL_BASE_COL - MEM_IF_CAL_BASE_COL + C_CAL_DATA_LEN - 1 -- is assumed to contain the proper data. MEM_IF_CAL_BASE_COL : natural ); port ( -- CLK Reset clk : in std_logic; rst_n : in std_logic; parameterisation_rec : in t_algm_paramaterisation; -- Control interface : dgwb_ctrl : out t_ctrl_stat; ctrl_dgwb : in t_ctrl_command; -- iRAM 'push' interface : dgwb_iram : out t_iram_push; iram_push_done : in std_logic; -- Admin block req/gnt interface. dgwb_ac_access_req : out std_logic; dgwb_ac_access_gnt : in std_logic; -- WDP interface dgwb_dqs_burst : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_DQS_WIDTH - 1 downto 0); dgwb_wdata_valid : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_DQS_WIDTH - 1 downto 0); dgwb_wdata : out std_logic_vector( DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0); dgwb_dm : out std_logic_vector( DWIDTH_RATIO * MEM_IF_DM_WIDTH - 1 downto 0); dgwb_dqs : out std_logic_vector( DWIDTH_RATIO - 1 downto 0); dgwb_wdp_ovride : out std_logic; -- addr/cmd output for write commands. dgwb_ac : out t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); bypassed_rdata : in std_logic_vector(MEM_IF_DWIDTH-1 downto 0); -- odt settings per chip select odt_settings : in t_odt_array(0 to MEM_IF_NUM_RANKS-1) ); end entity; library work; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- architecture rtl of nios_altmemddr_0_phy_alt_mem_phy_dgwb is type t_dgwb_state is ( s_idle, s_wait_admin, s_write_btp, -- Writes bit-training pattern s_write_ones, -- Writes ones s_write_zeros, -- Writes zeros s_write_mtp, -- Write more training patterns (requires read to check allignment) s_write_01_pairs, -- Writes 01 pairs s_write_1100_step,-- Write step function (half zeros, half ones) s_write_0011_step,-- Write reversed step function (half ones, half zeros) s_write_wlat, -- Writes the write latency into a memory address. s_release_admin ); constant c_seq_addr_cmd_config : t_addr_cmd_config_rec := set_config_rec(MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS, DWIDTH_RATIO, MEM_IF_MEMTYPE); -- a prefix for all report signals to identify phy and sequencer block -- constant dgwb_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (dgwb) : "; function dqs_pattern return std_logic_vector is variable dqs : std_logic_vector( DWIDTH_RATIO - 1 downto 0); begin if DWIDTH_RATIO = 2 then dqs := "10"; elsif DWIDTH_RATIO = 4 then dqs := "1100"; else report dgwb_report_prefix & "unsupported DWIDTH_RATIO in function dqs_pattern." severity failure; end if; return dqs; end; signal sig_addr_cmd : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); signal sig_dgwb_state : t_dgwb_state; signal sig_dgwb_last_state : t_dgwb_state; signal access_complete : std_logic; signal generate_wdata : std_logic; -- for s_write_wlat only -- current chip select being processed signal current_cs : natural range 0 to MEM_IF_NUM_RANKS-1; begin dgwb_ac <= sig_addr_cmd; -- Set IRAM interface to defaults dgwb_iram <= defaults; -- Master state machine. Generates state transitions. master_dgwb_state_block : if True generate signal sig_ctrl_dgwb : t_ctrl_command; -- registers ctrl_dgwb input. begin -- generate the current_cs signal to track which cs accessed by PHY at any instance current_cs_proc : process (clk, rst_n) begin if rst_n = '0' then current_cs <= 0; elsif rising_edge(clk) then if sig_ctrl_dgwb.command_req = '1' then current_cs <= sig_ctrl_dgwb.command_op.current_cs; end if; end if; end process; master_dgwb_state_proc : process(rst_n, clk) begin if rst_n = '0' then sig_dgwb_state <= s_idle; sig_dgwb_last_state <= s_idle; sig_ctrl_dgwb <= defaults; elsif rising_edge(clk) then case sig_dgwb_state is when s_idle => if sig_ctrl_dgwb.command_req = '1' then if (curr_active_block(sig_ctrl_dgwb.command) = dgwb) then sig_dgwb_state <= s_wait_admin; end if; end if; when s_wait_admin => case sig_ctrl_dgwb.command is when cmd_write_btp => sig_dgwb_state <= s_write_btp; when cmd_write_mtp => sig_dgwb_state <= s_write_mtp; when cmd_was => sig_dgwb_state <= s_write_wlat; when others => report dgwb_report_prefix & "unknown command" severity error; end case; if dgwb_ac_access_gnt /= '1' then sig_dgwb_state <= s_wait_admin; end if; when s_write_btp => sig_dgwb_state <= s_write_zeros; when s_write_zeros => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_write_ones; end if; when s_write_ones => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_release_admin; end if; when s_write_mtp => sig_dgwb_state <= s_write_01_pairs; when s_write_01_pairs => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_write_1100_step; end if; when s_write_1100_step => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_write_0011_step; end if; when s_write_0011_step => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_release_admin; end if; when s_write_wlat => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_release_admin; end if; when s_release_admin => if dgwb_ac_access_gnt = '0' then sig_dgwb_state <= s_idle; end if; when others => report dgwb_report_prefix & "undefined state in addr_cmd_proc" severity error; sig_dgwb_state <= s_idle; end case; sig_dgwb_last_state <= sig_dgwb_state; sig_ctrl_dgwb <= ctrl_dgwb; end if; end process; end generate; -- Generates writes ac_write_block : if True generate constant C_BURST_T : natural := C_CAL_BURST_LEN / DWIDTH_RATIO; -- Number of phy-clock cycles per burst constant C_MAX_WLAT : natural := 2**ADV_LAT_WIDTH-1; -- Maximum latency in clock cycles constant C_MAX_COUNT : natural := C_MAX_WLAT + C_BURST_T + 4*12 - 1; -- up to 12 consecutive writes at 4 cycle intervals -- The following function sets the width over which -- write latency should be repeated on the dq bus -- the default value is MEM_IF_DQ_PER_DQS function set_wlat_dq_rep_width return natural is begin for i in 1 to MEM_IF_DWIDTH/MEM_IF_DQ_PER_DQS loop if (i*MEM_IF_DQ_PER_DQS) >= ADV_LAT_WIDTH then return i*MEM_IF_DQ_PER_DQS; end if; end loop; report dgwb_report_prefix & "the specified maximum write latency cannot be fully represented in the given number of DQ pins" & LF & "** NOTE: This may cause overflow when setting ctl_wlat signal" severity warning; return MEM_IF_DQ_PER_DQS; end function; constant C_WLAT_DQ_REP_WIDTH : natural := set_wlat_dq_rep_width; signal sig_count : natural range 0 to 2**8 - 1; begin ac_write_proc : process(rst_n, clk) begin if rst_n = '0' then dgwb_wdp_ovride <= '0'; dgwb_dqs <= (others => '0'); dgwb_dm <= (others => '1'); dgwb_wdata <= (others => '0'); dgwb_dqs_burst <= (others => '0'); dgwb_wdata_valid <= (others => '0'); generate_wdata <= '0'; -- for s_write_wlat only sig_count <= 0; sig_addr_cmd <= int_pup_reset(c_seq_addr_cmd_config); access_complete <= '0'; elsif rising_edge(clk) then dgwb_wdp_ovride <= '0'; dgwb_dqs <= (others => '0'); dgwb_dm <= (others => '1'); dgwb_wdata <= (others => '0'); dgwb_dqs_burst <= (others => '0'); dgwb_wdata_valid <= (others => '0'); sig_addr_cmd <= deselect(c_seq_addr_cmd_config, sig_addr_cmd); access_complete <= '0'; generate_wdata <= '0'; -- for s_write_wlat only case sig_dgwb_state is when s_idle => sig_addr_cmd <= defaults(c_seq_addr_cmd_config); -- require ones in locations: -- 1. c_cal_ofs_ones (8 locations) -- 2. 2nd half of location c_cal_ofs_xF5 (4 locations) when s_write_ones => dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_dqs_burst <= (others => '1'); -- Write ONES to DQ pins dgwb_wdata <= (others => '1'); dgwb_wdata_valid <= (others => '1'); -- Issue write command if sig_dgwb_state /= sig_dgwb_last_state then sig_count <= 0; else -- ensure safe intervals for DDRx memory writes (min 4 mem clk cycles between writes for BC4 DDR3) if sig_count = 0 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_ones, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge elsif sig_count = 4 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_ones + 4, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge elsif sig_count = 8 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_xF5 + 4, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge end if; sig_count <= sig_count + 1; end if; if sig_count = C_MAX_COUNT - 1 then access_complete <= '1'; end if; -- require zeros in locations: -- 1. c_cal_ofs_zeros (8 locations) -- 2. 1st half of c_cal_ofs_x30_almt_0 (4 locations) -- 3. 1st half of c_cal_ofs_x30_almt_1 (4 locations) when s_write_zeros => dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_dqs_burst <= (others => '1'); -- Write ZEROS to DQ pins dgwb_wdata <= (others => '0'); dgwb_wdata_valid <= (others => '1'); -- Issue write command if sig_dgwb_state /= sig_dgwb_last_state then sig_count <= 0; else if sig_count = 0 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_zeros, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge elsif sig_count = 4 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_zeros + 4, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge elsif sig_count = 8 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_0, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge elsif sig_count = 12 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_1, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge end if; sig_count <= sig_count + 1; end if; if sig_count = C_MAX_COUNT - 1 then access_complete <= '1'; end if; -- require 0101 pattern in locations: -- 1. 1st half of location c_cal_ofs_xF5 (4 locations) when s_write_01_pairs => dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_dqs_burst <= (others => '1'); dgwb_wdata_valid <= (others => '1'); -- Issue write command if sig_dgwb_state /= sig_dgwb_last_state then sig_count <= 0; else if sig_count = 0 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_xF5, -- address 2**current_cs, -- rank 4, -- burst length false); -- auto-precharge end if; sig_count <= sig_count + 1; end if; if sig_count = C_MAX_COUNT - 1 then access_complete <= '1'; end if; -- Write 01 to pairs of memory addresses for i in 0 to dgwb_wdata'length / MEM_IF_DWIDTH - 1 loop if i mod 2 = 0 then dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '1'); else dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '0'); end if; end loop; -- require pattern "0011" (or "1100") in locations: -- 1. 2nd half of c_cal_ofs_x30_almt_0 (4 locations) when s_write_0011_step => dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_dqs_burst <= (others => '1'); dgwb_wdata_valid <= (others => '1'); -- Issue write command if sig_dgwb_state /= sig_dgwb_last_state then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_0 + 4, -- address 2**current_cs, -- rank 4, -- burst length false); -- auto-precharge sig_count <= 0; else sig_count <= sig_count + 1; end if; if sig_count = C_MAX_COUNT - 1 then access_complete <= '1'; end if; -- Write 0011 step to column addresses. Note that -- it cannot be determined which at this point. The -- strategy is to write both alignments and see which -- one is correct later on. -- this calculation has 2 parts: -- a) sig_count mod C_BURST_T is a timewise iterator of repetition of the pattern -- b) i represents the temporal iterator of the pattern -- it is required to sum a and b and switch the pattern between 0 and 1 every 2 locations in each dimension -- Note: the same formulae is used below for the 1100 pattern for i in 0 to dgwb_wdata'length / MEM_IF_DWIDTH - 1 loop if ((sig_count mod C_BURST_T) + (i/2)) mod 2 = 0 then dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '0'); else dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '1'); end if; end loop; -- require pattern "1100" (or "0011") in locations: -- 1. 2nd half of c_cal_ofs_x30_almt_1 (4 locations) when s_write_1100_step => dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_dqs_burst <= (others => '1'); dgwb_wdata_valid <= (others => '1'); -- Issue write command if sig_dgwb_state /= sig_dgwb_last_state then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_1 + 4, -- address 2**current_cs, -- rank 4, -- burst length false); -- auto-precharge sig_count <= 0; else sig_count <= sig_count + 1; end if; if sig_count = C_MAX_COUNT - 1 then access_complete <= '1'; end if; -- Write 1100 step to column addresses. Note that -- it cannot be determined which at this point. The -- strategy is to write both alignments and see which -- one is correct later on. for i in 0 to dgwb_wdata'length / MEM_IF_DWIDTH - 1 loop if ((sig_count mod C_BURST_T) + (i/2)) mod 2 = 0 then dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '1'); else dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '0'); end if; end loop; when s_write_wlat => -- Effect: -- *Writes the memory latency to an array formed -- from memory addr=[2*C_CAL_BURST_LEN-(3*C_CAL_BURST_LEN-1)]. -- The write latency is written to pairs of addresses -- across the given range. -- -- Example -- C_CAL_BURST_LEN = 4 -- addr 8 - 9 [WLAT] size = 2*MEM_IF_DWIDTH bits -- addr 10 - 11 [WLAT] size = 2*MEM_IF_DWIDTH bits -- dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_wdata <= (others => '0'); dgwb_dqs_burst <= (others => '1'); dgwb_wdata_valid <= (others => '1'); if sig_dgwb_state /= sig_dgwb_last_state then sig_addr_cmd <= write(c_seq_addr_cmd_config, -- A/C configuration sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_wd_lat, -- address 2**current_cs, -- rank 8, -- burst length (8 for DDR3 and 4 for DDR/DDR2) false); -- auto-precharge sig_count <= 0; else -- hold wdata_valid and wdata 2 clock cycles -- 1 - because ac signal registered at top level of sequencer -- 2 - because want time to dqs_burst edge which occurs 1 cycle earlier -- than wdata_valid in an AFI compliant controller generate_wdata <= '1'; end if; if generate_wdata = '1' then for i in 0 to dgwb_wdata'length/C_WLAT_DQ_REP_WIDTH - 1 loop dgwb_wdata((i+1)*C_WLAT_DQ_REP_WIDTH - 1 downto i*C_WLAT_DQ_REP_WIDTH) <= std_logic_vector(to_unsigned(sig_count, C_WLAT_DQ_REP_WIDTH)); end loop; -- delay by 1 clock cycle to account for 1 cycle discrepancy -- between dqs_burst and wdata_valid if sig_count = C_MAX_COUNT then access_complete <= '1'; end if; sig_count <= sig_count + 1; end if; when others => null; end case; -- mask odt signal for i in 0 to (DWIDTH_RATIO/2)-1 loop sig_addr_cmd(i).odt <= odt_settings(current_cs).write; end loop; end if; end process; end generate; -- Handles handshaking for access to address/command ac_handshake_proc : process(rst_n, clk) begin if rst_n = '0' then dgwb_ctrl <= defaults; dgwb_ac_access_req <= '0'; elsif rising_edge(clk) then dgwb_ctrl <= defaults; dgwb_ac_access_req <= '0'; if sig_dgwb_state /= s_idle and sig_dgwb_state /= s_release_admin then dgwb_ac_access_req <= '1'; elsif sig_dgwb_state = s_idle or sig_dgwb_state = s_release_admin then dgwb_ac_access_req <= '0'; else report dgwb_report_prefix & "unexpected state in ac_handshake_proc so haven't requested access to address/command." severity warning; end if; if sig_dgwb_state = s_wait_admin and sig_dgwb_last_state = s_idle then dgwb_ctrl.command_ack <= '1'; end if; if sig_dgwb_state = s_idle and sig_dgwb_last_state = s_release_admin then dgwb_ctrl.command_done <= '1'; end if; end if; end process; end architecture rtl; -- -- ----------------------------------------------------------------------------- -- Abstract : ctrl block for the non-levelling AFI PHY sequencer -- This block is the central control unit for the sequencer. The method -- of control is to issue commands (prefixed cmd_) to each of the other -- sequencer blocks to execute. Each command corresponds to a stage of -- the AFI PHY calibaration stage, and in turn each state represents a -- command or a supplimentary flow control operation. In addition to -- controlling the sequencer this block also checks for time out -- conditions which occur when a different system block is faulty. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The iram address package (alt_mem_phy_iram_addr_pkg) is used to define the base addresses used -- for iram writes during calibration -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_ctrl is generic ( FAMILYGROUP_ID : natural; MEM_IF_DLL_LOCK_COUNT : natural; MEM_IF_MEMTYPE : string; DWIDTH_RATIO : natural; IRAM_ADDRESSING : t_base_hdr_addresses; MEM_IF_CLK_PS : natural; TRACKING_INTERVAL_IN_MS : natural; MEM_IF_NUM_RANKS : natural; MEM_IF_DQS_WIDTH : natural; GENERATE_ADDITIONAL_DBG_RTL : natural; SIM_TIME_REDUCTIONS : natural; -- if 0 null, if 1 skip rrp, if 2 rrp for 1 dqs group and 1 cs ACK_SEVERITY : severity_level ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; -- calibration status and redo request ctl_init_success : out std_logic; ctl_init_fail : out std_logic; ctl_recalibrate_req : in std_logic; -- acts as a synchronous reset -- status signals from iram iram_status : in t_iram_stat; iram_push_done : in std_logic; -- standard control signal to all blocks ctrl_op_rec : out t_ctrl_command; -- standardised response from all system blocks admin_ctrl : in t_ctrl_stat; dgrb_ctrl : in t_ctrl_stat; dgwb_ctrl : in t_ctrl_stat; -- mmi to ctrl interface mmi_ctrl : in t_mmi_ctrl; ctrl_mmi : out t_ctrl_mmi; -- byte lane select ctl_cal_byte_lanes : in std_logic_vector(MEM_IF_NUM_RANKS * MEM_IF_DQS_WIDTH - 1 downto 0); -- signals to control the ac_nt setting dgrb_ctrl_ac_nt_good : in std_logic; int_ac_nt : out std_logic_vector(((DWIDTH_RATIO+2)/4) - 1 downto 0); -- width of 1 for DWIDTH_RATIO =2,4 and 2 for DWIDTH_RATIO = 8 -- the following signals are reserved for future use ctrl_iram_push : out t_ctrl_iram ); end entity; library work; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_ctrl is -- a prefix for all report signals to identify phy and sequencer block -- constant ctrl_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (ctrl) : "; -- decoder to find the relevant disable bit (from mmi registers) for a given state function find_dis_bit ( state : t_master_sm_state; mmi_ctrl : t_mmi_ctrl ) return std_logic is variable v_dis : std_logic; begin case state is when s_phy_initialise => v_dis := mmi_ctrl.hl_css.phy_initialise_dis; when s_init_dram | s_prog_cal_mr => v_dis := mmi_ctrl.hl_css.init_dram_dis; when s_write_ihi => v_dis := mmi_ctrl.hl_css.write_ihi_dis; when s_cal => v_dis := mmi_ctrl.hl_css.cal_dis; when s_write_btp => v_dis := mmi_ctrl.hl_css.write_btp_dis; when s_write_mtp => v_dis := mmi_ctrl.hl_css.write_mtp_dis; when s_read_mtp => v_dis := mmi_ctrl.hl_css.read_mtp_dis; when s_rrp_reset => v_dis := mmi_ctrl.hl_css.rrp_reset_dis; when s_rrp_sweep => v_dis := mmi_ctrl.hl_css.rrp_sweep_dis; when s_rrp_seek => v_dis := mmi_ctrl.hl_css.rrp_seek_dis; when s_rdv => v_dis := mmi_ctrl.hl_css.rdv_dis; when s_poa => v_dis := mmi_ctrl.hl_css.poa_dis; when s_was => v_dis := mmi_ctrl.hl_css.was_dis; when s_adv_rd_lat => v_dis := mmi_ctrl.hl_css.adv_rd_lat_dis; when s_adv_wr_lat => v_dis := mmi_ctrl.hl_css.adv_wr_lat_dis; when s_prep_customer_mr_setup => v_dis := mmi_ctrl.hl_css.prep_customer_mr_setup_dis; when s_tracking_setup | s_tracking => v_dis := mmi_ctrl.hl_css.tracking_dis; when others => v_dis := '1'; -- default change stage end case; return v_dis; end function; -- decoder to find the relevant command for a given state function find_cmd ( state : t_master_sm_state ) return t_ctrl_cmd_id is begin case state is when s_phy_initialise => return cmd_phy_initialise; when s_init_dram => return cmd_init_dram; when s_prog_cal_mr => return cmd_prog_cal_mr; when s_write_ihi => return cmd_write_ihi; when s_cal => return cmd_idle; when s_write_btp => return cmd_write_btp; when s_write_mtp => return cmd_write_mtp; when s_read_mtp => return cmd_read_mtp; when s_rrp_reset => return cmd_rrp_reset; when s_rrp_sweep => return cmd_rrp_sweep; when s_rrp_seek => return cmd_rrp_seek; when s_rdv => return cmd_rdv; when s_poa => return cmd_poa; when s_was => return cmd_was; when s_adv_rd_lat => return cmd_prep_adv_rd_lat; when s_adv_wr_lat => return cmd_prep_adv_wr_lat; when s_prep_customer_mr_setup => return cmd_prep_customer_mr_setup; when s_tracking_setup | s_tracking => return cmd_tr_due; when others => return cmd_idle; end case; end function; function mcs_rw_state -- returns true for multiple cs read/write states ( state : t_master_sm_state ) return boolean is begin case state is when s_write_btp | s_write_mtp | s_rrp_sweep => return true; when s_reset | s_phy_initialise | s_init_dram | s_prog_cal_mr | s_write_ihi | s_cal | s_read_mtp | s_rrp_reset | s_rrp_seek | s_rdv | s_poa | s_was | s_adv_rd_lat | s_adv_wr_lat | s_prep_customer_mr_setup | s_tracking_setup | s_tracking | s_operational | s_non_operational => return false; when others => -- return false; end case; end function; -- timing parameters constant c_done_timeout_count : natural := 32768; constant c_ack_timeout_count : natural := 1000; constant c_ticks_per_ms : natural := 1000000000/(MEM_IF_CLK_PS*(DWIDTH_RATIO/2)); constant c_ticks_per_10us : natural := 10000000 /(MEM_IF_CLK_PS*(DWIDTH_RATIO/2)); -- local copy of calibration fail/success signals signal int_ctl_init_fail : std_logic; signal int_ctl_init_success : std_logic; -- state machine (master for sequencer) signal state : t_master_sm_state; signal last_state : t_master_sm_state; -- flow control signals for state machine signal dis_state : std_logic; -- disable state signal hold_state : std_logic; -- hold in state for 1 clock cycle signal master_ctrl_op_rec : t_ctrl_command; -- master command record to all sequencer blocks signal master_ctrl_iram_push : t_ctrl_iram; -- record indicating control details for pushes signal dll_lock_counter : natural range MEM_IF_DLL_LOCK_COUNT - 1 downto 0; -- to wait for dll to lock signal iram_init_complete : std_logic; -- timeout signals to check if a block has 'hung' signal timeout_counter : natural range c_done_timeout_count - 1 downto 0; signal timeout_counter_stop : std_logic; signal timeout_counter_enable : std_logic; signal timeout_counter_clear : std_logic; signal cmd_req_asserted : std_logic; -- a command has been issued signal flag_ack_timeout : std_logic; -- req -> ack timed out signal flag_done_timeout : std_logic; -- reg -> done timed out signal waiting_for_ack : std_logic; -- command issued signal cmd_ack_seen : std_logic; -- command completed signal curr_ctrl : t_ctrl_stat; -- response for current active block signal curr_cmd : t_ctrl_cmd_id; -- store state information based on issued command signal int_ctrl_prev_stage : t_ctrl_cmd_id; signal int_ctrl_current_stage : t_ctrl_cmd_id; -- multiple chip select counter signal cs_counter : natural range 0 to MEM_IF_NUM_RANKS - 1; signal reissue_cmd_req : std_logic; -- reissue command request for multiple cs signal cal_cs_enabled : std_logic_vector(MEM_IF_NUM_RANKS - 1 downto 0); -- signals to check the ac_nt setting signal ac_nt_almts_checked : natural range 0 to DWIDTH_RATIO/2-1; signal ac_nt : std_logic_vector(((DWIDTH_RATIO+2)/4) - 1 downto 0); -- track the mtp alignment setting signal mtp_almts_checked : natural range 0 to 2; signal mtp_correct_almt : natural range 0 to 1; signal mtp_no_valid_almt : std_logic; signal mtp_both_valid_almt : std_logic; signal mtp_err : std_logic; -- tracking timing signal milisecond_tick_gen_count : natural range 0 to c_ticks_per_ms -1 := c_ticks_per_ms -1; signal tracking_ms_counter : natural range 0 to 255; signal tracking_update_due : std_logic; begin -- architecture struct ------------------------------------------------------------------------------- -- check if chip selects are enabled -- this only effects reactive stages (i,e, those requiring memory reads) ------------------------------------------------------------------------------- process(ctl_cal_byte_lanes) variable v_cs_enabled : std_logic; begin for i in 0 to MEM_IF_NUM_RANKS - 1 loop -- check if any bytes enabled v_cs_enabled := '0'; for j in 0 to MEM_IF_DQS_WIDTH - 1 loop v_cs_enabled := v_cs_enabled or ctl_cal_byte_lanes(i*MEM_IF_DQS_WIDTH + j); end loop; -- if any byte enabled set cs as enabled else not cal_cs_enabled(i) <= v_cs_enabled; -- sanity checking: if i = 0 and v_cs_enabled = '0' then report ctrl_report_prefix & " disabling of chip select 0 is unsupported by the sequencer," & LF & "-> if this is your intention then please remap CS pins such that CS 0 is not disabled" severity failure; end if; end loop; end process; -- ----------------------------------------------------------------------------- -- dll lock counter -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then dll_lock_counter <= MEM_IF_DLL_LOCK_COUNT -1; elsif rising_edge(clk) then if ctl_recalibrate_req = '1' then dll_lock_counter <= MEM_IF_DLL_LOCK_COUNT -1; elsif dll_lock_counter /= 0 then dll_lock_counter <= dll_lock_counter - 1; end if; end if; end process; -- ----------------------------------------------------------------------------- -- timeout counter : this counter is used to determine if an ack, or done has -- not been received within the expected number of clock cycles of a req being -- asserted. -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then timeout_counter <= c_done_timeout_count - 1; elsif rising_edge(clk) then if timeout_counter_clear = '1' then timeout_counter <= c_done_timeout_count - 1; elsif timeout_counter_enable = '1' and state /= s_init_dram then if timeout_counter /= 0 then timeout_counter <= timeout_counter - 1; end if; end if; end if; end process; -- ----------------------------------------------------------------------------- -- register current ctrl signal based on current command -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then curr_ctrl <= defaults; curr_cmd <= cmd_idle; elsif rising_edge(clk) then case curr_active_block(curr_cmd) is when admin => curr_ctrl <= admin_ctrl; when dgrb => curr_ctrl <= dgrb_ctrl; when dgwb => curr_ctrl <= dgwb_ctrl; when others => curr_ctrl <= defaults; end case; curr_cmd <= master_ctrl_op_rec.command; end if; end process; -- ----------------------------------------------------------------------------- -- generation of cmd_ack_seen -- ----------------------------------------------------------------------------- process (curr_ctrl) begin cmd_ack_seen <= curr_ctrl.command_ack; end process; ------------------------------------------------------------------------------- -- generation of waiting_for_ack flag (to determine whether ack has timed out) ------------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then waiting_for_ack <= '0'; elsif rising_edge(clk) then if cmd_req_asserted = '1' then waiting_for_ack <= '1'; elsif cmd_ack_seen = '1' then waiting_for_ack <= '0'; end if; end if; end process; -- ----------------------------------------------------------------------------- -- generation of timeout flags -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then flag_ack_timeout <= '0'; flag_done_timeout <= '0'; elsif rising_edge(clk) then if mmi_ctrl.calibration_start = '1' or ctl_recalibrate_req = '1' then flag_ack_timeout <= '0'; elsif timeout_counter = 0 and waiting_for_ack = '1' then flag_ack_timeout <= '1'; end if; if mmi_ctrl.calibration_start = '1' or ctl_recalibrate_req = '1' then flag_done_timeout <= '0'; elsif timeout_counter = 0 and state /= s_rrp_sweep and -- rrp can take enough cycles to overflow counter so don't timeout state /= s_init_dram and -- init_dram takes about 200 us, so don't timeout timeout_counter_clear /= '1' then -- check if currently clearing the timeout (i.e. command_done asserted for s_init_dram or s_rrp_sweep) flag_done_timeout <= '1'; end if; end if; end process; -- generation of timeout_counter_stop timeout_counter_stop <= curr_ctrl.command_done; -- ----------------------------------------------------------------------------- -- generation of timeout_counter_enable and timeout_counter_clear -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then timeout_counter_enable <= '0'; timeout_counter_clear <= '0'; elsif rising_edge(clk) then if cmd_req_asserted = '1' then timeout_counter_enable <= '1'; timeout_counter_clear <= '0'; elsif timeout_counter_stop = '1' or state = s_operational or state = s_non_operational or state = s_reset then timeout_counter_enable <= '0'; timeout_counter_clear <= '1'; end if; end if; end process; ------------------------------------------------------------------------------- -- assignment to ctrl_mmi record ------------------------------------------------------------------------------- process (clk, rst_n) variable v_ctrl_mmi : t_ctrl_mmi; begin if rst_n = '0' then v_ctrl_mmi := defaults; ctrl_mmi <= defaults; int_ctrl_prev_stage <= cmd_idle; int_ctrl_current_stage <= cmd_idle; elsif rising_edge(clk) then ctrl_mmi <= v_ctrl_mmi; v_ctrl_mmi.ctrl_calibration_success := '0'; v_ctrl_mmi.ctrl_calibration_fail := '0'; if (curr_ctrl.command_ack = '1') then case state is when s_init_dram => v_ctrl_mmi.ctrl_cal_stage_ack_seen.init_dram := '1'; when s_write_btp => v_ctrl_mmi.ctrl_cal_stage_ack_seen.write_btp := '1'; when s_write_mtp => v_ctrl_mmi.ctrl_cal_stage_ack_seen.write_mtp := '1'; when s_read_mtp => v_ctrl_mmi.ctrl_cal_stage_ack_seen.read_mtp := '1'; when s_rrp_reset => v_ctrl_mmi.ctrl_cal_stage_ack_seen.rrp_reset := '1'; when s_rrp_sweep => v_ctrl_mmi.ctrl_cal_stage_ack_seen.rrp_sweep := '1'; when s_rrp_seek => v_ctrl_mmi.ctrl_cal_stage_ack_seen.rrp_seek := '1'; when s_rdv => v_ctrl_mmi.ctrl_cal_stage_ack_seen.rdv := '1'; when s_poa => v_ctrl_mmi.ctrl_cal_stage_ack_seen.poa := '1'; when s_was => v_ctrl_mmi.ctrl_cal_stage_ack_seen.was := '1'; when s_adv_rd_lat => v_ctrl_mmi.ctrl_cal_stage_ack_seen.adv_rd_lat := '1'; when s_adv_wr_lat => v_ctrl_mmi.ctrl_cal_stage_ack_seen.adv_wr_lat := '1'; when s_prep_customer_mr_setup => v_ctrl_mmi.ctrl_cal_stage_ack_seen.prep_customer_mr_setup := '1'; when s_tracking_setup | s_tracking => v_ctrl_mmi.ctrl_cal_stage_ack_seen.tracking_setup := '1'; when others => null; end case; end if; -- special 'ack' (actually finished) triggers for phy_initialise, writing iram header info and s_cal if state = s_phy_initialise then if iram_status.init_done = '1' and dll_lock_counter = 0 then v_ctrl_mmi.ctrl_cal_stage_ack_seen.phy_initialise := '1'; end if; end if; if state = s_write_ihi then if iram_push_done = '1' then v_ctrl_mmi.ctrl_cal_stage_ack_seen.write_ihi := '1'; end if; end if; if state = s_cal and find_dis_bit(state, mmi_ctrl) = '0' then -- if cal state and calibration not disabled acknowledge v_ctrl_mmi.ctrl_cal_stage_ack_seen.cal := '1'; end if; if state = s_operational then v_ctrl_mmi.ctrl_calibration_success := '1'; end if; if state = s_non_operational then v_ctrl_mmi.ctrl_calibration_fail := '1'; end if; if state /= s_non_operational then v_ctrl_mmi.ctrl_current_active_block := master_ctrl_iram_push.active_block; v_ctrl_mmi.ctrl_current_stage := master_ctrl_op_rec.command; else v_ctrl_mmi.ctrl_current_active_block := v_ctrl_mmi.ctrl_current_active_block; v_ctrl_mmi.ctrl_current_stage := v_ctrl_mmi.ctrl_current_stage; end if; int_ctrl_prev_stage <= int_ctrl_current_stage; int_ctrl_current_stage <= v_ctrl_mmi.ctrl_current_stage; if int_ctrl_prev_stage /= int_ctrl_current_stage then v_ctrl_mmi.ctrl_current_stage_done := '0'; else if curr_ctrl.command_done = '1' then v_ctrl_mmi.ctrl_current_stage_done := '1'; end if; end if; v_ctrl_mmi.master_state_r := last_state; if mmi_ctrl.calibration_start = '1' or ctl_recalibrate_req = '1' then v_ctrl_mmi := defaults; ctrl_mmi <= defaults; end if; -- assert error codes here if curr_ctrl.command_err = '1' then v_ctrl_mmi.ctrl_err_code := curr_ctrl.command_result; elsif flag_ack_timeout = '1' then v_ctrl_mmi.ctrl_err_code := std_logic_vector(to_unsigned(c_err_ctrl_ack_timeout, v_ctrl_mmi.ctrl_err_code'length)); elsif flag_done_timeout = '1' then v_ctrl_mmi.ctrl_err_code := std_logic_vector(to_unsigned(c_err_ctrl_done_timeout, v_ctrl_mmi.ctrl_err_code'length)); elsif mtp_err = '1' then if mtp_no_valid_almt = '1' then v_ctrl_mmi.ctrl_err_code := std_logic_vector(to_unsigned(C_ERR_READ_MTP_NO_VALID_ALMT, v_ctrl_mmi.ctrl_err_code'length)); elsif mtp_both_valid_almt = '1' then v_ctrl_mmi.ctrl_err_code := std_logic_vector(to_unsigned(C_ERR_READ_MTP_BOTH_ALMT_PASS, v_ctrl_mmi.ctrl_err_code'length)); end if; end if; end if; end process; -- check if iram finished init process(iram_status) begin if GENERATE_ADDITIONAL_DBG_RTL = 0 then iram_init_complete <= '1'; else iram_init_complete <= iram_status.init_done; end if; end process; -- ----------------------------------------------------------------------------- -- master state machine -- (this controls the operation of the entire sequencer) -- the states are summarised as follows: -- s_reset -- s_phy_initialise - wait for dll lock and init done flag from iram -- s_init_dram, -- dram initialisation - reset sequence -- s_prog_cal_mr, -- dram initialisation - programming mode registers (once per chip select) -- s_write_ihi - write header information in iRAM -- s_cal - check if calibration to be executed -- s_write_btp - write burst training pattern -- s_write_mtp - write more training pattern -- s_rrp_reset - read resync phase setup - reset initial conditions -- s_rrp_sweep - read resync phase setup - sweep phases per chip select -- s_read_mtp - read training patterns to find correct alignment for 1100 burst -- (this is a special case of s_rrp_seek with no resych phase setting) -- s_rrp_seek - read resync phase setup - seek correct alignment -- s_rdv - read data valid setup -- s_poa - calibrate the postamble -- s_was - write datapath setup (ac to write data timing) -- s_adv_rd_lat - advertise read latency -- s_adv_wr_lat - advertise write latency -- s_tracking_setup - perform tracking (1st pass to setup mimic window) -- s_prep_customer_mr_setup - apply user mode register settings (in admin block) -- s_tracking - perform tracking (subsequent passes in user mode) -- s_operational - calibration successful and in user mode -- s_non_operational - calibration unsuccessful and in user mode -- ----------------------------------------------------------------------------- process(clk, rst_n) variable v_seen_ack : boolean; variable v_dis : std_logic; -- disable bit begin if rst_n = '0' then state <= s_reset; last_state <= s_reset; int_ctl_init_success <= '0'; int_ctl_init_fail <= '0'; v_seen_ack := false; hold_state <= '0'; cs_counter <= 0; mtp_almts_checked <= 0; ac_nt <= (others => '1'); ac_nt_almts_checked <= 0; reissue_cmd_req <= '0'; dis_state <= '0'; elsif rising_edge(clk) then last_state <= state; -- check if state_tx required if curr_ctrl.command_ack = '1' then v_seen_ack := true; end if; -- find disable bit for current state (do once to avoid exit mid-state) if state /= last_state then dis_state <= find_dis_bit(state, mmi_ctrl); end if; -- Set special conditions: if state = s_reset or state = s_operational or state = s_non_operational then dis_state <= '1'; end if; -- override to ensure execution of next state logic if (state = s_cal) then dis_state <= '1'; end if; -- if header writing in iram check finished if (state = s_write_ihi) then if iram_push_done = '1' or mmi_ctrl.hl_css.write_ihi_dis = '1' then dis_state <= '1'; else dis_state <= '0'; end if; end if; -- Special condition for initialisation if (state = s_phy_initialise) then if ((dll_lock_counter = 0) and (iram_init_complete = '1')) or (mmi_ctrl.hl_css.phy_initialise_dis = '1') then dis_state <= '1'; else dis_state <= '0'; end if; end if; if dis_state = '1' then v_seen_ack := false; elsif curr_ctrl.command_done = '1' then if v_seen_ack = false then report ctrl_report_prefix & "have not seen ack but have seen command done from " & t_ctrl_active_block'image(curr_active_block(master_ctrl_op_rec.command)) & "_block in state " & t_master_sm_state'image(state) severity warning; end if; v_seen_ack := false; end if; -- default do not reissue command request reissue_cmd_req <= '0'; if (hold_state = '1') then hold_state <= '0'; else if ((dis_state = '1') or (curr_ctrl.command_done = '1') or ((cal_cs_enabled(cs_counter) = '0') and (mcs_rw_state(state) = True))) then -- current chip select is disabled and read/write hold_state <= '1'; -- Only reset the below if making state change int_ctl_init_success <= '0'; int_ctl_init_fail <= '0'; -- default chip select counter gets reset to zero cs_counter <= 0; case state is when s_reset => state <= s_phy_initialise; ac_nt <= (others => '1'); mtp_almts_checked <= 0; ac_nt_almts_checked <= 0; when s_phy_initialise => state <= s_init_dram; when s_init_dram => state <= s_prog_cal_mr; when s_prog_cal_mr => if cs_counter = MEM_IF_NUM_RANKS - 1 then -- if no debug interface don't write iram header if GENERATE_ADDITIONAL_DBG_RTL = 1 then state <= s_write_ihi; else state <= s_cal; end if; else cs_counter <= cs_counter + 1; reissue_cmd_req <= '1'; end if; when s_write_ihi => state <= s_cal; when s_cal => if mmi_ctrl.hl_css.cal_dis = '0' then state <= s_write_btp; else state <= s_tracking_setup; end if; -- always enter s_cal before calibration so reset some variables here mtp_almts_checked <= 0; ac_nt_almts_checked <= 0; when s_write_btp => if cs_counter = MEM_IF_NUM_RANKS-1 or SIM_TIME_REDUCTIONS = 2 then state <= s_write_mtp; else cs_counter <= cs_counter + 1; -- only reissue command if current chip select enabled if cal_cs_enabled(cs_counter + 1) = '1' then reissue_cmd_req <= '1'; end if; end if; when s_write_mtp => if cs_counter = MEM_IF_NUM_RANKS - 1 or SIM_TIME_REDUCTIONS = 2 then if SIM_TIME_REDUCTIONS = 1 then state <= s_rdv; else state <= s_rrp_reset; end if; else cs_counter <= cs_counter + 1; -- only reissue command if current chip select enabled if cal_cs_enabled(cs_counter + 1) = '1' then reissue_cmd_req <= '1'; end if; end if; when s_rrp_reset => state <= s_rrp_sweep; when s_rrp_sweep => if cs_counter = MEM_IF_NUM_RANKS - 1 or mtp_almts_checked /= 2 or SIM_TIME_REDUCTIONS = 2 then if mtp_almts_checked /= 2 then state <= s_read_mtp; else state <= s_rrp_seek; end if; else cs_counter <= cs_counter + 1; -- only reissue command if current chip select enabled if cal_cs_enabled(cs_counter + 1) = '1' then reissue_cmd_req <= '1'; end if; end if; when s_read_mtp => if mtp_almts_checked /= 2 then mtp_almts_checked <= mtp_almts_checked + 1; end if; state <= s_rrp_reset; when s_rrp_seek => state <= s_rdv; when s_rdv => state <= s_was; when s_was => state <= s_adv_rd_lat; when s_adv_rd_lat => state <= s_adv_wr_lat; when s_adv_wr_lat => if dgrb_ctrl_ac_nt_good = '1' then state <= s_poa; else if ac_nt_almts_checked = (DWIDTH_RATIO/2 - 1) then state <= s_non_operational; else -- switch alignment and restart calibration ac_nt <= std_logic_vector(unsigned(ac_nt) + 1); ac_nt_almts_checked <= ac_nt_almts_checked + 1; if SIM_TIME_REDUCTIONS = 1 then state <= s_rdv; else state <= s_rrp_reset; end if; mtp_almts_checked <= 0; end if; end if; when s_poa => state <= s_tracking_setup; when s_tracking_setup => state <= s_prep_customer_mr_setup; when s_prep_customer_mr_setup => if cs_counter = MEM_IF_NUM_RANKS - 1 then -- s_prep_customer_mr_setup is always performed over all cs state <= s_operational; else cs_counter <= cs_counter + 1; reissue_cmd_req <= '1'; end if; when s_tracking => state <= s_operational; int_ctl_init_success <= int_ctl_init_success; int_ctl_init_fail <= int_ctl_init_fail; when s_operational => int_ctl_init_success <= '1'; int_ctl_init_fail <= '0'; hold_state <= '0'; if tracking_update_due = '1' and mmi_ctrl.hl_css.tracking_dis = '0' then state <= s_tracking; hold_state <= '1'; end if; when s_non_operational => int_ctl_init_success <= '0'; int_ctl_init_fail <= '1'; hold_state <= '0'; if last_state /= s_non_operational then -- print a warning on entering this state report ctrl_report_prefix & "memory calibration has failed (output from ctrl block)" severity WARNING; end if; when others => state <= t_master_sm_state'succ(state); end case; end if; end if; if flag_done_timeout = '1' -- no done signal from current active block or flag_ack_timeout = '1' -- or no ack signal from current active block or curr_ctrl.command_err = '1' -- or an error from current active block or mtp_err = '1' then -- or an error due to mtp alignment state <= s_non_operational; end if; if mmi_ctrl.calibration_start = '1' then -- restart calibration process state <= s_cal; end if; if ctl_recalibrate_req = '1' then -- restart all incl. initialisation state <= s_reset; end if; end if; end process; -- generate output calibration fail/success signals process(clk, rst_n) begin if rst_n = '0' then ctl_init_fail <= '0'; ctl_init_success <= '0'; elsif rising_edge(clk) then ctl_init_fail <= int_ctl_init_fail; ctl_init_success <= int_ctl_init_success; end if; end process; -- assign ac_nt to the output int_ac_nt process(ac_nt) begin int_ac_nt <= ac_nt; end process; -- ------------------------------------------------------------------------------ -- find correct mtp_almt from returned data -- ------------------------------------------------------------------------------ mtp_almt: block signal dvw_size_a0 : natural range 0 to 255; -- maximum size of command result signal dvw_size_a1 : natural range 0 to 255; begin process (clk, rst_n) variable v_dvw_a0_small : boolean; variable v_dvw_a1_small : boolean; begin if rst_n = '0' then mtp_correct_almt <= 0; dvw_size_a0 <= 0; dvw_size_a1 <= 0; mtp_no_valid_almt <= '0'; mtp_both_valid_almt <= '0'; mtp_err <= '0'; elsif rising_edge(clk) then -- update the dvw sizes if state = s_read_mtp then if curr_ctrl.command_done = '1' then if mtp_almts_checked = 0 then dvw_size_a0 <= to_integer(unsigned(curr_ctrl.command_result)); else dvw_size_a1 <= to_integer(unsigned(curr_ctrl.command_result)); end if; end if; end if; -- check dvw size and set mtp almt if dvw_size_a0 < dvw_size_a1 then mtp_correct_almt <= 1; else mtp_correct_almt <= 0; end if; -- error conditions if mtp_almts_checked = 2 and GENERATE_ADDITIONAL_DBG_RTL = 1 then -- if finished alignment checking (and GENERATE_ADDITIONAL_DBG_RTL set) -- perform size checks once per dvw if dvw_size_a0 < 3 then v_dvw_a0_small := true; else v_dvw_a0_small := false; end if; if dvw_size_a1 < 3 then v_dvw_a1_small := true; else v_dvw_a1_small := false; end if; if v_dvw_a0_small = true and v_dvw_a1_small = true then mtp_no_valid_almt <= '1'; mtp_err <= '1'; end if; if v_dvw_a0_small = false and v_dvw_a1_small = false then mtp_both_valid_almt <= '1'; mtp_err <= '1'; end if; else mtp_no_valid_almt <= '0'; mtp_both_valid_almt <= '0'; mtp_err <= '0'; end if; end if; end process; end block; -- ------------------------------------------------------------------------------ -- process to generate command outputs, based on state, last_state and mmi_ctrl. -- asynchronously -- ------------------------------------------------------------------------------ process (state, last_state, mmi_ctrl, reissue_cmd_req, cs_counter, mtp_almts_checked, mtp_correct_almt) begin master_ctrl_op_rec <= defaults; master_ctrl_iram_push <= defaults; case state is -- special condition states when s_reset | s_phy_initialise | s_cal => null; when s_write_ihi => if mmi_ctrl.hl_css.write_ihi_dis = '0' then master_ctrl_op_rec.command <= find_cmd(state); if state /= last_state then master_ctrl_op_rec.command_req <= '1'; end if; end if; when s_operational | s_non_operational => master_ctrl_op_rec.command <= find_cmd(state); when others => -- default condition for most states if find_dis_bit(state, mmi_ctrl) = '0' then master_ctrl_op_rec.command <= find_cmd(state); if state /= last_state or reissue_cmd_req = '1' then master_ctrl_op_rec.command_req <= '1'; end if; else if state = last_state then -- safe state exit if state disabled mid-calibration master_ctrl_op_rec.command <= find_cmd(state); end if; end if; end case; -- for multiple chip select commands assign operand to cs_counter master_ctrl_op_rec.command_op <= defaults; master_ctrl_op_rec.command_op.current_cs <= cs_counter; if state = s_rrp_sweep or state = s_read_mtp or state = s_poa then if mtp_almts_checked /= 2 or SIM_TIME_REDUCTIONS = 2 then master_ctrl_op_rec.command_op.single_bit <= '1'; end if; if mtp_almts_checked /= 2 then master_ctrl_op_rec.command_op.mtp_almt <= mtp_almts_checked; else master_ctrl_op_rec.command_op.mtp_almt <= mtp_correct_almt; end if; end if; -- set write mode and packing mode for iram if GENERATE_ADDITIONAL_DBG_RTL = 1 then case state is when s_rrp_sweep => master_ctrl_iram_push.write_mode <= overwrite_ram; master_ctrl_iram_push.packing_mode <= dq_bitwise; when s_rrp_seek | s_read_mtp => master_ctrl_iram_push.write_mode <= overwrite_ram; master_ctrl_iram_push.packing_mode <= dq_wordwise; when others => null; end case; end if; -- set current active block master_ctrl_iram_push.active_block <= curr_active_block(find_cmd(state)); end process; -- some concurc_read_burst_trent assignments to outputs process (master_ctrl_iram_push, master_ctrl_op_rec) begin ctrl_iram_push <= master_ctrl_iram_push; ctrl_op_rec <= master_ctrl_op_rec; cmd_req_asserted <= master_ctrl_op_rec.command_req; end process; -- ----------------------------------------------------------------------------- -- tracking interval counter -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then milisecond_tick_gen_count <= c_ticks_per_ms -1; tracking_ms_counter <= 0; tracking_update_due <= '0'; elsif rising_edge(clk) then if state = s_operational and last_state/= s_operational then if mmi_ctrl.tracking_orvd_to_10ms = '1' then milisecond_tick_gen_count <= c_ticks_per_10us -1; else milisecond_tick_gen_count <= c_ticks_per_ms -1; end if; tracking_ms_counter <= mmi_ctrl.tracking_period_ms; elsif state = s_operational then if milisecond_tick_gen_count = 0 and tracking_update_due /= '1' then if tracking_ms_counter = 0 then tracking_update_due <= '1'; else tracking_ms_counter <= tracking_ms_counter -1; end if; if mmi_ctrl.tracking_orvd_to_10ms = '1' then milisecond_tick_gen_count <= c_ticks_per_10us -1; else milisecond_tick_gen_count <= c_ticks_per_ms -1; end if; elsif milisecond_tick_gen_count /= 0 then milisecond_tick_gen_count <= milisecond_tick_gen_count -1; end if; else tracking_update_due <= '0'; end if; end if; end process; end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : top level for the non-levelling AFI PHY sequencer -- The top level instances the sub-blocks of the AFI PHY -- sequencer. In addition a number of multiplexing and high- -- level control operations are performed. This includes the -- multiplexing and generation of control signals for: the -- address and command DRAM interface and pll, oct and datapath -- latency control signals. -- ----------------------------------------------------------------------------- --altera message_off 10036 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_seq IS generic ( -- choice of FPGA device family and DRAM type FAMILY : string; MEM_IF_MEMTYPE : string; SPEED_GRADE : string; FAMILYGROUP_ID : natural; -- physical interface width definitions MEM_IF_DQS_WIDTH : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; DWIDTH_RATIO : natural; CLOCK_INDEX_WIDTH : natural; MEM_IF_CLK_PAIR_COUNT : natural; MEM_IF_ADDR_WIDTH : natural; MEM_IF_BANKADDR_WIDTH : natural; MEM_IF_CS_WIDTH : natural; MEM_IF_NUM_RANKS : natural; MEM_IF_RANKS_PER_SLOT : natural; ADV_LAT_WIDTH : natural; RESYNCHRONISE_AVALON_DBG : natural; -- 0 = false, 1 = true AV_IF_ADDR_WIDTH : natural; -- Not used for non-levelled seq CHIP_OR_DIMM : string; RDIMM_CONFIG_BITS : string; -- setup / algorithm information NOM_DQS_PHASE_SETTING : natural; SCAN_CLK_DIVIDE_BY : natural; RDP_ADDR_WIDTH : natural; PLL_STEPS_PER_CYCLE : natural; IOE_PHASES_PER_TCK : natural; IOE_DELAYS_PER_PHS : natural; MEM_IF_CLK_PS : natural; WRITE_DESKEW_T10 : natural; WRITE_DESKEW_HC_T10 : natural; WRITE_DESKEW_T9NI : natural; WRITE_DESKEW_HC_T9NI : natural; WRITE_DESKEW_T9I : natural; WRITE_DESKEW_HC_T9I : natural; WRITE_DESKEW_RANGE : natural; -- initial mode register settings PHY_DEF_MR_1ST : natural; PHY_DEF_MR_2ND : natural; PHY_DEF_MR_3RD : natural; PHY_DEF_MR_4TH : natural; MEM_IF_DQSN_EN : natural; -- default off for Cyclone-III MEM_IF_DQS_CAPTURE_EN : natural; GENERATE_ADDITIONAL_DBG_RTL : natural; -- 1 signals to include iram and mmi blocks and 0 not to include SINGLE_DQS_DELAY_CONTROL_CODE : natural; -- reserved for future use PRESET_RLAT : natural; -- reserved for future use EN_OCT : natural; -- Does the sequencer use OCT during calibration. OCT_LAT_WIDTH : natural; SIM_TIME_REDUCTIONS : natural; -- if 0 null, if 2 rrp for 1 dqs group and 1 cs FORCE_HC : natural; -- Use to force HardCopy in simulation. CAPABILITIES : natural; -- advertise capabilities i.e. which ctrl block states to execute (default all on) TINIT_TCK : natural; TINIT_RST : natural; GENERATE_TRACKING_PHASE_STORE : natural; -- reserved for future use IP_BUILDNUM : natural ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; -- calibration status and prompt ctl_init_success : out std_logic; ctl_init_fail : out std_logic; ctl_init_warning : out std_logic; -- unused ctl_recalibrate_req : in std_logic; -- the following two signals are reserved for future use mem_ac_swapped_ranks : in std_logic_vector(MEM_IF_NUM_RANKS - 1 downto 0); ctl_cal_byte_lanes : in std_logic_vector(MEM_IF_NUM_RANKS * MEM_IF_DQS_WIDTH - 1 downto 0); -- pll reconfiguration seq_pll_inc_dec_n : out std_logic; seq_pll_start_reconfig : out std_logic; seq_pll_select : out std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); seq_pll_phs_shift_busy : in std_logic; pll_resync_clk_index : in std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); -- PLL phase used to select resync clock pll_measure_clk_index : in std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); -- PLL phase used to select mimic/measure clock -- scanchain associated signals (reserved for future use) seq_scan_clk : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_enable_dqs_config : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_update : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_din : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_enable_ck : out std_logic_vector(MEM_IF_CLK_PAIR_COUNT - 1 downto 0); seq_scan_enable_dqs : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_enable_dqsn : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_enable_dq : out std_logic_vector(MEM_IF_DWIDTH - 1 downto 0); seq_scan_enable_dm : out std_logic_vector(MEM_IF_DM_WIDTH - 1 downto 0); hr_rsc_clk : in std_logic; -- address / command interface (note these are mapped internally to the seq_ac record) seq_ac_addr : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_ADDR_WIDTH - 1 downto 0); seq_ac_ba : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_BANKADDR_WIDTH - 1 downto 0); seq_ac_cas_n : out std_logic_vector((DWIDTH_RATIO/2) - 1 downto 0); seq_ac_ras_n : out std_logic_vector((DWIDTH_RATIO/2) - 1 downto 0); seq_ac_we_n : out std_logic_vector((DWIDTH_RATIO/2) - 1 downto 0); seq_ac_cke : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS - 1 downto 0); seq_ac_cs_n : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS - 1 downto 0); seq_ac_odt : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS - 1 downto 0); seq_ac_rst_n : out std_logic_vector((DWIDTH_RATIO/2) - 1 downto 0); seq_ac_sel : out std_logic; seq_mem_clk_disable : out std_logic; -- additional datapath latency (reserved for future use) seq_ac_add_1t_ac_lat_internal : out std_logic; seq_ac_add_1t_odt_lat_internal : out std_logic; seq_ac_add_2t : out std_logic; -- read datapath interface seq_rdp_reset_req_n : out std_logic; seq_rdp_inc_read_lat_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_rdp_dec_read_lat_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); rdata : in std_logic_vector( DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0); -- read data valid (associated signals) interface seq_rdv_doing_rd : out std_logic_vector(MEM_IF_DQS_WIDTH * DWIDTH_RATIO/2 - 1 downto 0); rdata_valid : in std_logic_vector( DWIDTH_RATIO/2 - 1 downto 0); seq_rdata_valid_lat_inc : out std_logic; seq_rdata_valid_lat_dec : out std_logic; seq_ctl_rlat : out std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); -- postamble interface (unused for Cyclone-III) seq_poa_lat_dec_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_poa_lat_inc_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_poa_protection_override_1x : out std_logic; -- OCT path control seq_oct_oct_delay : out std_logic_vector(OCT_LAT_WIDTH - 1 downto 0); seq_oct_oct_extend : out std_logic_vector(OCT_LAT_WIDTH - 1 downto 0); seq_oct_value : out std_logic; -- write data path interface seq_wdp_dqs_burst : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_DQS_WIDTH - 1 downto 0); seq_wdp_wdata_valid : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_DQS_WIDTH - 1 downto 0); seq_wdp_wdata : out std_logic_vector( DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0); seq_wdp_dm : out std_logic_vector( DWIDTH_RATIO * MEM_IF_DM_WIDTH - 1 downto 0); seq_wdp_dqs : out std_logic_vector( DWIDTH_RATIO - 1 downto 0); seq_wdp_ovride : out std_logic; seq_dqs_add_2t_delay : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_ctl_wlat : out std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); -- mimic path interface seq_mmc_start : out std_logic; mmc_seq_done : in std_logic; mmc_seq_value : in std_logic; -- parity signals (not used for non-levelled PHY) mem_err_out_n : in std_logic; parity_error_n : out std_logic; --synchronous Avalon debug interface (internally re-synchronised to input clock (a generic option)) dbg_seq_clk : in std_logic; dbg_seq_rst_n : in std_logic; dbg_seq_addr : in std_logic_vector(AV_IF_ADDR_WIDTH - 1 downto 0); dbg_seq_wr : in std_logic; dbg_seq_rd : in std_logic; dbg_seq_cs : in std_logic; dbg_seq_wr_data : in std_logic_vector(31 downto 0); seq_dbg_rd_data : out std_logic_vector(31 downto 0); seq_dbg_waitrequest : out std_logic ); end entity; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The registers package (alt_mem_phy_regs_pkg) is used to combine the definition of the -- registers for the mmi status registers and functions/procedures applied to the registers -- use work.nios_altmemddr_0_phy_alt_mem_phy_regs_pkg.all; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- The iram address package (alt_mem_phy_iram_addr_pkg) is used to define the base addresses used -- for iram writes during calibration -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg.all; -- The address and command package (alt_mem_phy_addr_cmd_pkg) is used to combine DRAM address -- and command signals in one record and unify the functions operating on this record. -- use work.nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg.all; -- Individually include each of library files for the sub-blocks of the sequencer: -- use work.nios_altmemddr_0_phy_alt_mem_phy_admin; -- use work.nios_altmemddr_0_phy_alt_mem_phy_mmi; -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram; -- use work.nios_altmemddr_0_phy_alt_mem_phy_dgrb; -- use work.nios_altmemddr_0_phy_alt_mem_phy_dgwb; -- use work.nios_altmemddr_0_phy_alt_mem_phy_ctrl; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_seq IS attribute altera_attribute : string; attribute altera_attribute of struct : architecture is "-name MESSAGE_DISABLE 18010"; -- debug signals (similar to those seen in the Quartus v8.0 DDR/DDR2 sequencer) signal rsu_multiple_valid_latencies_err : std_logic; -- true if >2 valid latency values are detected signal rsu_grt_one_dvw_err : std_logic; -- true if >1 data valid window is detected signal rsu_no_dvw_err : std_logic; -- true if no data valid window is detected signal rsu_codvw_phase : std_logic_vector(11 downto 0); -- set to the phase of the DVW detected if calibration is successful signal rsu_codvw_size : std_logic_vector(11 downto 0); -- set to the phase of the DVW detected if calibration is successful signal rsu_read_latency : std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); -- set to the correct read latency if calibration is successful -- outputs from the dgrb to generate the above rsu_codvw_* signals and report status to the mmi signal dgrb_mmi : t_dgrb_mmi; -- admin to mmi interface signal regs_admin_ctrl_rec : t_admin_ctrl; -- mmi register settings information signal admin_regs_status_rec : t_admin_stat; -- admin status information -- odt enable from the admin block based on mr settings signal enable_odt : std_logic; -- iram status information (sent to the ctrl block) signal iram_status : t_iram_stat; -- dgrb iram write interface signal dgrb_iram : t_iram_push; -- ctrl to iram interface signal ctrl_idib_top : natural; -- current write location in the iram signal ctrl_active_block : t_ctrl_active_block; signal ctrl_iram_push : t_ctrl_iram; signal iram_push_done : std_logic; signal ctrl_iram_ihi_write : std_logic; -- local copies of calibration status signal ctl_init_success_int : std_logic; signal ctl_init_fail_int : std_logic; -- refresh period failure flag signal trefi_failure : std_logic; -- unified ctrl signal broadcast to all blocks from the ctrl block signal ctrl_broadcast : t_ctrl_command; -- standardised status report per block to control block signal admin_ctrl : t_ctrl_stat; signal dgwb_ctrl : t_ctrl_stat; signal dgrb_ctrl : t_ctrl_stat; -- mmi and ctrl block interface signal mmi_ctrl : t_mmi_ctrl; signal ctrl_mmi : t_ctrl_mmi; -- write datapath override signals signal dgwb_wdp_override : std_logic; signal dgrb_wdp_override : std_logic; -- address/command access request and grant between the dgrb/dgwb blocks and the admin block signal dgb_ac_access_gnt : std_logic; signal dgb_ac_access_gnt_r : std_logic; signal dgb_ac_access_req : std_logic; signal dgwb_ac_access_req : std_logic; signal dgrb_ac_access_req : std_logic; -- per block address/command record (multiplexed in this entity) signal admin_ac : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); signal dgwb_ac : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); signal dgrb_ac : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); -- doing read signal signal seq_rdv_doing_rd_int : std_logic_vector(seq_rdv_doing_rd'range); -- local copy of interface to inc/dec latency on rdata_valid and postamble signal seq_rdata_valid_lat_dec_int : std_logic; signal seq_rdata_valid_lat_inc_int : std_logic; signal seq_poa_lat_inc_1x_int : std_logic_vector(MEM_IF_DQS_WIDTH -1 downto 0); signal seq_poa_lat_dec_1x_int : std_logic_vector(MEM_IF_DQS_WIDTH -1 downto 0); -- local copy of write/read latency signal seq_ctl_wlat_int : std_logic_vector(seq_ctl_wlat'range); signal seq_ctl_rlat_int : std_logic_vector(seq_ctl_rlat'range); -- parameterisation of dgrb / dgwb / admin blocks from mmi register settings signal parameterisation_rec : t_algm_paramaterisation; -- PLL reconfig signal seq_pll_phs_shift_busy_r : std_logic; signal seq_pll_phs_shift_busy_ccd : std_logic; signal dgrb_pll_inc_dec_n : std_logic; signal dgrb_pll_start_reconfig : std_logic; signal dgrb_pll_select : std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); signal dgrb_phs_shft_busy : std_logic; signal mmi_pll_inc_dec_n : std_logic; signal mmi_pll_start_reconfig : std_logic; signal mmi_pll_select : std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); signal pll_mmi : t_pll_mmi; signal mmi_pll : t_mmi_pll_reconfig; -- address and command 1t setting (unused for Full Rate) signal int_ac_nt : std_logic_vector(((DWIDTH_RATIO+2)/4) - 1 downto 0); signal dgrb_ctrl_ac_nt_good : std_logic; -- the following signals are reserved for future use signal ctl_cal_byte_lanes_r : std_logic_vector(ctl_cal_byte_lanes'range); signal mmi_setup : t_ctrl_cmd_id; signal dgwb_iram : t_iram_push; -- track number of poa / rdv adjustments (reporting only) signal poa_adjustments : natural; signal rdv_adjustments : natural; -- convert input generics from natural to std_logic_vector constant c_phy_def_mr_1st_sl_vector : std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(PHY_DEF_MR_1ST, 16)); constant c_phy_def_mr_2nd_sl_vector : std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(PHY_DEF_MR_2ND, 16)); constant c_phy_def_mr_3rd_sl_vector : std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(PHY_DEF_MR_3RD, 16)); constant c_phy_def_mr_4th_sl_vector : std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(PHY_DEF_MR_4TH, 16)); -- overrride on capabilities to speed up simulation time function capabilities_override(capabilities : natural; sim_time_reductions : natural) return natural is begin if sim_time_reductions = 1 then return 2**c_hl_css_reg_cal_dis_bit; -- disable calibration completely else return capabilities; end if; end function; -- set sequencer capabilities constant c_capabilities_override : natural := capabilities_override(CAPABILITIES, SIM_TIME_REDUCTIONS); constant c_capabilities : std_logic_vector(31 downto 0) := std_logic_vector(to_unsigned(c_capabilities_override,32)); -- setup for address/command interface constant c_seq_addr_cmd_config : t_addr_cmd_config_rec := set_config_rec(MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS, DWIDTH_RATIO, MEM_IF_MEMTYPE); -- setup for odt signals -- odt setting as implemented in the altera high-performance controller for ddrx memories constant c_odt_settings : t_odt_array(0 to MEM_IF_NUM_RANKS-1) := set_odt_values(MEM_IF_NUM_RANKS, MEM_IF_RANKS_PER_SLOT, MEM_IF_MEMTYPE); -- a prefix for all report signals to identify phy and sequencer block -- constant seq_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (top) : "; -- setup iram configuration constant c_iram_addresses : t_base_hdr_addresses := calc_iram_addresses(DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, MEM_IF_DWIDTH, MEM_IF_NUM_RANKS, MEM_IF_DQS_CAPTURE_EN); constant c_int_iram_awidth : natural := c_iram_addresses.required_addr_bits; constant c_preset_cal_setup : t_preset_cal := setup_instant_on(SIM_TIME_REDUCTIONS, FAMILYGROUP_ID, MEM_IF_MEMTYPE, DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, c_phy_def_mr_1st_sl_vector, c_phy_def_mr_2nd_sl_vector, c_phy_def_mr_3rd_sl_vector); constant c_preset_codvw_phase : natural := c_preset_cal_setup.codvw_phase; constant c_preset_codvw_size : natural := c_preset_cal_setup.codvw_size; constant c_tracking_interval_in_ms : natural := 128; constant c_mem_if_cal_bank : natural := 0; -- location to calibrate to constant c_mem_if_cal_base_col : natural := 0; -- default all zeros constant c_mem_if_cal_base_row : natural := 0; constant c_non_op_eval_md : string := "PIN_FINDER"; -- non_operational evaluation mode (used when GENERATE_ADDITIONAL_DBG_RTL = 1) begin -- architecture struct -- --------------------------------------------------------------- -- tie off unused signals to default values -- --------------------------------------------------------------- -- scan chain associated signals seq_scan_clk <= (others => '0'); seq_scan_enable_dqs_config <= (others => '0'); seq_scan_update <= (others => '0'); seq_scan_din <= (others => '0'); seq_scan_enable_ck <= (others => '0'); seq_scan_enable_dqs <= (others => '0'); seq_scan_enable_dqsn <= (others => '0'); seq_scan_enable_dq <= (others => '0'); seq_scan_enable_dm <= (others => '0'); seq_dqs_add_2t_delay <= (others => '0'); seq_rdp_inc_read_lat_1x <= (others => '0'); seq_rdp_dec_read_lat_1x <= (others => '0'); -- warning flag (not used in non-levelled sequencer) ctl_init_warning <= '0'; -- parity error flag (not used in non-levelled sequencer) parity_error_n <= '1'; -- admin: entity nios_altmemddr_0_phy_alt_mem_phy_admin generic map ( MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, MEM_IF_DWIDTH => MEM_IF_DWIDTH, MEM_IF_DM_WIDTH => MEM_IF_DM_WIDTH, MEM_IF_DQ_PER_DQS => MEM_IF_DQ_PER_DQS, DWIDTH_RATIO => DWIDTH_RATIO, CLOCK_INDEX_WIDTH => CLOCK_INDEX_WIDTH, MEM_IF_CLK_PAIR_COUNT => MEM_IF_CLK_PAIR_COUNT, MEM_IF_ADDR_WIDTH => MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH => MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, ADV_LAT_WIDTH => ADV_LAT_WIDTH, MEM_IF_DQSN_EN => MEM_IF_DQSN_EN, MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, MEM_IF_CAL_BANK => c_mem_if_cal_bank, MEM_IF_CAL_BASE_ROW => c_mem_if_cal_base_row, GENERATE_ADDITIONAL_DBG_RTL => GENERATE_ADDITIONAL_DBG_RTL, NON_OP_EVAL_MD => c_non_op_eval_md, MEM_IF_CLK_PS => MEM_IF_CLK_PS, TINIT_TCK => TINIT_TCK, TINIT_RST => TINIT_RST ) port map ( clk => clk, rst_n => rst_n, mem_ac_swapped_ranks => mem_ac_swapped_ranks, ctl_cal_byte_lanes => ctl_cal_byte_lanes_r, seq_ac => admin_ac, seq_ac_sel => seq_ac_sel, enable_odt => enable_odt, regs_admin_ctrl_rec => regs_admin_ctrl_rec, admin_regs_status_rec => admin_regs_status_rec, trefi_failure => trefi_failure, ctrl_admin => ctrl_broadcast, admin_ctrl => admin_ctrl, ac_access_req => dgb_ac_access_req, ac_access_gnt => dgb_ac_access_gnt, cal_fail => ctl_init_fail_int, cal_success => ctl_init_success_int, ctl_recalibrate_req => ctl_recalibrate_req ); -- selectively include the debug i/f (iram and mmi blocks) with_debug_if : if GENERATE_ADDITIONAL_DBG_RTL = 1 generate signal mmi_iram : t_iram_ctrl; signal mmi_iram_enable_writes : std_logic; signal rrp_mem_loc : natural range 0 to 2 ** c_int_iram_awidth - 1; signal command_req_r : std_logic; signal ctrl_broadcast_r : t_ctrl_command; begin -- register ctrl_broadcast locally process (clk, rst_n) begin if rst_n = '0' then ctrl_broadcast_r <= defaults; elsif rising_edge(clk) then ctrl_broadcast_r <= ctrl_broadcast; end if; end process; -- mmi : entity nios_altmemddr_0_phy_alt_mem_phy_mmi generic map ( MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, MEM_IF_DWIDTH => MEM_IF_DWIDTH, MEM_IF_DM_WIDTH => MEM_IF_DM_WIDTH, MEM_IF_DQ_PER_DQS => MEM_IF_DQ_PER_DQS, DWIDTH_RATIO => DWIDTH_RATIO, CLOCK_INDEX_WIDTH => CLOCK_INDEX_WIDTH, MEM_IF_CLK_PAIR_COUNT => MEM_IF_CLK_PAIR_COUNT, MEM_IF_ADDR_WIDTH => MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH => MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, MEM_IF_DQS_CAPTURE => MEM_IF_DQS_CAPTURE_EN, ADV_LAT_WIDTH => ADV_LAT_WIDTH, RESYNCHRONISE_AVALON_DBG => RESYNCHRONISE_AVALON_DBG, AV_IF_ADDR_WIDTH => AV_IF_ADDR_WIDTH, NOM_DQS_PHASE_SETTING => NOM_DQS_PHASE_SETTING, SCAN_CLK_DIVIDE_BY => SCAN_CLK_DIVIDE_BY, RDP_ADDR_WIDTH => RDP_ADDR_WIDTH, PLL_STEPS_PER_CYCLE => PLL_STEPS_PER_CYCLE, IOE_PHASES_PER_TCK => IOE_PHASES_PER_TCK, IOE_DELAYS_PER_PHS => IOE_DELAYS_PER_PHS, MEM_IF_CLK_PS => MEM_IF_CLK_PS, PHY_DEF_MR_1ST => c_phy_def_mr_1st_sl_vector, PHY_DEF_MR_2ND => c_phy_def_mr_2nd_sl_vector, PHY_DEF_MR_3RD => c_phy_def_mr_3rd_sl_vector, PHY_DEF_MR_4TH => c_phy_def_mr_4th_sl_vector, MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, PRESET_RLAT => PRESET_RLAT, CAPABILITIES => c_capabilities_override, USE_IRAM => '1', -- always use iram (generic is rfu) IRAM_AWIDTH => c_int_iram_awidth, TRACKING_INTERVAL_IN_MS => c_tracking_interval_in_ms, READ_LAT_WIDTH => ADV_LAT_WIDTH ) port map( clk => clk, rst_n => rst_n, dbg_seq_clk => dbg_seq_clk, dbg_seq_rst_n => dbg_seq_rst_n, dbg_seq_addr => dbg_seq_addr, dbg_seq_wr => dbg_seq_wr, dbg_seq_rd => dbg_seq_rd, dbg_seq_cs => dbg_seq_cs, dbg_seq_wr_data => dbg_seq_wr_data, seq_dbg_rd_data => seq_dbg_rd_data, seq_dbg_waitrequest => seq_dbg_waitrequest, regs_admin_ctrl => regs_admin_ctrl_rec, admin_regs_status => admin_regs_status_rec, mmi_iram => mmi_iram, mmi_iram_enable_writes => mmi_iram_enable_writes, iram_status => iram_status, mmi_ctrl => mmi_ctrl, ctrl_mmi => ctrl_mmi, int_ac_1t => int_ac_nt(0), invert_ac_1t => open, trefi_failure => trefi_failure, parameterisation_rec => parameterisation_rec, pll_mmi => pll_mmi, mmi_pll => mmi_pll, dgrb_mmi => dgrb_mmi ); -- iram : entity nios_altmemddr_0_phy_alt_mem_phy_iram generic map( MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, FAMILYGROUP_ID => FAMILYGROUP_ID, MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, MEM_IF_DQ_PER_DQS => MEM_IF_DQ_PER_DQS, MEM_IF_DWIDTH => MEM_IF_DWIDTH, MEM_IF_DM_WIDTH => MEM_IF_DM_WIDTH, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, IRAM_AWIDTH => c_int_iram_awidth, REFRESH_COUNT_INIT => 12, PRESET_RLAT => PRESET_RLAT, PLL_STEPS_PER_CYCLE => PLL_STEPS_PER_CYCLE, CAPABILITIES => c_capabilities_override, IP_BUILDNUM => IP_BUILDNUM ) port map( clk => clk, rst_n => rst_n, mmi_iram => mmi_iram, mmi_iram_enable_writes => mmi_iram_enable_writes, iram_status => iram_status, iram_push_done => iram_push_done, ctrl_iram => ctrl_broadcast_r, dgrb_iram => dgrb_iram, admin_regs_status_rec => admin_regs_status_rec, ctrl_idib_top => ctrl_idib_top, ctrl_iram_push => ctrl_iram_push, dgwb_iram => dgwb_iram ); -- calculate where current data should go in the iram process (clk, rst_n) variable v_words_req : natural range 0 to 2 * MEM_IF_DWIDTH * PLL_STEPS_PER_CYCLE * DWIDTH_RATIO - 1; -- how many words are required begin if rst_n = '0' then ctrl_idib_top <= 0; command_req_r <= '0'; rrp_mem_loc <= 0; elsif rising_edge(clk) then if command_req_r = '0' and ctrl_broadcast_r.command_req = '1' then -- execute once on each command_req assertion -- default a 'safe location' ctrl_idib_top <= c_iram_addresses.safe_dummy; case ctrl_broadcast_r.command is when cmd_write_ihi => -- reset pointers rrp_mem_loc <= c_iram_addresses.rrp; ctrl_idib_top <= 0; -- write header to zero location always when cmd_rrp_sweep => -- add previous space requirement onto the current address ctrl_idib_top <= rrp_mem_loc; -- add the current space requirement to v_rrp_mem_loc -- there are (DWIDTH_RATIO/2) * PLL_STEPS_PER_CYCLE phases swept packed into 32 bit words per pin -- note: special case for single_bit calibration stages (e.g. read_mtp alignment) if ctrl_broadcast_r.command_op.single_bit = '1' then v_words_req := iram_wd_for_one_pin_rrp(DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, MEM_IF_DWIDTH, MEM_IF_DQS_CAPTURE_EN); else v_words_req := iram_wd_for_full_rrp(DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, MEM_IF_DWIDTH, MEM_IF_DQS_CAPTURE_EN); end if; v_words_req := v_words_req + 2; -- add 1 word location for header / footer information rrp_mem_loc <= rrp_mem_loc + v_words_req; when cmd_rrp_seek | cmd_read_mtp => -- add previous space requirement onto the current address ctrl_idib_top <= rrp_mem_loc; -- require 3 words - header, result and footer v_words_req := 3; rrp_mem_loc <= rrp_mem_loc + v_words_req; when others => null; end case; end if; command_req_r <= ctrl_broadcast_r.command_req; -- if recalibration request then reset iram address if ctl_recalibrate_req = '1' or mmi_ctrl.calibration_start = '1' then rrp_mem_loc <= c_iram_addresses.rrp; end if; end if; end process; end generate; -- with debug interface -- if no debug interface (iram/mmi block) tie off relevant signals without_debug_if : if GENERATE_ADDITIONAL_DBG_RTL = 0 generate constant c_slv_hl_stage_enable : std_logic_vector(31 downto 0) := std_logic_vector(to_unsigned(c_capabilities_override, 32)); constant c_hl_stage_enable : std_logic_vector(c_hl_ccs_num_stages-1 downto 0) := c_slv_hl_stage_enable(c_hl_ccs_num_stages-1 downto 0); constant c_pll_360_sweeps : natural := rrp_pll_phase_mult(DWIDTH_RATIO, MEM_IF_DQS_CAPTURE_EN); signal mmi_regs : t_mmi_regs := defaults; begin -- avalon interface signals seq_dbg_rd_data <= (others => '0'); seq_dbg_waitrequest <= '0'; -- The following registers are generated to simplify the assignments which follow -- but will be optimised away in synthesis mmi_regs.rw_regs <= defaults(c_phy_def_mr_1st_sl_vector, c_phy_def_mr_2nd_sl_vector, c_phy_def_mr_3rd_sl_vector, c_phy_def_mr_4th_sl_vector, NOM_DQS_PHASE_SETTING, PLL_STEPS_PER_CYCLE, c_pll_360_sweeps, c_tracking_interval_in_ms, c_hl_stage_enable); mmi_regs.ro_regs <= defaults(dgrb_mmi, ctrl_mmi, pll_mmi, mmi_regs.rw_regs.rw_if_test, '0', -- do not use iram MEM_IF_DQS_CAPTURE_EN, int_ac_nt(0), trefi_failure, iram_status, c_int_iram_awidth); process(mmi_regs) begin -- debug parameterisation signals regs_admin_ctrl_rec <= pack_record(mmi_regs.rw_regs); parameterisation_rec <= pack_record(mmi_regs.rw_regs); mmi_pll <= pack_record(mmi_regs.rw_regs); mmi_ctrl <= pack_record(mmi_regs.rw_regs); end process; -- from the iram iram_status <= defaults; iram_push_done <= '0'; end generate; -- without debug interface -- dgrb : entity nios_altmemddr_0_phy_alt_mem_phy_dgrb generic map( MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, MEM_IF_DQ_PER_DQS => MEM_IF_DQ_PER_DQS, MEM_IF_DWIDTH => MEM_IF_DWIDTH, MEM_IF_DM_WIDTH => MEM_IF_DM_WIDTH, MEM_IF_DQS_CAPTURE => MEM_IF_DQS_CAPTURE_EN, DWIDTH_RATIO => DWIDTH_RATIO, CLOCK_INDEX_WIDTH => CLOCK_INDEX_WIDTH, MEM_IF_ADDR_WIDTH => MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH => MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, ADV_LAT_WIDTH => ADV_LAT_WIDTH, PRESET_RLAT => PRESET_RLAT, PLL_STEPS_PER_CYCLE => PLL_STEPS_PER_CYCLE, SIM_TIME_REDUCTIONS => SIM_TIME_REDUCTIONS, GENERATE_ADDITIONAL_DBG_RTL => GENERATE_ADDITIONAL_DBG_RTL, PRESET_CODVW_PHASE => c_preset_codvw_phase, PRESET_CODVW_SIZE => c_preset_codvw_size, MEM_IF_CAL_BANK => c_mem_if_cal_bank, MEM_IF_CAL_BASE_COL => c_mem_if_cal_base_col, EN_OCT => EN_OCT ) port map( clk => clk, rst_n => rst_n, dgrb_ctrl => dgrb_ctrl, ctrl_dgrb => ctrl_broadcast, parameterisation_rec => parameterisation_rec, phs_shft_busy => dgrb_phs_shft_busy, seq_pll_inc_dec_n => dgrb_pll_inc_dec_n, seq_pll_select => dgrb_pll_select, seq_pll_start_reconfig => dgrb_pll_start_reconfig, pll_resync_clk_index => pll_resync_clk_index, pll_measure_clk_index => pll_measure_clk_index, dgrb_iram => dgrb_iram, iram_push_done => iram_push_done, dgrb_ac => dgrb_ac, dgrb_ac_access_req => dgrb_ac_access_req, dgrb_ac_access_gnt => dgb_ac_access_gnt_r, seq_rdata_valid_lat_inc => seq_rdata_valid_lat_inc_int, seq_rdata_valid_lat_dec => seq_rdata_valid_lat_dec_int, seq_poa_lat_dec_1x => seq_poa_lat_dec_1x_int, seq_poa_lat_inc_1x => seq_poa_lat_inc_1x_int, rdata_valid => rdata_valid, rdata => rdata, doing_rd => seq_rdv_doing_rd_int, rd_lat => seq_ctl_rlat_int, wd_lat => seq_ctl_wlat_int, dgrb_wdp_ovride => dgrb_wdp_override, seq_oct_value => seq_oct_value, seq_mmc_start => seq_mmc_start, mmc_seq_done => mmc_seq_done, mmc_seq_value => mmc_seq_value, ctl_cal_byte_lanes => ctl_cal_byte_lanes_r, odt_settings => c_odt_settings, dgrb_ctrl_ac_nt_good => dgrb_ctrl_ac_nt_good, dgrb_mmi => dgrb_mmi ); -- dgwb : entity nios_altmemddr_0_phy_alt_mem_phy_dgwb generic map( -- Physical IF width definitions MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, MEM_IF_DQ_PER_DQS => MEM_IF_DQ_PER_DQS, MEM_IF_DWIDTH => MEM_IF_DWIDTH, MEM_IF_DM_WIDTH => MEM_IF_DM_WIDTH, DWIDTH_RATIO => DWIDTH_RATIO, MEM_IF_ADDR_WIDTH => MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH => MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, ADV_LAT_WIDTH => ADV_LAT_WIDTH, MEM_IF_CAL_BANK => c_mem_if_cal_bank, MEM_IF_CAL_BASE_COL => c_mem_if_cal_base_col ) port map( clk => clk, rst_n => rst_n, parameterisation_rec => parameterisation_rec, dgwb_ctrl => dgwb_ctrl, ctrl_dgwb => ctrl_broadcast, dgwb_iram => dgwb_iram, iram_push_done => iram_push_done, dgwb_ac_access_req => dgwb_ac_access_req, dgwb_ac_access_gnt => dgb_ac_access_gnt_r, dgwb_dqs_burst => seq_wdp_dqs_burst, dgwb_wdata_valid => seq_wdp_wdata_valid, dgwb_wdata => seq_wdp_wdata, dgwb_dm => seq_wdp_dm, dgwb_dqs => seq_wdp_dqs, dgwb_wdp_ovride => dgwb_wdp_override, dgwb_ac => dgwb_ac, bypassed_rdata => rdata(DWIDTH_RATIO * MEM_IF_DWIDTH -1 downto (DWIDTH_RATIO-1) * MEM_IF_DWIDTH), odt_settings => c_odt_settings ); -- ctrl: entity nios_altmemddr_0_phy_alt_mem_phy_ctrl generic map( FAMILYGROUP_ID => FAMILYGROUP_ID, MEM_IF_DLL_LOCK_COUNT => 1280/(DWIDTH_RATIO/2), MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, DWIDTH_RATIO => DWIDTH_RATIO, IRAM_ADDRESSING => c_iram_addresses, MEM_IF_CLK_PS => MEM_IF_CLK_PS, TRACKING_INTERVAL_IN_MS => c_tracking_interval_in_ms, GENERATE_ADDITIONAL_DBG_RTL => GENERATE_ADDITIONAL_DBG_RTL, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, SIM_TIME_REDUCTIONS => SIM_TIME_REDUCTIONS, ACK_SEVERITY => warning ) port map( clk => clk, rst_n => rst_n, ctl_init_success => ctl_init_success_int, ctl_init_fail => ctl_init_fail_int, ctl_recalibrate_req => ctl_recalibrate_req, iram_status => iram_status, iram_push_done => iram_push_done, ctrl_op_rec => ctrl_broadcast, admin_ctrl => admin_ctrl, dgrb_ctrl => dgrb_ctrl, dgwb_ctrl => dgwb_ctrl, ctrl_iram_push => ctrl_iram_push, ctl_cal_byte_lanes => ctl_cal_byte_lanes_r, dgrb_ctrl_ac_nt_good => dgrb_ctrl_ac_nt_good, int_ac_nt => int_ac_nt, mmi_ctrl => mmi_ctrl, ctrl_mmi => ctrl_mmi ); -- ------------------------------------------------------------------ -- generate legacy rsu signals -- ------------------------------------------------------------------ process(rst_n, clk) begin if rst_n = '0' then rsu_multiple_valid_latencies_err <= '0'; rsu_grt_one_dvw_err <= '0'; rsu_no_dvw_err <= '0'; rsu_codvw_phase <= (others => '0'); rsu_codvw_size <= (others => '0'); rsu_read_latency <= (others => '0'); elsif rising_edge(clk) then if dgrb_ctrl.command_err = '1' then case to_integer(unsigned(dgrb_ctrl.command_result)) is when C_ERR_RESYNC_NO_VALID_PHASES => rsu_no_dvw_err <= '1'; when C_ERR_RESYNC_MULTIPLE_EQUAL_WINDOWS => rsu_multiple_valid_latencies_err <= '1'; when others => null; end case; end if; rsu_codvw_phase(dgrb_mmi.cal_codvw_phase'range) <= dgrb_mmi.cal_codvw_phase; rsu_codvw_size(dgrb_mmi.cal_codvw_size'range) <= dgrb_mmi.cal_codvw_size; rsu_read_latency <= seq_ctl_rlat_int; rsu_grt_one_dvw_err <= dgrb_mmi.codvw_grt_one_dvw; -- Reset the flag on a recal request : if ( ctl_recalibrate_req = '1') then rsu_grt_one_dvw_err <= '0'; rsu_no_dvw_err <= '0'; rsu_multiple_valid_latencies_err <= '0'; end if; end if; end process; -- --------------------------------------------------------------- -- top level multiplexing and ctrl functionality -- --------------------------------------------------------------- oct_delay_block : block constant DEFAULT_OCT_DELAY_CONST : integer := - 2; -- higher increases delay by one mem_clk cycle, lower decreases delay by one mem_clk cycle. constant DEFAULT_OCT_EXTEND : natural := 3; -- Returns additive latency extracted from mr0 as a natural number. function decode_cl(mr0 : in std_logic_vector(12 downto 0)) return natural is variable v_cl : natural range 0 to 2**4 - 1; begin if MEM_IF_MEMTYPE = "DDR" or MEM_IF_MEMTYPE = "DDR2" then v_cl := to_integer(unsigned(mr0(6 downto 4))); elsif MEM_IF_MEMTYPE = "DDR3" then v_cl := to_integer(unsigned(mr0(6 downto 4))) + 4; else report "Unsupported memory type " & MEM_IF_MEMTYPE severity failure; end if; return v_cl; end function; -- Returns additive latency extracted from mr1 as a natural number. function decode_al(mr1 : in std_logic_vector(12 downto 0)) return natural is variable v_al : natural range 0 to 2**4 - 1; begin if MEM_IF_MEMTYPE = "DDR" or MEM_IF_MEMTYPE = "DDR2" then v_al := to_integer(unsigned(mr1(5 downto 3))); elsif MEM_IF_MEMTYPE = "DDR3" then v_al := to_integer(unsigned(mr1(4 downto 3))); else report "Unsupported memory type " & MEM_IF_MEMTYPE severity failure; end if; return v_al; end function; -- Returns cas write latency extracted from mr2 as a natural number. function decode_cwl( mr0 : in std_logic_vector(12 downto 0); mr2 : in std_logic_vector(12 downto 0) ) return natural is variable v_cwl : natural range 0 to 2**4 - 1; begin if MEM_IF_MEMTYPE = "DDR" then v_cwl := 1; elsif MEM_IF_MEMTYPE = "DDR2" then v_cwl := decode_cl(mr0) - 1; elsif MEM_IF_MEMTYPE = "DDR3" then v_cwl := to_integer(unsigned(mr2(4 downto 3))) + 5; else report "Unsupported memory type " & MEM_IF_MEMTYPE severity failure; end if; return v_cwl; end function; begin -- Process to work out timings for OCT extension and delay with respect to doing_read. NOTE that it is calculated on the basis of CL, CWL, ctl_wlat oct_delay_proc : process(clk, rst_n) variable v_cl : natural range 0 to 2**4 - 1; -- Total read latency. variable v_cwl : natural range 0 to 2**4 - 1; -- Total write latency variable oct_delay : natural range 0 to 2**OCT_LAT_WIDTH - 1; variable v_wlat : natural range 0 to 2**ADV_LAT_WIDTH - 1; begin if rst_n = '0' then seq_oct_oct_delay <= (others => '0'); seq_oct_oct_extend <= std_logic_vector(to_unsigned(DEFAULT_OCT_EXTEND, OCT_LAT_WIDTH)); elsif rising_edge(clk) then if ctl_init_success_int = '1' then seq_oct_oct_extend <= std_logic_vector(to_unsigned(DEFAULT_OCT_EXTEND, OCT_LAT_WIDTH)); v_cl := decode_cl(admin_regs_status_rec.mr0); v_cwl := decode_cwl(admin_regs_status_rec.mr0, admin_regs_status_rec.mr2); if SIM_TIME_REDUCTIONS = 1 then v_wlat := c_preset_cal_setup.wlat; else v_wlat := to_integer(unsigned(seq_ctl_wlat_int)); end if; oct_delay := DWIDTH_RATIO * v_wlat / 2 + (v_cl - v_cwl) + DEFAULT_OCT_DELAY_CONST; if not (FAMILYGROUP_ID = 2) then -- CIII doesn't support OCT seq_oct_oct_delay <= std_logic_vector(to_unsigned(oct_delay, OCT_LAT_WIDTH)); end if; else seq_oct_oct_delay <= (others => '0'); seq_oct_oct_extend <= std_logic_vector(to_unsigned(DEFAULT_OCT_EXTEND, OCT_LAT_WIDTH)); end if; end if; end process; end block; -- control postamble protection override signal (seq_poa_protection_override_1x) process(clk, rst_n) variable v_warning_given : std_logic; begin if rst_n = '0' then seq_poa_protection_override_1x <= '0'; v_warning_given := '0'; elsif rising_edge(clk) then case ctrl_broadcast.command is when cmd_rdv | cmd_rrp_sweep | cmd_rrp_seek | cmd_prep_adv_rd_lat | cmd_prep_adv_wr_lat => seq_poa_protection_override_1x <= '1'; when others => seq_poa_protection_override_1x <= '0'; end case; end if; end process; ac_mux : block constant c_mem_clk_disable_pipe_len : natural := 3; signal seen_phy_init_complete : std_logic; signal mem_clk_disable : std_logic_vector(c_mem_clk_disable_pipe_len - 1 downto 0); signal ctrl_broadcast_r : t_ctrl_command; begin -- register ctrl_broadcast locally -- #for speed and to reduce fan out process (clk, rst_n) begin if rst_n = '0' then ctrl_broadcast_r <= defaults; elsif rising_edge(clk) then ctrl_broadcast_r <= ctrl_broadcast; end if; end process; -- multiplex mem interface control between admin, dgrb and dgwb process(clk, rst_n) variable v_seq_ac_mux : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); begin if rst_n = '0' then seq_rdv_doing_rd <= (others => '0'); seq_mem_clk_disable <= '1'; mem_clk_disable <= (others => '1'); seen_phy_init_complete <= '0'; seq_ac_addr <= (others => '0'); seq_ac_ba <= (others => '0'); seq_ac_cas_n <= (others => '1'); seq_ac_ras_n <= (others => '1'); seq_ac_we_n <= (others => '1'); seq_ac_cke <= (others => '0'); seq_ac_cs_n <= (others => '1'); seq_ac_odt <= (others => '0'); seq_ac_rst_n <= (others => '0'); elsif rising_edge(clk) then seq_rdv_doing_rd <= seq_rdv_doing_rd_int; seq_mem_clk_disable <= mem_clk_disable(c_mem_clk_disable_pipe_len-1); mem_clk_disable(c_mem_clk_disable_pipe_len-1 downto 1) <= mem_clk_disable(c_mem_clk_disable_pipe_len-2 downto 0); if dgwb_ac_access_req = '1' and dgb_ac_access_gnt = '1' then v_seq_ac_mux := dgwb_ac; elsif dgrb_ac_access_req = '1' and dgb_ac_access_gnt = '1' then v_seq_ac_mux := dgrb_ac; else v_seq_ac_mux := admin_ac; end if; if ctl_recalibrate_req = '1' then mem_clk_disable(0) <= '1'; seen_phy_init_complete <= '0'; elsif ctrl_broadcast_r.command = cmd_init_dram and ctrl_broadcast_r.command_req = '1' then mem_clk_disable(0) <= '0'; seen_phy_init_complete <= '1'; end if; if seen_phy_init_complete /= '1' then -- if not initialised the phy hold in reset seq_ac_addr <= (others => '0'); seq_ac_ba <= (others => '0'); seq_ac_cas_n <= (others => '1'); seq_ac_ras_n <= (others => '1'); seq_ac_we_n <= (others => '1'); seq_ac_cke <= (others => '0'); seq_ac_cs_n <= (others => '1'); seq_ac_odt <= (others => '0'); seq_ac_rst_n <= (others => '0'); else if enable_odt = '0' then v_seq_ac_mux := mask(c_seq_addr_cmd_config, v_seq_ac_mux, odt, '0'); end if; unpack_addr_cmd_vector ( c_seq_addr_cmd_config, v_seq_ac_mux, seq_ac_addr, seq_ac_ba, seq_ac_cas_n, seq_ac_ras_n, seq_ac_we_n, seq_ac_cke, seq_ac_cs_n, seq_ac_odt, seq_ac_rst_n); end if; end if; end process; end block; -- register dgb_ac_access_gnt signal to ensure ODT set correctly in dgrb and dgwb prior to a read or write operation process(clk, rst_n) begin if rst_n = '0' then dgb_ac_access_gnt_r <= '0'; elsif rising_edge(clk) then dgb_ac_access_gnt_r <= dgb_ac_access_gnt; end if; end process; -- multiplex access request from dgrb/dgwb to admin block with checking for multiple accesses process (dgrb_ac_access_req, dgwb_ac_access_req) begin dgb_ac_access_req <= '0'; if dgwb_ac_access_req = '1' and dgrb_ac_access_req = '1' then report seq_report_prefix & "multiple accesses attempted from DGRB and DGWB to admin block via signals dg.b_ac_access_reg " severity failure; elsif dgwb_ac_access_req = '1' or dgrb_ac_access_req = '1' then dgb_ac_access_req <= '1'; end if; end process; rdv_poa_blk : block -- signals to control static setup of ctl_rdata_valid signal for instant on mode: constant c_static_rdv_offset : integer := c_preset_cal_setup.rdv_lat; -- required change in RDV latency (should always be > 0) signal static_rdv_offset : natural range 0 to abs(c_static_rdv_offset); -- signal to count # RDV shifts constant c_dly_rdv_set : natural := 7; -- delay between RDV shifts signal dly_rdv_inc_dec : std_logic; -- 1 = inc, 0 = dec signal rdv_set_delay : natural range 0 to c_dly_rdv_set; -- signal to delay RDV shifts -- same for poa protection constant c_static_poa_offset : integer := c_preset_cal_setup.poa_lat; signal static_poa_offset : natural range 0 to abs(c_static_poa_offset); constant c_dly_poa_set : natural := 7; signal dly_poa_inc_dec : std_logic; signal poa_set_delay : natural range 0 to c_dly_poa_set; -- function to abstract increment or decrement checking function set_inc_dec(offset : integer) return std_logic is begin if offset < 0 then return '1'; else return '0'; end if; end function; begin -- register postamble and rdata_valid latencies -- note: postamble unused for Cyclone-III -- RDV process(clk, rst_n) begin if rst_n = '0' then if SIM_TIME_REDUCTIONS = 1 then -- setup offset calc static_rdv_offset <= abs(c_static_rdv_offset); dly_rdv_inc_dec <= set_inc_dec(c_static_rdv_offset); rdv_set_delay <= c_dly_rdv_set; end if; seq_rdata_valid_lat_dec <= '0'; seq_rdata_valid_lat_inc <= '0'; elsif rising_edge(clk) then if SIM_TIME_REDUCTIONS = 1 then -- perform static setup of RDV signal if ctl_recalibrate_req = '1' then -- second reset condition -- setup offset calc static_rdv_offset <= abs(c_static_rdv_offset); dly_rdv_inc_dec <= set_inc_dec(c_static_rdv_offset); rdv_set_delay <= c_dly_rdv_set; else if static_rdv_offset /= 0 and rdv_set_delay = 0 then seq_rdata_valid_lat_dec <= not dly_rdv_inc_dec; seq_rdata_valid_lat_inc <= dly_rdv_inc_dec; static_rdv_offset <= static_rdv_offset - 1; rdv_set_delay <= c_dly_rdv_set; else -- once conplete pass through internal signals seq_rdata_valid_lat_dec <= seq_rdata_valid_lat_dec_int; seq_rdata_valid_lat_inc <= seq_rdata_valid_lat_inc_int; end if; if rdv_set_delay /= 0 then rdv_set_delay <= rdv_set_delay - 1; end if; end if; else -- no static setup seq_rdata_valid_lat_dec <= seq_rdata_valid_lat_dec_int; seq_rdata_valid_lat_inc <= seq_rdata_valid_lat_inc_int; end if; end if; end process; -- count number of RDV adjustments for debug process(clk, rst_n) begin if rst_n = '0' then rdv_adjustments <= 0; elsif rising_edge(clk) then if seq_rdata_valid_lat_dec_int = '1' then rdv_adjustments <= rdv_adjustments + 1; end if; if seq_rdata_valid_lat_inc_int = '1' then if rdv_adjustments = 0 then report seq_report_prefix & " read data valid adjustment wrap around detected - more increments than decrements" severity failure; else rdv_adjustments <= rdv_adjustments - 1; end if; end if; end if; end process; -- POA protection process(clk, rst_n) begin if rst_n = '0' then if SIM_TIME_REDUCTIONS = 1 then -- setup offset calc static_poa_offset <= abs(c_static_poa_offset); dly_poa_inc_dec <= set_inc_dec(c_static_poa_offset); poa_set_delay <= c_dly_poa_set; end if; seq_poa_lat_dec_1x <= (others => '0'); seq_poa_lat_inc_1x <= (others => '0'); elsif rising_edge(clk) then if SIM_TIME_REDUCTIONS = 1 then -- static setup if ctl_recalibrate_req = '1' then -- second reset condition -- setup offset calc static_poa_offset <= abs(c_static_poa_offset); dly_poa_inc_dec <= set_inc_dec(c_static_poa_offset); poa_set_delay <= c_dly_poa_set; else if static_poa_offset /= 0 and poa_set_delay = 0 then seq_poa_lat_dec_1x <= (others => not(dly_poa_inc_dec)); seq_poa_lat_inc_1x <= (others => dly_poa_inc_dec); static_poa_offset <= static_poa_offset - 1; poa_set_delay <= c_dly_poa_set; else seq_poa_lat_inc_1x <= seq_poa_lat_inc_1x_int; seq_poa_lat_dec_1x <= seq_poa_lat_dec_1x_int; end if; if poa_set_delay /= 0 then poa_set_delay <= poa_set_delay - 1; end if; end if; else -- no static setup seq_poa_lat_inc_1x <= seq_poa_lat_inc_1x_int; seq_poa_lat_dec_1x <= seq_poa_lat_dec_1x_int; end if; end if; end process; -- count POA protection adjustments for debug process(clk, rst_n) begin if rst_n = '0' then poa_adjustments <= 0; elsif rising_edge(clk) then if seq_poa_lat_dec_1x_int(0) = '1' then poa_adjustments <= poa_adjustments + 1; end if; if seq_poa_lat_inc_1x_int(0) = '1' then if poa_adjustments = 0 then report seq_report_prefix & " postamble adjustment wrap around detected - more increments than decrements" severity failure; else poa_adjustments <= poa_adjustments - 1; end if; end if; end if; end process; end block; -- register output fail/success signals - avoiding optimisation out process(clk, rst_n) begin if rst_n = '0' then ctl_init_fail <= '0'; ctl_init_success <= '0'; elsif rising_edge(clk) then ctl_init_fail <= ctl_init_fail_int; ctl_init_success <= ctl_init_success_int; end if; end process; -- ctl_cal_byte_lanes register -- seq_rdp_reset_req_n - when ctl_recalibrate_req issued process(clk,rst_n) begin if rst_n = '0' then seq_rdp_reset_req_n <= '0'; ctl_cal_byte_lanes_r <= (others => '1'); elsif rising_edge(clk) then ctl_cal_byte_lanes_r <= not ctl_cal_byte_lanes; if ctl_recalibrate_req = '1' then seq_rdp_reset_req_n <= '0'; else if ctrl_broadcast.command = cmd_rrp_sweep or SIM_TIME_REDUCTIONS = 1 then seq_rdp_reset_req_n <= '1'; end if; end if; end if; end process; -- register 1t addr/cmd and odt latency outputs process(clk, rst_n) begin if rst_n = '0' then seq_ac_add_1t_ac_lat_internal <= '0'; seq_ac_add_1t_odt_lat_internal <= '0'; seq_ac_add_2t <= '0'; elsif rising_edge(clk) then if SIM_TIME_REDUCTIONS = 1 then seq_ac_add_1t_ac_lat_internal <= c_preset_cal_setup.ac_1t; seq_ac_add_1t_odt_lat_internal <= c_preset_cal_setup.ac_1t; else seq_ac_add_1t_ac_lat_internal <= int_ac_nt(0); seq_ac_add_1t_odt_lat_internal <= int_ac_nt(0); end if; seq_ac_add_2t <= '0'; end if; end process; -- override write datapath signal generation process(dgwb_wdp_override, dgrb_wdp_override, ctl_init_success_int, ctl_init_fail_int) begin if ctl_init_success_int = '0' and ctl_init_fail_int = '0' then -- if calibrating seq_wdp_ovride <= dgwb_wdp_override or dgrb_wdp_override; else seq_wdp_ovride <= '0'; end if; end process; -- output write/read latency (override with preset values when sim time reductions equals 1 seq_ctl_wlat <= std_logic_vector(to_unsigned(c_preset_cal_setup.wlat,ADV_LAT_WIDTH)) when SIM_TIME_REDUCTIONS = 1 else seq_ctl_wlat_int; seq_ctl_rlat <= std_logic_vector(to_unsigned(c_preset_cal_setup.rlat,ADV_LAT_WIDTH)) when SIM_TIME_REDUCTIONS = 1 else seq_ctl_rlat_int; process (clk, rst_n) begin if rst_n = '0' then seq_pll_phs_shift_busy_r <= '0'; seq_pll_phs_shift_busy_ccd <= '0'; elsif rising_edge(clk) then seq_pll_phs_shift_busy_r <= seq_pll_phs_shift_busy; seq_pll_phs_shift_busy_ccd <= seq_pll_phs_shift_busy_r; end if; end process; pll_ctrl: block -- static resync setup variables for sim time reductions signal static_rst_offset : natural range 0 to 2*PLL_STEPS_PER_CYCLE; signal phs_shft_busy_1r : std_logic; signal pll_set_delay : natural range 100 downto 0; -- wait 100 clock cycles for clk to be stable before setting resync phase -- pll signal generation signal mmi_pll_active : boolean; signal seq_pll_phs_shift_busy_ccd_1t : std_logic; begin -- multiplex ppl interface between dgrb and mmi blocks -- plus static setup of rsc phase to a known 'good' condition process(clk,rst_n) begin if rst_n = '0' then seq_pll_inc_dec_n <= '0'; seq_pll_start_reconfig <= '0'; seq_pll_select <= (others => '0'); dgrb_phs_shft_busy <= '0'; -- static resync setup variables for sim time reductions if SIM_TIME_REDUCTIONS = 1 then static_rst_offset <= c_preset_codvw_phase; else static_rst_offset <= 0; end if; phs_shft_busy_1r <= '0'; pll_set_delay <= 100; elsif rising_edge(clk) then dgrb_phs_shft_busy <= '0'; if static_rst_offset /= 0 and -- not finished decrementing pll_set_delay = 0 and -- initial reset period over SIM_TIME_REDUCTIONS = 1 then -- in reduce sim time mode (optimse logic away when not in this mode) seq_pll_inc_dec_n <= '1'; seq_pll_start_reconfig <= '1'; seq_pll_select <= pll_resync_clk_index; if seq_pll_phs_shift_busy_ccd = '1' then -- no metastability hardening needed in simulation -- PLL phase shift started - so stop requesting a shift seq_pll_start_reconfig <= '0'; end if; if seq_pll_phs_shift_busy_ccd = '0' and phs_shft_busy_1r = '1' then -- PLL phase shift finished - so proceed to flush the datapath static_rst_offset <= static_rst_offset - 1; seq_pll_start_reconfig <= '0'; end if; phs_shft_busy_1r <= seq_pll_phs_shift_busy_ccd; else if ctrl_iram_push.active_block = ret_dgrb then seq_pll_inc_dec_n <= dgrb_pll_inc_dec_n; seq_pll_start_reconfig <= dgrb_pll_start_reconfig; seq_pll_select <= dgrb_pll_select; dgrb_phs_shft_busy <= seq_pll_phs_shift_busy_ccd; else seq_pll_inc_dec_n <= mmi_pll_inc_dec_n; seq_pll_start_reconfig <= mmi_pll_start_reconfig; seq_pll_select <= mmi_pll_select; end if; end if; if pll_set_delay /= 0 then pll_set_delay <= pll_set_delay - 1; end if; if ctl_recalibrate_req = '1' then pll_set_delay <= 100; end if; end if; end process; -- generate mmi pll signals process (clk, rst_n) begin if rst_n = '0' then pll_mmi.pll_busy <= '0'; pll_mmi.err <= (others => '0'); mmi_pll_inc_dec_n <= '0'; mmi_pll_start_reconfig <= '0'; mmi_pll_select <= (others => '0'); mmi_pll_active <= false; seq_pll_phs_shift_busy_ccd_1t <= '0'; elsif rising_edge(clk) then if mmi_pll_active = true then pll_mmi.pll_busy <= '1'; else pll_mmi.pll_busy <= mmi_pll.pll_phs_shft_up_wc or mmi_pll.pll_phs_shft_dn_wc; end if; if pll_mmi.err = "00" and dgrb_pll_start_reconfig = '1' then pll_mmi.err <= "01"; elsif pll_mmi.err = "00" and mmi_pll_active = true then pll_mmi.err <= "10"; elsif pll_mmi.err = "00" and dgrb_pll_start_reconfig = '1' and mmi_pll_active = true then pll_mmi.err <= "11"; end if; if mmi_pll.pll_phs_shft_up_wc = '1' and mmi_pll_active = false then mmi_pll_inc_dec_n <= '1'; mmi_pll_select <= std_logic_vector(to_unsigned(mmi_pll.pll_phs_shft_phase_sel,mmi_pll_select'length)); mmi_pll_active <= true; elsif mmi_pll.pll_phs_shft_dn_wc = '1' and mmi_pll_active = false then mmi_pll_inc_dec_n <= '0'; mmi_pll_select <= std_logic_vector(to_unsigned(mmi_pll.pll_phs_shft_phase_sel,mmi_pll_select'length)); mmi_pll_active <= true; elsif seq_pll_phs_shift_busy_ccd_1t = '1' and seq_pll_phs_shift_busy_ccd = '0' then mmi_pll_start_reconfig <= '0'; mmi_pll_active <= false; elsif mmi_pll_active = true and mmi_pll_start_reconfig = '0' and seq_pll_phs_shift_busy_ccd = '0' then mmi_pll_start_reconfig <= '1'; elsif seq_pll_phs_shift_busy_ccd_1t = '0' and seq_pll_phs_shift_busy_ccd = '1' then mmi_pll_start_reconfig <= '0'; end if; seq_pll_phs_shift_busy_ccd_1t <= seq_pll_phs_shift_busy_ccd; end if; end process; end block; -- pll_ctrl --synopsys synthesis_off reporting : block function pass_or_fail_report( cal_success : in std_logic; cal_fail : in std_logic ) return string is begin if cal_success = '1' and cal_fail = '1' then return "unknown state cal_fail and cal_success both high"; end if; if cal_success = '1' then return "PASSED"; end if; if cal_fail = '1' then return "FAILED"; end if; return "calibration report run whilst sequencer is still calibrating"; end function; function is_stage_disabled ( stage_name : in string; stage_dis : in std_logic ) return string is begin if stage_dis = '0' then return ""; else return stage_name & " stage is disabled" & LF; end if; end function; function disabled_stages ( capabilities : in std_logic_vector ) return string is begin return is_stage_disabled("all calibration", c_capabilities(c_hl_css_reg_cal_dis_bit)) & is_stage_disabled("initialisation", c_capabilities(c_hl_css_reg_phy_initialise_dis_bit)) & is_stage_disabled("DRAM initialisation", c_capabilities(c_hl_css_reg_init_dram_dis_bit)) & is_stage_disabled("iram header write", c_capabilities(c_hl_css_reg_write_ihi_dis_bit)) & is_stage_disabled("burst training pattern write", c_capabilities(c_hl_css_reg_write_btp_dis_bit)) & is_stage_disabled("more training pattern (MTP) write", c_capabilities(c_hl_css_reg_write_mtp_dis_bit)) & is_stage_disabled("check MTP pattern alignment calculation", c_capabilities(c_hl_css_reg_read_mtp_dis_bit)) & is_stage_disabled("read resynch phase reset stage", c_capabilities(c_hl_css_reg_rrp_reset_dis_bit)) & is_stage_disabled("read resynch phase sweep stage", c_capabilities(c_hl_css_reg_rrp_sweep_dis_bit)) & is_stage_disabled("read resynch phase seek stage (set phase)", c_capabilities(c_hl_css_reg_rrp_seek_dis_bit)) & is_stage_disabled("read data valid window setup", c_capabilities(c_hl_css_reg_rdv_dis_bit)) & is_stage_disabled("postamble calibration", c_capabilities(c_hl_css_reg_poa_dis_bit)) & is_stage_disabled("write latency timing calc", c_capabilities(c_hl_css_reg_was_dis_bit)) & is_stage_disabled("advertise read latency", c_capabilities(c_hl_css_reg_adv_rd_lat_dis_bit)) & is_stage_disabled("advertise write latency", c_capabilities(c_hl_css_reg_adv_wr_lat_dis_bit)) & is_stage_disabled("write customer mode register settings", c_capabilities(c_hl_css_reg_prep_customer_mr_setup_dis_bit)) & is_stage_disabled("tracking", c_capabilities(c_hl_css_reg_tracking_dis_bit)); end function; function ac_nt_report( ac_nt : in std_logic_vector; dgrb_ctrl_ac_nt_good : in std_logic; preset_cal_setup : in t_preset_cal) return string is variable v_ac_nt : std_logic_vector(0 downto 0); begin if SIM_TIME_REDUCTIONS = 1 then v_ac_nt(0) := preset_cal_setup.ac_1t; if v_ac_nt(0) = '1' then return "-- statically set address and command 1T delay: add 1T delay" & LF; else return "-- statically set address and command 1T delay: no 1T delay" & LF; end if; else v_ac_nt(0) := ac_nt(0); if dgrb_ctrl_ac_nt_good = '1' then if v_ac_nt(0) = '1' then return "-- chosen address and command 1T delay: add 1T delay" & LF; else return "-- chosen address and command 1T delay: no 1T delay" & LF; end if; else return "-- no valid address and command phase chosen (calibration FAILED)" & LF; end if; end if; end function; function read_resync_report ( codvw_phase : in std_logic_vector; codvw_size : in std_logic_vector; ctl_rlat : in std_logic_vector; ctl_wlat : in std_logic_vector; preset_cal_setup : in t_preset_cal) return string is begin if SIM_TIME_REDUCTIONS = 1 then return "-- read resynch phase static setup (no calibration run) report:" & LF & " -- statically set centre of data valid window phase : " & natural'image(preset_cal_setup.codvw_phase) & LF & " -- statically set centre of data valid window size : " & natural'image(preset_cal_setup.codvw_size) & LF & " -- statically set read latency (ctl_rlat) : " & natural'image(preset_cal_setup.rlat) & LF & " -- statically set write latency (ctl_wlat) : " & natural'image(preset_cal_setup.wlat) & LF & " -- note: this mode only works for simulation and sets resync phase" & LF & " to a known good operating condition for no test bench" & LF & " delays on mem_dq signal" & LF; else return "-- PHY read latency (ctl_rlat) is : " & natural'image(to_integer(unsigned(ctl_rlat))) & LF & "-- address/command to PHY write latency (ctl_wlat) is : " & natural'image(to_integer(unsigned(ctl_wlat))) & LF & "-- read resynch phase calibration report:" & LF & " -- calibrated centre of data valid window phase : " & natural'image(to_integer(unsigned(codvw_phase))) & LF & " -- calibrated centre of data valid window size : " & natural'image(to_integer(unsigned(codvw_size))) & LF; end if; end function; function poa_rdv_adjust_report( poa_adjust : in natural; rdv_adjust : in natural; preset_cal_setup : in t_preset_cal) return string is begin if SIM_TIME_REDUCTIONS = 1 then return "Statically set poa and rdv (adjustments from reset value):" & LF & "poa 'dec' adjustments = " & natural'image(preset_cal_setup.poa_lat) & LF & "rdv 'dec' adjustments = " & natural'image(preset_cal_setup.rdv_lat) & LF; else return "poa 'dec' adjustments = " & natural'image(poa_adjust) & LF & "rdv 'dec' adjustments = " & natural'image(rdv_adjust) & LF; end if; end function; function calibration_report ( capabilities : in std_logic_vector; cal_success : in std_logic; cal_fail : in std_logic; ctl_rlat : in std_logic_vector; ctl_wlat : in std_logic_vector; codvw_phase : in std_logic_vector; codvw_size : in std_logic_vector; ac_nt : in std_logic_vector; dgrb_ctrl_ac_nt_good : in std_logic; preset_cal_setup : in t_preset_cal; poa_adjust : in natural; rdv_adjust : in natural) return string is begin return seq_report_prefix & " report..." & LF & "-----------------------------------------------------------------------" & LF & "-- **** ALTMEMPHY CALIBRATION has completed ****" & LF & "-- Status:" & LF & "-- calibration has : " & pass_or_fail_report(cal_success, cal_fail) & LF & read_resync_report(codvw_phase, codvw_size, ctl_rlat, ctl_wlat, preset_cal_setup) & ac_nt_report(ac_nt, dgrb_ctrl_ac_nt_good, preset_cal_setup) & poa_rdv_adjust_report(poa_adjust, rdv_adjust, preset_cal_setup) & disabled_stages(capabilities) & "-----------------------------------------------------------------------"; end function; begin -- ------------------------------------------------------- -- calibration result reporting -- ------------------------------------------------------- process(rst_n, clk) variable v_reports_written : std_logic; variable v_cal_request_r : std_logic; variable v_rewrite_report : std_logic; begin if rst_n = '0' then v_reports_written := '0'; v_cal_request_r := '0'; v_rewrite_report := '0'; elsif Rising_Edge(clk) then if v_reports_written = '0' then if ctl_init_success_int = '1' or ctl_init_fail_int = '1' then v_reports_written := '1'; report calibration_report(c_capabilities, ctl_init_success_int, ctl_init_fail_int, seq_ctl_rlat_int, seq_ctl_wlat_int, dgrb_mmi.cal_codvw_phase, dgrb_mmi.cal_codvw_size, int_ac_nt, dgrb_ctrl_ac_nt_good, c_preset_cal_setup, poa_adjustments, rdv_adjustments ) severity note; end if; end if; -- if recalibrate request triggered watch for cal success / fail going low and re-trigger report writing if ctl_recalibrate_req = '1' and v_cal_request_r = '0' then v_rewrite_report := '1'; end if; if v_rewrite_report = '1' and ctl_init_success_int = '0' and ctl_init_fail_int = '0' then v_reports_written := '0'; v_rewrite_report := '0'; end if; v_cal_request_r := ctl_recalibrate_req; end if; end process; -- ------------------------------------------------------- -- capabilities vector reporting and coarse PHY setup sanity checks -- ------------------------------------------------------- process(rst_n, clk) variable reports_written : std_logic; begin if rst_n = '0' then reports_written := '0'; elsif Rising_Edge(clk) then if reports_written = '0' then reports_written := '1'; if MEM_IF_MEMTYPE="DDR" or MEM_IF_MEMTYPE="DDR2" or MEM_IF_MEMTYPE="DDR3" then if DWIDTH_RATIO = 2 or DWIDTH_RATIO = 4 then report disabled_stages(c_capabilities) severity note; else report seq_report_prefix & "unsupported rate for non-levelling AFI PHY sequencer - only full- or half-rate supported" severity warning; end if; else report seq_report_prefix & "memory type " & MEM_IF_MEMTYPE & " is not supported in non-levelling AFI PHY sequencer" severity failure; end if; end if; end if; end process; end block; -- reporting --synopsys synthesis_on end architecture struct;
-- -- ----------------------------------------------------------------------------- -- Abstract : constants package for the non-levelling AFI PHY sequencer -- The constant package (alt_mem_phy_constants_pkg) contains global -- 'constants' which are fixed thoughout the sequencer and will not -- change (for constants which may change between sequencer -- instances generics are used) -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- package nios_altmemddr_0_phy_alt_mem_phy_constants_pkg is -- ------------------------------- -- Register number definitions -- ------------------------------- constant c_max_mode_reg_index : natural := 13; -- number of MR bits.. -- Top bit of vector (i.e. width -1) used for address decoding : constant c_debug_reg_addr_top : natural := 3; constant c_mmi_access_codeword : std_logic_vector(31 downto 0) := X"00D0_0DEB"; -- to check for legal Avalon interface accesses -- Register addresses. constant c_regofst_cal_status : natural := 0; constant c_regofst_debug_access : natural := 1; constant c_regofst_hl_css : natural := 2; constant c_regofst_mr_register_a : natural := 5; constant c_regofst_mr_register_b : natural := 6; constant c_regofst_codvw_status : natural := 12; constant c_regofst_if_param : natural := 13; constant c_regofst_if_test : natural := 14; -- pll_phs_shft, ac_1t, extra stuff constant c_regofst_test_status : natural := 15; constant c_hl_css_reg_cal_dis_bit : natural := 0; constant c_hl_css_reg_phy_initialise_dis_bit : natural := 1; constant c_hl_css_reg_init_dram_dis_bit : natural := 2; constant c_hl_css_reg_write_ihi_dis_bit : natural := 3; constant c_hl_css_reg_write_btp_dis_bit : natural := 4; constant c_hl_css_reg_write_mtp_dis_bit : natural := 5; constant c_hl_css_reg_read_mtp_dis_bit : natural := 6; constant c_hl_css_reg_rrp_reset_dis_bit : natural := 7; constant c_hl_css_reg_rrp_sweep_dis_bit : natural := 8; constant c_hl_css_reg_rrp_seek_dis_bit : natural := 9; constant c_hl_css_reg_rdv_dis_bit : natural := 10; constant c_hl_css_reg_poa_dis_bit : natural := 11; constant c_hl_css_reg_was_dis_bit : natural := 12; constant c_hl_css_reg_adv_rd_lat_dis_bit : natural := 13; constant c_hl_css_reg_adv_wr_lat_dis_bit : natural := 14; constant c_hl_css_reg_prep_customer_mr_setup_dis_bit : natural := 15; constant c_hl_css_reg_tracking_dis_bit : natural := 16; constant c_hl_ccs_num_stages : natural := 17; -- ----------------------------------------------------- -- Constants for DRAM addresses used during calibration: -- ----------------------------------------------------- -- the mtp training pattern is x30F5 -- 1. write 0011 0000 and 1100 0000 such that one location will contains 0011 0000 -- 2. write in 1111 0101 -- also require locations containing all ones and all zeros -- default choice of calibration burst length (overriden to 8 for reads for DDR3 devices) constant c_cal_burst_len : natural := 4; constant c_cal_ofs_step_size : natural := 8; constant c_cal_ofs_zeros : natural := 0 * c_cal_ofs_step_size; constant c_cal_ofs_ones : natural := 1 * c_cal_ofs_step_size; constant c_cal_ofs_x30_almt_0 : natural := 2 * c_cal_ofs_step_size; constant c_cal_ofs_x30_almt_1 : natural := 3 * c_cal_ofs_step_size; constant c_cal_ofs_xF5 : natural := 5 * c_cal_ofs_step_size; constant c_cal_ofs_wd_lat : natural := 6 * c_cal_ofs_step_size; constant c_cal_data_len : natural := c_cal_ofs_wd_lat + c_cal_ofs_step_size; constant c_cal_ofs_mtp : natural := 6*c_cal_ofs_step_size; constant c_cal_ofs_mtp_len : natural := 4*4; constant c_cal_ofs_01_pairs : natural := 2 * c_cal_burst_len; constant c_cal_ofs_10_pairs : natural := 3 * c_cal_burst_len; constant c_cal_ofs_1100_step : natural := 4 * c_cal_burst_len; constant c_cal_ofs_0011_step : natural := 5 * c_cal_burst_len; -- ----------------------------------------------------- -- Reset values. - These are chosen as default values for one PHY variation -- with DDR2 memory and CAS latency 6, however in each calibration -- mode these values will be set for a given PHY configuration. -- ----------------------------------------------------- constant c_default_rd_lat : natural := 20; constant c_default_wr_lat : natural := 5; -- ----------------------------------------------------- -- Errorcodes -- ----------------------------------------------------- -- implemented constant C_SUCCESS : natural := 0; constant C_ERR_RESYNC_NO_VALID_PHASES : natural := 5; -- No valid data-valid windows found constant C_ERR_RESYNC_MULTIPLE_EQUAL_WINDOWS : natural := 6; -- Multiple equally-sized data valid windows constant C_ERR_RESYNC_NO_INVALID_PHASES : natural := 7; -- No invalid data-valid windows found. Training patterns are designed so that there should always be at least one invalid phase. constant C_ERR_CRITICAL : natural := 15; -- A condition that can't happen just happened. constant C_ERR_READ_MTP_NO_VALID_ALMT : natural := 23; constant C_ERR_READ_MTP_BOTH_ALMT_PASS : natural := 24; constant C_ERR_WD_LAT_DISAGREEMENT : natural := 22; -- MEM_IF_DWIDTH/MEM_IF_DQ_PER_DQS copies of write-latency are written to memory. If all of these are not the same this error is generated. constant C_ERR_MAX_RD_LAT_EXCEEDED : natural := 25; constant C_ERR_MAX_TRK_SHFT_EXCEEDED : natural := 26; -- not implemented yet constant c_err_ac_lat_some_beats_are_different : natural := 1; -- implies DQ_1T setup failure or earlier. constant c_err_could_not_find_read_lat : natural := 2; -- dodgy RDP setup constant c_err_could_not_find_write_lat : natural := 3; -- dodgy WDP setup constant c_err_clock_cycle_iteration_timeout : natural := 8; -- depends on srate calling error -- GENERIC constant c_err_clock_cycle_it_timeout_rdp : natural := 9; constant c_err_clock_cycle_it_timeout_rdv : natural := 10; constant c_err_clock_cycle_it_timeout_poa : natural := 11; constant c_err_pll_ack_timeout : natural := 13; constant c_err_WindowProc_multiple_rsc_windows : natural := 16; constant c_err_WindowProc_window_det_no_ones : natural := 17; constant c_err_WindowProc_window_det_no_zeros : natural := 18; constant c_err_WindowProc_undefined : natural := 19; -- catch all constant c_err_tracked_mmc_offset_overflow : natural := 20; constant c_err_no_mimic_feedback : natural := 21; constant c_err_ctrl_ack_timeout : natural := 32; constant c_err_ctrl_done_timeout : natural := 33; -- ----------------------------------------------------- -- PLL phase locations per device family -- (unused but a limited set is maintained here for reference) -- ----------------------------------------------------- constant c_pll_resync_phs_select_ciii : natural := 5; constant c_pll_mimic_phs_select_ciii : natural := 4; constant c_pll_resync_phs_select_siii : natural := 5; constant c_pll_mimic_phs_select_siii : natural := 7; -- ----------------------------------------------------- -- Maximum sizing constraints -- ----------------------------------------------------- constant C_MAX_NUM_PLL_RSC_PHASES : natural := 32; -- ----------------------------------------------------- -- IO control Params -- ----------------------------------------------------- constant c_set_oct_to_rs : std_logic := '0'; constant c_set_oct_to_rt : std_logic := '1'; constant c_set_odt_rt : std_logic := '1'; constant c_set_odt_off : std_logic := '0'; -- end nios_altmemddr_0_phy_alt_mem_phy_constants_pkg; -- -- ----------------------------------------------------------------------------- -- Abstract : record package for the non-levelling AFI sequencer -- The record package (alt_mem_phy_record_pkg) is used to combine -- command and status signals (into records) to be passed between -- sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- package nios_altmemddr_0_phy_alt_mem_phy_record_pkg is -- set some maximum constraints to bound natural numbers below constant c_max_num_dqs_groups : natural := 24; constant c_max_num_pins : natural := 8; constant c_max_ranks : natural := 16; constant c_max_pll_steps : natural := 80; -- a prefix for all report signals to identify phy and sequencer block -- constant record_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_record_pkg : "; type t_family is ( cyclone3, stratix2, stratix3 ); -- ----------------------------------------------------------------------- -- the following are required for the non-levelling AFI PHY sequencer block interfaces -- ----------------------------------------------------------------------- -- admin mode register settings (from mmi block) type t_admin_ctrl is record mr0 : std_logic_vector(12 downto 0); mr1 : std_logic_vector(12 downto 0); mr2 : std_logic_vector(12 downto 0); mr3 : std_logic_vector(12 downto 0); end record; function defaults return t_admin_ctrl; -- current admin status type t_admin_stat is record mr0 : std_logic_vector(12 downto 0); mr1 : std_logic_vector(12 downto 0); mr2 : std_logic_vector(12 downto 0); mr3 : std_logic_vector(12 downto 0); init_done : std_logic; end record; function defaults return t_admin_stat; -- mmi to iram ctrl signals type t_iram_ctrl is record addr : natural range 0 to 1023; wdata : std_logic_vector(31 downto 0); write : std_logic; read : std_logic; end record; function defaults return t_iram_ctrl; -- broadcast iram status to mmi and dgrb type t_iram_stat is record rdata : std_logic_vector(31 downto 0); done : std_logic; err : std_logic; err_code : std_logic_vector(3 downto 0); init_done : std_logic; out_of_mem : std_logic; contested_access : std_logic; end record; function defaults return t_iram_stat; -- codvw status signals from dgrb to mmi block type t_dgrb_mmi is record cal_codvw_phase : std_logic_vector(7 downto 0); cal_codvw_size : std_logic_vector(7 downto 0); codvw_trk_shift : std_logic_vector(11 downto 0); codvw_grt_one_dvw : std_logic; end record; function defaults return t_dgrb_mmi; -- signal to id which block is active type t_ctrl_active_block is ( idle, admin, dgwb, dgrb, proc, -- unused in non-levelling AFI sequencer setup, -- unused in non-levelling AFI sequencer iram ); function ret_proc return t_ctrl_active_block; function ret_dgrb return t_ctrl_active_block; -- control record for dgwb, dgrb, iram and admin blocks: -- the possible commands type t_ctrl_cmd_id is ( cmd_idle, -- initialisation stages cmd_phy_initialise, cmd_init_dram, cmd_prog_cal_mr, cmd_write_ihi, -- calibration stages cmd_write_btp, cmd_write_mtp, cmd_read_mtp, cmd_rrp_reset, cmd_rrp_sweep, cmd_rrp_seek, cmd_rdv, cmd_poa, cmd_was, -- advertise controller settings and re-configure for customer operation mode. cmd_prep_adv_rd_lat, cmd_prep_adv_wr_lat, cmd_prep_customer_mr_setup, cmd_tr_due ); -- which block should execute each command function curr_active_block ( ctrl_cmd_id : t_ctrl_cmd_id ) return t_ctrl_active_block; -- specify command operands as a record type t_command_op is record current_cs : natural range 0 to c_max_ranks-1; -- which chip select is being calibrated single_bit : std_logic; -- current operation should be single bit mtp_almt : natural range 0 to 1; -- signals mtp alignment to be used for operation end record; function defaults return t_command_op; -- command request record (sent to each block) type t_ctrl_command is record command : t_ctrl_cmd_id; command_op : t_command_op; command_req : std_logic; end record; function defaults return t_ctrl_command; -- a generic status record for each block type t_ctrl_stat is record command_ack : std_logic; command_done : std_logic; command_result : std_logic_vector(7 downto 0 ); command_err : std_logic; end record; function defaults return t_ctrl_stat; -- push interface for dgwb / dgrb blocks (only the dgrb uses this interface at present) type t_iram_push is record iram_done : std_logic; iram_write : std_logic; iram_wordnum : natural range 0 to 511; -- acts as an offset to current location (max = 80 pll steps *2 sweeps and 80 pins) iram_bitnum : natural range 0 to 31; -- for bitwise packing modes iram_pushdata : std_logic_vector(31 downto 0); -- only bit zero used for bitwise packing_mode end record; function defaults return t_iram_push; -- control block "master" state machine type t_master_sm_state is ( s_reset, s_phy_initialise, -- wait for dll lock and init done flag from iram s_init_dram, -- dram initialisation - reset sequence s_prog_cal_mr, -- dram initialisation - programming mode registers (once per chip select) s_write_ihi, -- write header information in iRAM s_cal, -- check if calibration to be executed s_write_btp, -- write burst training pattern s_write_mtp, -- write more training pattern s_read_mtp, -- read training patterns to find correct alignment for 1100 burst -- (this is a special case of s_rrp_seek with no resych phase setting) s_rrp_reset, -- read resync phase setup - reset initial conditions s_rrp_sweep, -- read resync phase setup - sweep phases per chip select s_rrp_seek, -- read resync phase setup - seek correct phase s_rdv, -- read data valid setup s_was, -- write datapath setup (ac to write data timing) s_adv_rd_lat, -- advertise read latency s_adv_wr_lat, -- advertise write latency s_poa, -- calibrate the postamble (dqs based capture only) s_tracking_setup, -- perform tracking (1st pass to setup mimic window) s_prep_customer_mr_setup, -- apply user mode register settings (in admin block) s_tracking, -- perform tracking (subsequent passes in user mode) s_operational, -- calibration successful and in user mode s_non_operational -- calibration unsuccessful and in user mode ); -- record (set in mmi block) to disable calibration states type t_hl_css_reg is record phy_initialise_dis : std_logic; init_dram_dis : std_logic; write_ihi_dis : std_logic; cal_dis : std_logic; write_btp_dis : std_logic; write_mtp_dis : std_logic; read_mtp_dis : std_logic; rrp_reset_dis : std_logic; rrp_sweep_dis : std_logic; rrp_seek_dis : std_logic; rdv_dis : std_logic; poa_dis : std_logic; was_dis : std_logic; adv_rd_lat_dis : std_logic; adv_wr_lat_dis : std_logic; prep_customer_mr_setup_dis : std_logic; tracking_dis : std_logic; end record; function defaults return t_hl_css_reg; -- record (set in ctrl block) to identify when a command has been acknowledged type t_cal_stage_ack_seen is record cal : std_logic; phy_initialise : std_logic; init_dram : std_logic; write_ihi : std_logic; write_btp : std_logic; write_mtp : std_logic; read_mtp : std_logic; rrp_reset : std_logic; rrp_sweep : std_logic; rrp_seek : std_logic; rdv : std_logic; poa : std_logic; was : std_logic; adv_rd_lat : std_logic; adv_wr_lat : std_logic; prep_customer_mr_setup : std_logic; tracking_setup : std_logic; end record; function defaults return t_cal_stage_ack_seen; -- ctrl to mmi block interface (calibration status) type t_ctrl_mmi is record master_state_r : t_master_sm_state; ctrl_calibration_success : std_logic; ctrl_calibration_fail : std_logic; ctrl_current_stage_done : std_logic; ctrl_current_stage : t_ctrl_cmd_id; ctrl_current_active_block : t_ctrl_active_block; ctrl_cal_stage_ack_seen : t_cal_stage_ack_seen; ctrl_err_code : std_logic_vector(7 downto 0); end record; function defaults return t_ctrl_mmi; -- mmi to ctrl block interface (calibration control signals) type t_mmi_ctrl is record hl_css : t_hl_css_reg; calibration_start : std_logic; tracking_period_ms : natural range 0 to 255; tracking_orvd_to_10ms : std_logic; end record; function defaults return t_mmi_ctrl; -- algorithm parameterisation (generated in mmi block) type t_algm_paramaterisation is record num_phases_per_tck_pll : natural range 1 to c_max_pll_steps; nominal_dqs_delay : natural range 0 to 4; pll_360_sweeps : natural range 0 to 15; nominal_poa_phase_lead : natural range 0 to 7; maximum_poa_delay : natural range 0 to 15; odt_enabled : boolean; extend_octrt_by : natural range 0 to 15; delay_octrt_by : natural range 0 to 15; tracking_period_ms : natural range 0 to 255; end record; -- interface between mmi and pll to control phase shifting type t_mmi_pll_reconfig is record pll_phs_shft_phase_sel : natural range 0 to 15; pll_phs_shft_up_wc : std_logic; pll_phs_shft_dn_wc : std_logic; end record; type t_pll_mmi is record pll_busy : std_logic; err : std_logic_vector(1 downto 0); end record; -- specify the iram configuration this is default -- currently always dq_bitwise packing and a write mode of overwrite_ram type t_iram_packing_mode is ( dq_bitwise, dq_wordwise ); type t_iram_write_mode is ( overwrite_ram, or_into_ram, and_into_ram ); type t_ctrl_iram is record packing_mode : t_iram_packing_mode; write_mode : t_iram_write_mode; active_block : t_ctrl_active_block; end record; function defaults return t_ctrl_iram; -- ----------------------------------------------------------------------- -- the following are required for compliance to levelling AFI PHY interface but -- are non-functional for non-levelling AFI PHY sequencer -- ----------------------------------------------------------------------- type t_sc_ctrl_if is record read : std_logic; write : std_logic; dqs_group_sel : std_logic_vector( 4 downto 0); sc_in_group_sel : std_logic_vector( 5 downto 0); wdata : std_logic_vector(45 downto 0); op_type : std_logic_vector( 1 downto 0); end record; function defaults return t_sc_ctrl_if; type t_sc_stat is record rdata : std_logic_vector(45 downto 0); busy : std_logic; error_det : std_logic; err_code : std_logic_vector(1 downto 0); sc_cap : std_logic_vector(7 downto 0); end record; function defaults return t_sc_stat; type t_element_to_reconfigure is ( pp_t9, pp_t10, pp_t1, dqslb_rsc_phs, dqslb_poa_phs_ofst, dqslb_dqs_phs, dqslb_dq_phs_ofst, dqslb_dq_1t, dqslb_dqs_1t, dqslb_rsc_1t, dqslb_div2_phs, dqslb_oct_t9, dqslb_oct_t10, dqslb_poa_t7, dqslb_poa_t11, dqslb_dqs_dly, dqslb_lvlng_byps ); type t_sc_type is ( DQS_LB, DQS_DQ_DM_PINS, DQ_DM_PINS, dqs_dqsn_pins, dq_pin, dqs_pin, dm_pin, dq_pins ); type t_sc_int_ctrl is record group_num : natural range 0 to c_max_num_dqs_groups; group_type : t_sc_type; pin_num : natural range 0 to c_max_num_pins; sc_element : t_element_to_reconfigure; prog_val : std_logic_vector(3 downto 0); ram_set : std_logic; sc_update : std_logic; end record; function defaults return t_sc_int_ctrl; -- ----------------------------------------------------------------------- -- record and functions for instant on mode -- ----------------------------------------------------------------------- -- ranges on the below are not important because this logic is not synthesised type t_preset_cal is record codvw_phase : natural range 0 to 2*c_max_pll_steps;-- rsc phase codvw_size : natural range 0 to c_max_pll_steps; -- rsc size (unused but reported) rlat : natural; -- advertised read latency ctl_rlat (in phy clock cycles) rdv_lat : natural; -- read data valid latency decrements needed (in memory clock cycles) wlat : natural; -- advertised write latency ctl_wlat (in phy clock cycles) ac_1t : std_logic; -- address / command 1t delay setting (HR only) poa_lat : natural; -- poa latency decrements needed (in memory clock cycles) end record; -- the below are hardcoded (do not change) constant c_ddr_default_cl : natural := 3; constant c_ddr2_default_cl : natural := 6; constant c_ddr3_default_cl : natural := 6; constant c_ddr2_default_cwl : natural := 5; constant c_ddr3_default_cwl : natural := 5; constant c_ddr2_default_al : natural := 0; constant c_ddr3_default_al : natural := 0; constant c_ddr_default_rl : integer := c_ddr_default_cl; constant c_ddr2_default_rl : integer := c_ddr2_default_cl + c_ddr2_default_al; constant c_ddr3_default_rl : integer := c_ddr3_default_cl + c_ddr3_default_al; constant c_ddr_default_wl : integer := 1; constant c_ddr2_default_wl : integer := c_ddr2_default_cwl + c_ddr2_default_al; constant c_ddr3_default_wl : integer := c_ddr3_default_cwl + c_ddr3_default_al; function defaults return t_preset_cal; function setup_instant_on (sim_time_red : natural; family_id : natural; memory_type : string; dwidth_ratio : natural; pll_steps : natural; mr0 : std_logic_vector(15 downto 0); mr1 : std_logic_vector(15 downto 0); mr2 : std_logic_vector(15 downto 0)) return t_preset_cal; -- end nios_altmemddr_0_phy_alt_mem_phy_record_pkg; -- package body nios_altmemddr_0_phy_alt_mem_phy_record_pkg IS -- ----------------------------------------------------------------------- -- function implementations for the above declarations -- these are mainly default conditions for records -- ----------------------------------------------------------------------- function defaults return t_admin_ctrl is variable output : t_admin_ctrl; begin output.mr0 := (others => '0'); output.mr1 := (others => '0'); output.mr2 := (others => '0'); output.mr3 := (others => '0'); return output; end function; function defaults return t_admin_stat is variable output : t_admin_stat; begin output.mr0 := (others => '0'); output.mr1 := (others => '0'); output.mr2 := (others => '0'); output.mr3 := (others => '0'); return output; end function; function defaults return t_iram_ctrl is variable output : t_iram_ctrl; begin output.addr := 0; output.wdata := (others => '0'); output.write := '0'; output.read := '0'; return output; end function; function defaults return t_iram_stat is variable output : t_iram_stat; begin output.rdata := (others => '0'); output.done := '0'; output.err := '0'; output.err_code := (others => '0'); output.init_done := '0'; output.out_of_mem := '0'; output.contested_access := '0'; return output; end function; function defaults return t_dgrb_mmi is variable output : t_dgrb_mmi; begin output.cal_codvw_phase := (others => '0'); output.cal_codvw_size := (others => '0'); output.codvw_trk_shift := (others => '0'); output.codvw_grt_one_dvw := '0'; return output; end function; function ret_proc return t_ctrl_active_block is variable output : t_ctrl_active_block; begin output := proc; return output; end function; function ret_dgrb return t_ctrl_active_block is variable output : t_ctrl_active_block; begin output := dgrb; return output; end function; function defaults return t_ctrl_iram is variable output : t_ctrl_iram; begin output.packing_mode := dq_bitwise; output.write_mode := overwrite_ram; output.active_block := idle; return output; end function; function defaults return t_command_op is variable output : t_command_op; begin output.current_cs := 0; output.single_bit := '0'; output.mtp_almt := 0; return output; end function; function defaults return t_ctrl_command is variable output : t_ctrl_command; begin output.command := cmd_idle; output.command_req := '0'; output.command_op := defaults; return output; end function; -- decode which block is associated with which command function curr_active_block ( ctrl_cmd_id : t_ctrl_cmd_id ) return t_ctrl_active_block is begin case ctrl_cmd_id is when cmd_idle => return idle; when cmd_phy_initialise => return idle; when cmd_init_dram => return admin; when cmd_prog_cal_mr => return admin; when cmd_write_ihi => return iram; when cmd_write_btp => return dgwb; when cmd_write_mtp => return dgwb; when cmd_read_mtp => return dgrb; when cmd_rrp_reset => return dgrb; when cmd_rrp_sweep => return dgrb; when cmd_rrp_seek => return dgrb; when cmd_rdv => return dgrb; when cmd_poa => return dgrb; when cmd_was => return dgwb; when cmd_prep_adv_rd_lat => return dgrb; when cmd_prep_adv_wr_lat => return dgrb; when cmd_prep_customer_mr_setup => return admin; when cmd_tr_due => return dgrb; when others => return idle; end case; end function; function defaults return t_ctrl_stat is variable output : t_ctrl_stat; begin output.command_ack := '0'; output.command_done := '0'; output.command_err := '0'; output.command_result := (others => '0'); return output; end function; function defaults return t_iram_push is variable output : t_iram_push; begin output.iram_done := '0'; output.iram_write := '0'; output.iram_wordnum := 0; output.iram_bitnum := 0; output.iram_pushdata := (others => '0'); return output; end function; function defaults return t_hl_css_reg is variable output : t_hl_css_reg; begin output.phy_initialise_dis := '0'; output.init_dram_dis := '0'; output.write_ihi_dis := '0'; output.cal_dis := '0'; output.write_btp_dis := '0'; output.write_mtp_dis := '0'; output.read_mtp_dis := '0'; output.rrp_reset_dis := '0'; output.rrp_sweep_dis := '0'; output.rrp_seek_dis := '0'; output.rdv_dis := '0'; output.poa_dis := '0'; output.was_dis := '0'; output.adv_rd_lat_dis := '0'; output.adv_wr_lat_dis := '0'; output.prep_customer_mr_setup_dis := '0'; output.tracking_dis := '0'; return output; end function; function defaults return t_cal_stage_ack_seen is variable output : t_cal_stage_ack_seen; begin output.cal := '0'; output.phy_initialise := '0'; output.init_dram := '0'; output.write_ihi := '0'; output.write_btp := '0'; output.write_mtp := '0'; output.read_mtp := '0'; output.rrp_reset := '0'; output.rrp_sweep := '0'; output.rrp_seek := '0'; output.rdv := '0'; output.poa := '0'; output.was := '0'; output.adv_rd_lat := '0'; output.adv_wr_lat := '0'; output.prep_customer_mr_setup := '0'; output.tracking_setup := '0'; return output; end function; function defaults return t_mmi_ctrl is variable output : t_mmi_ctrl; begin output.hl_css := defaults; output.calibration_start := '0'; output.tracking_period_ms := 0; output.tracking_orvd_to_10ms := '0'; return output; end function; function defaults return t_ctrl_mmi is variable output : t_ctrl_mmi; begin output.master_state_r := s_reset; output.ctrl_calibration_success := '0'; output.ctrl_calibration_fail := '0'; output.ctrl_current_stage_done := '0'; output.ctrl_current_stage := cmd_idle; output.ctrl_current_active_block := idle; output.ctrl_cal_stage_ack_seen := defaults; output.ctrl_err_code := (others => '0'); return output; end function; ------------------------------------------------------------------------- -- the following are required for compliance to levelling AFI PHY interface but -- are non-functional for non-levelling AFi PHY sequencer ------------------------------------------------------------------------- function defaults return t_sc_ctrl_if is variable output : t_sc_ctrl_if; begin output.read := '0'; output.write := '0'; output.dqs_group_sel := (others => '0'); output.sc_in_group_sel := (others => '0'); output.wdata := (others => '0'); output.op_type := (others => '0'); return output; end function; function defaults return t_sc_stat is variable output : t_sc_stat; begin output.rdata := (others => '0'); output.busy := '0'; output.error_det := '0'; output.err_code := (others => '0'); output.sc_cap := (others => '0'); return output; end function; function defaults return t_sc_int_ctrl is variable output : t_sc_int_ctrl; begin output.group_num := 0; output.group_type := DQ_PIN; output.pin_num := 0; output.sc_element := pp_t9; output.prog_val := (others => '0'); output.ram_set := '0'; output.sc_update := '0'; return output; end function; -- ----------------------------------------------------------------------- -- functions for instant on mode -- -- -- Guide on how to use: -- -- The following factors effect the setup of the PHY: -- - AC Phase - phase at which address/command signals launched wrt PHY clock -- - this effects the read/write latency -- - MR settings - CL, CWL, AL -- - Data rate - HR or FR (DDR/DDR2 only) -- - Family - datapaths are subtly different for each -- - Memory type - DDR/DDR2/DDR3 (different latency behaviour - see specs) -- -- Instant on mode is designed to work for the following subset of the -- above factors: -- - AC Phase - out of the box defaults, which is 240 degrees for SIII type -- families (includes SIV, HCIII, HCIV), else 90 degrees -- - MR Settings - DDR - CL 3 only -- - DDR2 - CL 3,4,5,6, AL 0 -- - DDR3 - CL 5,6 CWL 5, AL 0 -- - Data rate - All -- - Families - All -- - Memory type - All -- -- Hints on bespoke setup for parameters outside the above or if the -- datapath is modified (only for VHDL sim mode): -- -- Step 1 - Run simulation with REDUCE_SIM_TIME mode 2 (FAST) -- -- Step 2 - From the output log find the following text: -- # ----------------------------------------------------------------------- -- **** ALTMEMPHY CALIBRATION has completed **** -- Status: -- calibration has : PASSED -- PHY read latency (ctl_rlat) is : 14 -- address/command to PHY write latency (ctl_wlat) is : 2 -- read resynch phase calibration report: -- calibrated centre of data valid window phase : 32 -- calibrated centre of data valid window size : 24 -- chosen address and command 1T delay: no 1T delay -- poa 'dec' adjustments = 27 -- rdv 'dec' adjustments = 25 -- # ----------------------------------------------------------------------- -- -- Step 3 - Convert the text to bespoke instant on settings at the end of the -- setup_instant_on function using the -- override_instant_on function, note type is t_preset_cal -- -- The mapping is as follows: -- -- PHY read latency (ctl_rlat) is : 14 => rlat := 14 -- address/command to PHY write latency (ctl_wlat) is : 2 => wlat := 2 -- read resynch phase calibration report: -- calibrated centre of data valid window phase : 32 => codvw_phase := 32 -- calibrated centre of data valid window size : 24 => codvw_size := 24 -- chosen address and command 1T delay: no 1T delay => ac_1t := '0' -- poa 'dec' adjustments = 27 => poa_lat := 27 -- rdv 'dec' adjustments = 25 => rdv_lat := 25 -- -- Step 4 - Try running in REDUCE_SIM_TIME mode 1 (SUPERFAST mode) -- -- Step 5 - If still fails observe the behaviour of the controller, for the -- following symptoms: -- - If first 2 beats of read data lost (POA enable too late) - inc poa_lat by 1 (poa_lat is number of POA decrements not actual latency) -- - If last 2 beats of read data lost (POA enable too early) - dec poa_lat by 1 -- - If ctl_rdata_valid misaligned to ctl_rdata then alter number of RDV adjustments (rdv_lat) -- - If write data is not 4-beat aligned (when written into memory) toggle ac_1t (HR only) -- - If read data is not 4-beat aligned (but write data is) add 360 degrees to phase (PLL_STEPS_PER_CYCLE) mod 2*PLL_STEPS_PER_CYCLE (HR only) -- -- Step 6 - If the above fails revert to REDUCE_SIM_TIME = 2 (FAST) mode -- -- -------------------------------------------------------------------------- -- defaults function defaults return t_preset_cal is variable output : t_preset_cal; begin output.codvw_phase := 0; output.codvw_size := 0; output.wlat := 0; output.rlat := 0; output.rdv_lat := 0; output.ac_1t := '1'; -- default on for FR output.poa_lat := 0; return output; end function; -- Functions to extract values from MR -- return cl (for DDR memory 2*cl because of 1/2 cycle latencies) procedure mr0_to_cl (memory_type : string; mr0 : std_logic_vector(15 downto 0); cl : out natural; half_cl : out std_logic) is variable v_cl : natural; begin half_cl := '0'; if memory_type = "DDR" then -- DDR memories -- returns cl*2 because of 1/2 latencies v_cl := to_integer(unsigned(mr0(5 downto 4))); -- integer values of cl if mr0(6) = '0' then assert v_cl > 1 report record_report_prefix & "invalid cas latency for DDR memory, should be in range 1.5-3" severity failure; end if; if mr0(6) = '1' then assert (v_cl = 1 or v_cl = 2) report record_report_prefix & "invalid cas latency for DDR memory, should be in range 1.5-3" severity failure; half_cl := '1'; end if; elsif memory_type = "DDR2" then -- DDR2 memories v_cl := to_integer(unsigned(mr0(6 downto 4))); -- sanity checks assert (v_cl > 1 and v_cl < 7) report record_report_prefix & "invalid cas latency for DDR2 memory, should be in range 2-6 but equals " & integer'image(v_cl) severity failure; elsif memory_type = "DDR3" then -- DDR3 memories v_cl := to_integer(unsigned(mr0(6 downto 4)))+4; --sanity checks assert mr0(2) = '0' report record_report_prefix & "invalid cas latency for DDR3 memory, bit a2 in mr0 is set" severity failure; assert v_cl /= 4 report record_report_prefix & "invalid cas latency for DDR3 memory, bits a6:4 set to zero" severity failure; else report record_report_prefix & "Undefined memory type " & memory_type severity failure; end if; cl := v_cl; end procedure; function mr1_to_al (memory_type : string; mr1 : std_logic_vector(15 downto 0); cl : natural) return natural is variable al : natural; begin if memory_type = "DDR" then -- DDR memories -- unsupported so return zero al := 0; elsif memory_type = "DDR2" then -- DDR2 memories al := to_integer(unsigned(mr1(5 downto 3))); assert al < 6 report record_report_prefix & "invalid additive latency for DDR2 memory, should be in range 0-5 but equals " & integer'image(al) severity failure; elsif memory_type = "DDR3" then -- DDR3 memories al := to_integer(unsigned(mr1(4 downto 3))); assert al /= 3 report record_report_prefix & "invalid additive latency for DDR2 memory, should be in range 0-5 but equals " & integer'image(al) severity failure; if al /= 0 then -- CL-1 or CL-2 al := cl - al; end if; else report record_report_prefix & "Undefined memory type " & memory_type severity failure; end if; return al; end function; -- return cwl function mr2_to_cwl (memory_type : string; mr2 : std_logic_vector(15 downto 0); cl : natural) return natural is variable cwl : natural; begin if memory_type = "DDR" then -- DDR memories cwl := 1; elsif memory_type = "DDR2" then -- DDR2 memories cwl := cl - 1; elsif memory_type = "DDR3" then -- DDR3 memories cwl := to_integer(unsigned(mr2(5 downto 3))) + 5; --sanity checks assert cwl < 9 report record_report_prefix & "invalid cas write latency for DDR3 memory, should be in range 5-8 but equals " & integer'image(cwl) severity failure; else report record_report_prefix & "Undefined memory type " & memory_type severity failure; end if; return cwl; end function; -- ----------------------------------- -- Functions to determine which family group -- Include any family alias here -- ----------------------------------- function is_siii(family_id : natural) return boolean is begin if family_id = 3 or family_id = 5 then return true; else return false; end if; end function; function is_ciii(family_id : natural) return boolean is begin if family_id = 2 then return true; else return false; end if; end function; function is_aii(family_id : natural) return boolean is begin if family_id = 4 then return true; else return false; end if; end function; function is_sii(family_id : natural) return boolean is begin if family_id = 1 then return true; else return false; end if; end function; -- ----------------------------------- -- Functions to lookup hardcoded values -- on per family basis -- DDR: CL = 3 -- DDR2: CL = 6, CWL = 5, AL = 0 -- DDR3: CL = 6, CWL = 5, AL = 0 -- ----------------------------------- -- default ac phase = 240 function siii_family_settings (dwidth_ratio : integer; memory_type : string; pll_steps : natural ) return t_preset_cal is variable v_output : t_preset_cal; begin v_output := defaults; if memory_type = "DDR" then -- CAS = 3 if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 15; v_output.rdv_lat := 11; v_output.poa_lat := 11; else v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 15; v_output.rdv_lat := 23; v_output.ac_1t := '0'; v_output.poa_lat := 24; end if; elsif memory_type = "DDR2" then -- CAS = 6 if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 5; v_output.rlat := 16; v_output.rdv_lat := 10; v_output.poa_lat := 8; else v_output.codvw_phase := pll_steps/4; v_output.wlat := 3; v_output.rlat := 16; v_output.rdv_lat := 21; v_output.ac_1t := '0'; v_output.poa_lat := 22; end if; elsif memory_type = "DDR3" then -- HR only, CAS = 6 v_output.codvw_phase := pll_steps/4; v_output.wlat := 2; v_output.rlat := 15; v_output.rdv_lat := 23; v_output.ac_1t := '0'; v_output.poa_lat := 24; end if; -- adapt settings for ac_phase (default 240 degrees so leave commented) -- if dwidth_ratio = 2 then -- v_output.wlat := v_output.wlat - 1; -- v_output.rlat := v_output.rlat - 1; -- v_output.rdv_lat := v_output.rdv_lat + 1; -- v_output.poa_lat := v_output.poa_lat + 1; -- else -- v_output.ac_1t := not v_output.ac_1t; -- end if; v_output.codvw_size := pll_steps; return v_output; end function; -- default ac phase = 90 function ciii_family_settings (dwidth_ratio : integer; memory_type : string; pll_steps : natural) return t_preset_cal is variable v_output : t_preset_cal; begin v_output := defaults; if memory_type = "DDR" then -- CAS = 3 if dwidth_ratio = 2 then v_output.codvw_phase := 3*pll_steps/4; v_output.wlat := 1; v_output.rlat := 15; v_output.rdv_lat := 11; v_output.poa_lat := 11; --unused else v_output.codvw_phase := 3*pll_steps/4; v_output.wlat := 1; v_output.rlat := 13; v_output.rdv_lat := 27; v_output.ac_1t := '1'; v_output.poa_lat := 27; --unused end if; elsif memory_type = "DDR2" then -- CAS = 6 if dwidth_ratio = 2 then v_output.codvw_phase := 3*pll_steps/4; v_output.wlat := 5; v_output.rlat := 18; v_output.rdv_lat := 8; v_output.poa_lat := 8; --unused else v_output.codvw_phase := pll_steps + 3*pll_steps/4; v_output.wlat := 3; v_output.rlat := 14; v_output.rdv_lat := 25; v_output.ac_1t := '1'; v_output.poa_lat := 25; --unused end if; end if; -- adapt settings for ac_phase (hardcode for 90 degrees) if dwidth_ratio = 2 then v_output.wlat := v_output.wlat + 1; v_output.rlat := v_output.rlat + 1; v_output.rdv_lat := v_output.rdv_lat - 1; v_output.poa_lat := v_output.poa_lat - 1; else v_output.ac_1t := not v_output.ac_1t; end if; v_output.codvw_size := pll_steps/2; return v_output; end function; -- default ac phase = 90 function sii_family_settings (dwidth_ratio : integer; memory_type : string; pll_steps : natural) return t_preset_cal is variable v_output : t_preset_cal; begin v_output := defaults; if memory_type = "DDR" then -- CAS = 3 if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 15; v_output.rdv_lat := 11; v_output.poa_lat := 13; else v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 13; v_output.rdv_lat := 27; v_output.ac_1t := '1'; v_output.poa_lat := 22; end if; elsif memory_type = "DDR2" then if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 5; v_output.rlat := 18; v_output.rdv_lat := 8; v_output.poa_lat := 10; else v_output.codvw_phase := pll_steps + pll_steps/4; v_output.wlat := 3; v_output.rlat := 14; v_output.rdv_lat := 25; v_output.ac_1t := '1'; v_output.poa_lat := 20; end if; end if; -- adapt settings for ac_phase (hardcode for 90 degrees) if dwidth_ratio = 2 then v_output.wlat := v_output.wlat + 1; v_output.rlat := v_output.rlat + 1; v_output.rdv_lat := v_output.rdv_lat - 1; v_output.poa_lat := v_output.poa_lat - 1; else v_output.ac_1t := not v_output.ac_1t; end if; v_output.codvw_size := pll_steps; return v_output; end function; -- default ac phase = 90 function aii_family_settings (dwidth_ratio : integer; memory_type : string; pll_steps : natural) return t_preset_cal is variable v_output : t_preset_cal; begin v_output := defaults; if memory_type = "DDR" then -- CAS = 3 if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 16; v_output.rdv_lat := 10; v_output.poa_lat := 15; else v_output.codvw_phase := pll_steps/4; v_output.wlat := 1; v_output.rlat := 13; v_output.rdv_lat := 27; v_output.ac_1t := '1'; v_output.poa_lat := 24; end if; elsif memory_type = "DDR2" then if dwidth_ratio = 2 then v_output.codvw_phase := pll_steps/4; v_output.wlat := 5; v_output.rlat := 19; v_output.rdv_lat := 9; v_output.poa_lat := 12; else v_output.codvw_phase := pll_steps + pll_steps/4; v_output.wlat := 3; v_output.rlat := 14; v_output.rdv_lat := 25; v_output.ac_1t := '1'; v_output.poa_lat := 22; end if; elsif memory_type = "DDR3" then -- HR only, CAS = 6 v_output.codvw_phase := pll_steps + pll_steps/4; v_output.wlat := 3; v_output.rlat := 14; v_output.rdv_lat := 25; v_output.ac_1t := '1'; v_output.poa_lat := 22; end if; -- adapt settings for ac_phase (hardcode for 90 degrees) if dwidth_ratio = 2 then v_output.wlat := v_output.wlat + 1; v_output.rlat := v_output.rlat + 1; v_output.rdv_lat := v_output.rdv_lat - 1; v_output.poa_lat := v_output.poa_lat - 1; else v_output.ac_1t := not v_output.ac_1t; end if; v_output.codvw_size := pll_steps; return v_output; end function; function is_odd(num : integer) return boolean is variable v_num : integer; begin v_num := num; if v_num - (v_num/2)*2 = 0 then return false; else return true; end if; end function; ------------------------------------------------ -- top level function to setup instant on mode ------------------------------------------------ function override_instant_on return t_preset_cal is variable v_output : t_preset_cal; begin v_output := defaults; -- add in overrides here return v_output; end function; function setup_instant_on (sim_time_red : natural; family_id : natural; memory_type : string; dwidth_ratio : natural; pll_steps : natural; mr0 : std_logic_vector(15 downto 0); mr1 : std_logic_vector(15 downto 0); mr2 : std_logic_vector(15 downto 0)) return t_preset_cal is variable v_output : t_preset_cal; variable v_cl : natural; -- cas latency variable v_half_cl : std_logic; -- + 0.5 cycles (DDR only) variable v_al : natural; -- additive latency (ddr2/ddr3 only) variable v_cwl : natural; -- cas write latency (ddr3 only) variable v_rl : integer range 0 to 15; variable v_wl : integer; variable v_delta_rl : integer range -10 to 10; -- from given defaults variable v_delta_wl : integer; -- from given defaults variable v_debug : boolean; begin v_debug := true; v_output := defaults; if sim_time_red = 1 then -- only set if STR equals 1 -- ---------------------------------------- -- extract required parameters from MRs -- ---------------------------------------- mr0_to_cl(memory_type, mr0, v_cl, v_half_cl); v_al := mr1_to_al(memory_type, mr1, v_cl); v_cwl := mr2_to_cwl(memory_type, mr2, v_cl); v_rl := v_cl + v_al; v_wl := v_cwl + v_al; if v_debug then report record_report_prefix & "Extracted MR parameters" & LF & "CAS = " & integer'image(v_cl) & LF & "CWL = " & integer'image(v_cwl) & LF & "AL = " & integer'image(v_al) & LF; end if; -- ---------------------------------------- -- apply per family, memory type and dwidth_ratio static setup -- ---------------------------------------- if is_siii(family_id) then v_output := siii_family_settings(dwidth_ratio, memory_type, pll_steps); elsif is_ciii(family_id) then v_output := ciii_family_settings(dwidth_ratio, memory_type, pll_steps); elsif is_aii(family_id) then v_output := aii_family_settings(dwidth_ratio, memory_type, pll_steps); elsif is_sii(family_id) then v_output := sii_family_settings(dwidth_ratio, memory_type, pll_steps); end if; -- ---------------------------------------- -- correct for different cwl, cl and al settings -- ---------------------------------------- if memory_type = "DDR" then v_delta_rl := v_rl - c_ddr_default_rl; v_delta_wl := v_wl - c_ddr_default_wl; elsif memory_type = "DDR2" then v_delta_rl := v_rl - c_ddr2_default_rl; v_delta_wl := v_wl - c_ddr2_default_wl; else -- DDR3 v_delta_rl := v_rl - c_ddr3_default_rl; v_delta_wl := v_wl - c_ddr3_default_wl; end if; if v_debug then report record_report_prefix & "Extracted memory latency (and delta from default)" & LF & "RL = " & integer'image(v_rl) & LF & "WL = " & integer'image(v_wl) & LF & "delta RL = " & integer'image(v_delta_rl) & LF & "delta WL = " & integer'image(v_delta_wl) & LF; end if; if dwidth_ratio = 2 then -- adjust rdp settings v_output.rlat := v_output.rlat + v_delta_rl; v_output.rdv_lat := v_output.rdv_lat - v_delta_rl; v_output.poa_lat := v_output.poa_lat - v_delta_rl; -- adjust wdp settings v_output.wlat := v_output.wlat + v_delta_wl; elsif dwidth_ratio = 4 then -- adjust wdp settings v_output.wlat := v_output.wlat + v_delta_wl/2; if is_odd(v_delta_wl) then -- add / sub 1t write latency -- toggle ac_1t in all cases v_output.ac_1t := not v_output.ac_1t; if v_delta_wl < 0 then -- sub 1 from latency if v_output.ac_1t = '0' then -- phy_clk cc boundary v_output.wlat := v_output.wlat - 1; end if; else -- add 1 to latency if v_output.ac_1t = '1' then -- phy_clk cc boundary v_output.wlat := v_output.wlat + 1; end if; end if; -- update read latency if v_output.ac_1t = '1' then -- added 1t to address/command so inc read_lat v_delta_rl := v_delta_rl + 1; else -- subtracted 1t from address/command so dec read_lat v_delta_rl := v_delta_rl - 1; end if; end if; -- adjust rdp settings v_output.rlat := v_output.rlat + v_delta_rl/2; v_output.rdv_lat := v_output.rdv_lat - v_delta_rl; v_output.poa_lat := v_output.poa_lat - v_delta_rl; if memory_type = "DDR3" then if is_odd(v_delta_rl) xor is_odd(v_delta_wl) then if is_aii(family_id) then v_output.rdv_lat := v_output.rdv_lat - 1; v_output.poa_lat := v_output.poa_lat - 1; else v_output.rdv_lat := v_output.rdv_lat + 1; v_output.poa_lat := v_output.poa_lat + 1; end if; end if; end if; if is_odd(v_delta_rl) then if v_delta_rl > 0 then -- add 1t if v_output.codvw_phase < pll_steps then v_output.codvw_phase := v_output.codvw_phase + pll_steps; else v_output.codvw_phase := v_output.codvw_phase - pll_steps; v_output.rlat := v_output.rlat + 1; end if; else -- subtract 1t if v_output.codvw_phase < pll_steps then v_output.codvw_phase := v_output.codvw_phase + pll_steps; v_output.rlat := v_output.rlat - 1; else v_output.codvw_phase := v_output.codvw_phase - pll_steps; end if; end if; end if; end if; if v_half_cl = '1' and is_ciii(family_id) then v_output.codvw_phase := v_output.codvw_phase - pll_steps/2; end if; end if; return v_output; end function; -- END nios_altmemddr_0_phy_alt_mem_phy_record_pkg; --/* Legal Notice: (C)2006 Altera Corporation. All rights reserved. Your -- use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any -- output files any of the foregoing (including device programming or -- simulation files), and any associated documentation or information are -- expressly subject to the terms and conditions of the Altera Program -- License Subscription Agreement or other applicable license agreement, -- including, without limitation, that your use is for the sole purpose -- of programming logic devices manufactured by Altera and sold by Altera -- or its authorized distributors. Please refer to the applicable -- agreement for further details. */ -- -- ----------------------------------------------------------------------------- -- Abstract : address and command package, shared between all variations of -- the AFI sequencer -- The address and command package (alt_mem_phy_addr_cmd_pkg) is -- used to combine DRAM address and command signals in one record -- and unify the functions operating on this record. -- -- -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- package nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg is -- the following are bounds on the maximum range of address and command signals constant c_max_addr_bits : natural := 15; constant c_max_ba_bits : natural := 3; constant c_max_ranks : natural := 16; constant c_max_mode_reg_bit : natural := 12; constant c_max_cmds_per_clk : natural := 4; -- quarter rate -- a prefix for all report signals to identify phy and sequencer block -- constant ac_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (addr_cmd_pkg) : "; -- ------------------------------------------------------------- -- this record represents a single mem_clk command cycle -- ------------------------------------------------------------- type t_addr_cmd is record addr : natural range 0 to 2**c_max_addr_bits - 1; ba : natural range 0 to 2**c_max_ba_bits - 1; cas_n : boolean; ras_n : boolean; we_n : boolean; cke : natural range 0 to 2**c_max_ranks - 1; -- bounded max of 8 ranks cs_n : natural range 2**c_max_ranks - 1 downto 0; -- bounded max of 8 ranks odt : natural range 0 to 2**c_max_ranks - 1; -- bounded max of 8 ranks rst_n : boolean; end record t_addr_cmd; -- ------------------------------------------------------------- -- this vector is used to describe the fact that for slower clock domains -- mutiple commands per clock can be issued and encapsulates all these options in a -- type which can scale with rate -- ------------------------------------------------------------- type t_addr_cmd_vector is array (natural range <>) of t_addr_cmd; -- ------------------------------------------------------------- -- this record is used to define the memory interface type and allow packing and checking -- (it should be used as a generic to a entity or from a poject level constant) -- ------------------------------------------------------------- -- enumeration for mem_type type t_mem_type is ( DDR, DDR2, DDR3 ); -- memory interface configuration parameters type t_addr_cmd_config_rec is record num_addr_bits : natural; num_ba_bits : natural; num_cs_bits : natural; num_ranks : natural; cmds_per_clk : natural range 1 to c_max_cmds_per_clk; -- commands per clock cycle (equal to DWIDTH_RATIO/2) mem_type : t_mem_type; end record; -- ----------------------------------- -- the following type is used to switch between signals -- (for example, in the mask function below) -- ----------------------------------- type t_addr_cmd_signals is ( addr, ba, cas_n, ras_n, we_n, cke, cs_n, odt, rst_n ); -- ----------------------------------- -- odt record -- to hold the odt settings -- (an odt_record) per rank (in odt_array) -- ----------------------------------- type t_odt_record is record write : natural; read : natural; end record t_odt_record; type t_odt_array is array (natural range <>) of t_odt_record; -- ------------------------------------------------------------- -- exposed functions and procedures -- -- these functions cover the following memory types: -- DDR3, DDR2, DDR -- -- and the following operations: -- MRS, REF, PRE, PREA, ACT, -- WR, WRS8, WRS4, WRA, WRAS8, WRAS4, -- RD, RDS8, RDS4, RDA, RDAS8, RDAS4, -- -- for DDR3 on the fly burst length setting for reads/writes -- is supported -- ------------------------------------------------------------- function defaults ( config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector; function reset ( config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector; function int_pup_reset ( config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector; function deselect ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector ) return t_addr_cmd_vector; function precharge_all ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function precharge_all ( config_rec : in t_addr_cmd_config_rec; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function precharge_bank ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1; bank : in natural range 0 to 2**c_max_ba_bits -1 ) return t_addr_cmd_vector; function activate ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; row : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1 ) return t_addr_cmd_vector; function write ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd_vector; function read ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd_vector; function refresh ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function self_refresh_entry ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function load_mode ( config_rec : in t_addr_cmd_config_rec; mode_register_num : in natural range 0 to 3; mode_reg_value : in std_logic_vector(c_max_mode_reg_bit downto 0); ranks : in natural range 0 to 2**c_max_ranks -1; remap_addr_and_ba : in boolean ) return t_addr_cmd_vector; function dll_reset ( config_rec : in t_addr_cmd_config_rec; mode_reg_val : in std_logic_vector; rank_num : in natural range 0 to 2**c_max_ranks - 1; reorder_addr_bits : in boolean ) return t_addr_cmd_vector; function enter_sr_pd_mode ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function maintain_pd_or_sr ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function exit_sr_pd_mode ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function ZQCS ( config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function ZQCL ( config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector; function all_unreversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd_vector; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd_vector; function all_reversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd_vector; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd_vector; function program_rdimm_register ( config_rec : in t_addr_cmd_config_rec; control_word_addr : in std_logic_vector(3 downto 0); control_word_data : in std_logic_vector(3 downto 0) ) return t_addr_cmd_vector; -- ------------------------------------------------------------- -- the following function sets up the odt settings -- NOTES: currently only supports DDR/DDR2 memories -- ------------------------------------------------------------- -- odt setting as implemented in the altera high-performance controller for ddr2 memories function set_odt_values (ranks : natural; ranks_per_slot : natural; mem_type : in string ) return t_odt_array; -- ------------------------------------------------------------- -- the following function enables assignment to the constant config_rec -- ------------------------------------------------------------- function set_config_rec ( num_addr_bits : in natural; num_ba_bits : in natural; num_cs_bits : in natural; num_ranks : in natural; dwidth_ratio : in natural range 1 to c_max_cmds_per_clk; mem_type : in string ) return t_addr_cmd_config_rec; -- The non-levelled sequencer doesn't make a distinction between CS_WIDTH and NUM_RANKS. In this case, -- just set the two to be the same. function set_config_rec ( num_addr_bits : in natural; num_ba_bits : in natural; num_cs_bits : in natural; dwidth_ratio : in natural range 1 to c_max_cmds_per_clk; mem_type : in string ) return t_addr_cmd_config_rec; -- ------------------------------------------------------------- -- the following function and procedure unpack address and -- command signals from the t_addr_cmd_vector format -- ------------------------------------------------------------- procedure unpack_addr_cmd_vector( addr_cmd_vector : in t_addr_cmd_vector; config_rec : in t_addr_cmd_config_rec; addr : out std_logic_vector; ba : out std_logic_vector; cas_n : out std_logic_vector; ras_n : out std_logic_vector; we_n : out std_logic_vector; cke : out std_logic_vector; cs_n : out std_logic_vector; odt : out std_logic_vector; rst_n : out std_logic_vector); procedure unpack_addr_cmd_vector( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal addr : out std_logic_vector; signal ba : out std_logic_vector; signal cas_n : out std_logic_vector; signal ras_n : out std_logic_vector; signal we_n : out std_logic_vector; signal cke : out std_logic_vector; signal cs_n : out std_logic_vector; signal odt : out std_logic_vector; signal rst_n : out std_logic_vector); -- ------------------------------------------------------------- -- the following functions perform bit masking to 0 or 1 (as -- specified by mask_value) to a chosen address/command signal (signal_name) -- across all signal bits or to a selected bit (mask_bit) -- ------------------------------------------------------------- -- mask all signal bits procedure function mask ( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic) return t_addr_cmd_vector; procedure mask( config_rec : in t_addr_cmd_config_rec; signal addr_cmd_vector : inout t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic); -- mask signal bit (mask_bit) procedure function mask ( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic; mask_bit : in natural) return t_addr_cmd_vector; -- end nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg; -- package body nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg IS -- ------------------------------------------------------------- -- Basic functions for a single command -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- defaults the bus no JEDEC abbreviated name -- ------------------------------------------------------------- function defaults ( config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval.addr := 0; v_retval.ba := 0; v_retval.cas_n := false; v_retval.ras_n := false; v_retval.we_n := false; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1; v_retval.odt := 0; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- resets the addr/cmd signal (Same as default with cke and rst_n 0 ) -- ------------------------------------------------------------- function reset ( config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval := defaults(config_rec); v_retval.cke := 0; if config_rec.mem_type = DDR3 then v_retval.rst_n := true; end if; return v_retval; end function; -- ------------------------------------------------------------- -- issues deselect (command) JEDEC abbreviated name: DES -- ------------------------------------------------------------- function deselect ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval := previous; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- issues a precharge all command JEDEC abbreviated name: PREA -- ------------------------------------------------------------- function precharge_all( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_addr : unsigned( c_max_addr_bits -1 downto 0); begin v_retval := previous; v_addr := to_unsigned(previous.addr, c_max_addr_bits); v_addr(10) := '1'; -- set AP bit high v_retval.addr := to_integer(v_addr); v_retval.ras_n := true; v_retval.cas_n := false; v_retval.we_n := true; v_retval.cs_n := (2 ** config_rec.num_cs_bits) - 1 - ranks; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- precharge (close) a bank JEDEC abbreviated name: PRE -- ------------------------------------------------------------- function precharge_bank( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; ranks : in natural range 0 to 2**c_max_ranks -1; bank : in natural range 0 to 2**c_max_ba_bits -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_addr : unsigned( c_max_addr_bits -1 downto 0); begin v_retval := previous; v_addr := to_unsigned(previous.addr, c_max_addr_bits); v_addr(10) := '0'; -- set AP bit low v_retval.addr := to_integer(v_addr); v_retval.ba := bank; v_retval.ras_n := true; v_retval.cas_n := false; v_retval.we_n := true; v_retval.cs_n := (2 ** config_rec.num_cs_bits) - ranks; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- Issues a activate (open row) JEDEC abbreviated name: ACT -- ------------------------------------------------------------- function activate (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; bank : in natural range 0 to 2**c_max_ba_bits - 1; row : in natural range 0 to 2**c_max_addr_bits - 1; ranks : in natural range 0 to 2**c_max_ranks - 1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval.addr := row; v_retval.ba := bank; v_retval.cas_n := false; v_retval.ras_n := true; v_retval.we_n := false; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - ranks; v_retval.odt := previous.odt; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- issues a write command JEDEC abbreviated name:WR, WRA -- WRS4, WRAS4 -- WRS8, WRAS8 -- has the ability to support: -- DDR3: -- BL4, BL8, fixed BL -- Auto Precharge (AP) -- DDR2, DDR: -- fixed BL -- Auto Precharge (AP) -- ------------------------------------------------------------- function write (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks -1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_addr : unsigned(c_max_addr_bits-1 downto 0); begin -- calculate correct address signal v_addr := to_unsigned(col, c_max_addr_bits); -- note pin A10 is used for AP, therfore shift the value from A10 onto A11. v_retval.addr := to_integer(v_addr(9 downto 0)); if v_addr(10) = '1' then v_retval.addr := v_retval.addr + 2**11; end if; if auto_prech = true then -- set AP bit (A10) v_retval.addr := v_retval.addr + 2**10; end if; if config_rec.mem_type = DDR3 then if op_length = 8 then -- set BL_OTF sel bit (A12) v_retval.addr := v_retval.addr + 2**12; elsif op_length = 4 then null; else report ac_report_prefix & "DDR3 DRAM only supports writes of burst length 4 or 8, the requested length was: " & integer'image(op_length) severity failure; end if; elsif config_rec.mem_type = DDR2 or config_rec.mem_type = DDR then null; else report ac_report_prefix & "only DDR memories are supported for memory writes" severity failure; end if; -- set a/c signal assignments for write v_retval.ba := bank; v_retval.cas_n := true; v_retval.ras_n := false; v_retval.we_n := true; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - ranks; v_retval.odt := ranks; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- issues a read command JEDEC abbreviated name: RD, RDA -- RDS4, RDAS4 -- RDS8, RDAS8 -- has the ability to support: -- DDR3: -- BL4, BL8, fixed BL -- Auto Precharge (AP) -- DDR2, DDR: -- fixed BL, Auto Precharge (AP) -- ------------------------------------------------------------- function read (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks -1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_addr : unsigned(c_max_addr_bits-1 downto 0); begin -- calculate correct address signal v_addr := to_unsigned(col, c_max_addr_bits); -- note pin A10 is used for AP, therfore shift the value from A10 onto A11. v_retval.addr := to_integer(v_addr(9 downto 0)); if v_addr(10) = '1' then v_retval.addr := v_retval.addr + 2**11; end if; if auto_prech = true then -- set AP bit (A10) v_retval.addr := v_retval.addr + 2**10; end if; if config_rec.mem_type = DDR3 then if op_length = 8 then -- set BL_OTF sel bit (A12) v_retval.addr := v_retval.addr + 2**12; elsif op_length = 4 then null; else report ac_report_prefix & "DDR3 DRAM only supports reads of burst length 4 or 8" severity failure; end if; elsif config_rec.mem_type = DDR2 or config_rec.mem_type = DDR then null; else report ac_report_prefix & "only DDR memories are supported for memory reads" severity failure; end if; -- set a/c signals for read command v_retval.ba := bank; v_retval.cas_n := true; v_retval.ras_n := false; v_retval.we_n := false; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - ranks; v_retval.odt := 0; v_retval.rst_n := false; return v_retval; end function; -- ------------------------------------------------------------- -- issues a refresh command JEDEC abbreviated name: REF -- ------------------------------------------------------------- function refresh (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval := previous; v_retval.cas_n := true; v_retval.ras_n := true; v_retval.we_n := false; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - ranks; v_retval.rst_n := false; -- addr, BA and ODT are don't care therfore leave as previous value return v_retval; end function; -- ------------------------------------------------------------- -- issues a mode register set command JEDEC abbreviated name: MRS -- ------------------------------------------------------------- function load_mode ( config_rec : in t_addr_cmd_config_rec; mode_register_num : in natural range 0 to 3; mode_reg_value : in std_logic_vector(c_max_mode_reg_bit downto 0); ranks : in natural range 0 to 2**c_max_ranks -1; remap_addr_and_ba : in boolean ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_addr_remap : unsigned(c_max_mode_reg_bit downto 0); begin v_retval.cas_n := true; v_retval.ras_n := true; v_retval.we_n := true; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - ranks; v_retval.odt := 0; v_retval.rst_n := false; v_retval.ba := mode_register_num; v_retval.addr := to_integer(unsigned(mode_reg_value)); if remap_addr_and_ba = true then v_addr_remap := unsigned(mode_reg_value); v_addr_remap(8 downto 7) := v_addr_remap(7) & v_addr_remap(8); v_addr_remap(6 downto 5) := v_addr_remap(5) & v_addr_remap(6); v_addr_remap(4 downto 3) := v_addr_remap(3) & v_addr_remap(4); v_retval.addr := to_integer(v_addr_remap); v_addr_remap := to_unsigned(mode_register_num, c_max_mode_reg_bit + 1); v_addr_remap(1 downto 0) := v_addr_remap(0) & v_addr_remap(1); v_retval.ba := to_integer(v_addr_remap); end if; return v_retval; end function; -- ------------------------------------------------------------- -- maintains SR or PD mode on slected ranks. -- ------------------------------------------------------------- function maintain_pd_or_sr (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval := previous; v_retval.cke := (2 ** config_rec.num_ranks) - 1 - ranks; return v_retval; end function; -- ------------------------------------------------------------- -- issues a ZQ cal (short) JEDEC abbreviated name: ZQCS -- NOTE - can only be issued to a single RANK at a time. -- ------------------------------------------------------------- function ZQCS (config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval.cas_n := false; v_retval.ras_n := false; v_retval.we_n := true; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - rank; v_retval.rst_n := false; v_retval.addr := 0; -- clear bit 10 v_retval.ba := 0; v_retval.odt := 0; return v_retval; end function; -- ------------------------------------------------------------- -- issues a ZQ cal (long) JEDEC abbreviated name: ZQCL -- NOTE - can only be issued to a single RANK at a time. -- ------------------------------------------------------------- function ZQCL (config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd is variable v_retval : t_addr_cmd; begin v_retval.cas_n := false; v_retval.ras_n := false; v_retval.we_n := true; v_retval.cke := (2 ** config_rec.num_ranks) -1; v_retval.cs_n := (2 ** config_rec.num_cs_bits) -1 - rank; v_retval.rst_n := false; v_retval.addr := 1024; -- set bit 10 v_retval.ba := 0; v_retval.odt := 0; return v_retval; end function; -- ------------------------------------------------------------- -- functions acting on all clock cycles from whatever rate -- in halfrate clock domain issues 1 command per clock -- in quarter rate issues 1 command per clock -- In the above cases they will be correctly aligned using the -- ALTMEMPHY 2T and 4T SDC -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- defaults the bus no JEDEC abbreviated name -- ------------------------------------------------------------- function defaults (config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_retval := (others => defaults(config_rec)); return v_retval; end function; -- ------------------------------------------------------------- -- resets the addr/cmd signal (same as default with cke 0) -- ------------------------------------------------------------- function reset (config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_retval := (others => reset(config_rec)); return v_retval; end function; function int_pup_reset (config_rec : in t_addr_cmd_config_rec ) return t_addr_cmd_vector is variable v_addr_cmd_config_rst : t_addr_cmd_config_rec; begin v_addr_cmd_config_rst := config_rec; v_addr_cmd_config_rst.num_ranks := c_max_ranks; return reset(v_addr_cmd_config_rst); end function; -- ------------------------------------------------------------- -- issues a deselect command JEDEC abbreviated name: DES -- ------------------------------------------------------------- function deselect ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector ) return t_addr_cmd_vector is alias a_previous : t_addr_cmd_vector(previous'range) is previous; variable v_retval : t_addr_cmd_vector(a_previous'range); begin for rate in a_previous'range loop v_retval(rate) := deselect(config_rec, a_previous(a_previous'high)); end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a precharge all command JEDEC abbreviated name: PREA -- ------------------------------------------------------------- function precharge_all ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is alias a_previous : t_addr_cmd_vector(previous'range) is previous; variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in a_previous'range loop v_retval(rate) := precharge_all(config_rec, previous(a_previous'high), ranks); -- use dwidth_ratio/2 as in FR = 0 , HR = 1, and in future QR = 2 tCK setup + 1 tCK hold if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- precharge (close) a bank JEDEC abbreviated name: PRE -- ------------------------------------------------------------- function precharge_bank ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1; bank : in natural range 0 to 2**c_max_ba_bits -1 ) return t_addr_cmd_vector is alias a_previous : t_addr_cmd_vector(previous'range) is previous; variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in a_previous'range loop v_retval(rate) := precharge_bank(config_rec, previous(a_previous'high), ranks, bank); -- use dwidth_ratio/2 as in FR = 0 , HR = 1, and in future QR = 2 tCK setup + 1 tCK hold if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a activate (open row) JEDEC abbreviated name: ACT -- ------------------------------------------------------------- function activate ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; row : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in previous'range loop v_retval(rate) := activate(config_rec, previous(previous'high), bank, row, ranks); -- use dwidth_ratio/2 as in FR = 0 , HR = 1, and in future QR = 2 tCK setup + 1 tCK hold if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a write command JEDEC abbreviated name:WR, WRA -- WRS4, WRAS4 -- WRS8, WRAS8 -- -- has the ability to support: -- DDR3: -- BL4, BL8, fixed BL -- Auto Precharge (AP) -- DDR2, DDR: -- fixed BL -- Auto Precharge (AP) -- ------------------------------------------------------------- function write ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in previous'range loop v_retval(rate) := write(config_rec, previous(previous'high), bank, col, ranks, op_length, auto_prech); -- use dwidth_ratio/2 as in FR = 0 , HR = 1, and in future QR = 2 tCK setup + 1 tCK hold if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a read command JEDEC abbreviated name: RD, RDA -- RDS4, RDAS4 -- RDS8, RDAS8 -- has the ability to support: -- DDR3: -- BL4, BL8, fixed BL -- Auto Precharge (AP) -- DDR2, DDR: -- fixed BL, Auto Precharge (AP) -- ------------------------------------------------------------- function read ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; bank : in natural range 0 to 2**c_max_ba_bits -1; col : in natural range 0 to 2**c_max_addr_bits -1; ranks : in natural range 0 to 2**c_max_ranks - 1; op_length : in natural range 1 to 8; auto_prech : in boolean ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in previous'range loop v_retval(rate) := read(config_rec, previous(previous'high), bank, col, ranks, op_length, auto_prech); -- use dwidth_ratio/2 as in FR = 0 , HR = 1, and in future QR = 2 tCK setup + 1 tCK hold if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a refresh command JEDEC abbreviated name: REF -- ------------------------------------------------------------- function refresh (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 )return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for rate in previous'range loop v_retval(rate) := refresh(config_rec, previous(previous'high), ranks); if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a self_refresh_entry command JEDEC abbreviated name: SRE -- ------------------------------------------------------------- function self_refresh_entry (config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 )return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_retval := enter_sr_pd_mode(config_rec, refresh(config_rec, previous, ranks), ranks); return v_retval; end function; -- ------------------------------------------------------------- -- issues a self_refresh exit or power_down exit command -- JEDEC abbreviated names: SRX, PDX -- ------------------------------------------------------------- function exit_sr_pd_mode ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); variable v_mask_workings : std_logic_vector(config_rec.num_ranks -1 downto 0); variable v_mask_workings_b : std_logic_vector(config_rec.num_ranks -1 downto 0); begin v_retval := maintain_pd_or_sr(config_rec, previous, ranks); v_mask_workings_b := std_logic_vector(to_unsigned(ranks, config_rec.num_ranks)); for rate in 0 to config_rec.cmds_per_clk - 1 loop v_mask_workings := std_logic_vector(to_unsigned(v_retval(rate).cke, config_rec.num_ranks)); for i in v_mask_workings_b'range loop v_mask_workings(i) := v_mask_workings(i) or v_mask_workings_b(i); end loop; if rate >= config_rec.cmds_per_clk / 2 then -- maintain command but clear CS of subsequenct command slots v_retval(rate).cke := to_integer(unsigned(v_mask_workings)); -- almost irrelevant. but optimises logic slightly for Quater rate end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- cause the selected ranks to enter Self-refresh or Powerdown mode -- JEDEC abbreviated names: PDE, -- SRE (if a refresh is concurrently issued to the same ranks) -- ------------------------------------------------------------- function enter_sr_pd_mode ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); variable v_mask_workings : std_logic_vector(config_rec.num_ranks -1 downto 0); variable v_mask_workings_b : std_logic_vector(config_rec.num_ranks -1 downto 0); begin v_retval := previous; v_mask_workings_b := std_logic_vector(to_unsigned(ranks, config_rec.num_ranks)); for rate in 0 to config_rec.cmds_per_clk - 1 loop if rate >= config_rec.cmds_per_clk / 2 then -- maintain command but clear CS of subsequenct command slots v_mask_workings := std_logic_vector(to_unsigned(v_retval(rate).cke, config_rec.num_ranks)); for i in v_mask_workings_b'range loop v_mask_workings(i) := v_mask_workings(i) and not v_mask_workings_b(i); end loop; v_retval(rate).cke := to_integer(unsigned(v_mask_workings)); -- almost irrelevant. but optimises logic slightly for Quater rate end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- Issues a mode register set command JEDEC abbreviated name: MRS -- ------------------------------------------------------------- function load_mode ( config_rec : in t_addr_cmd_config_rec; mode_register_num : in natural range 0 to 3; mode_reg_value : in std_logic_vector(c_max_mode_reg_bit downto 0); ranks : in natural range 0 to 2**c_max_ranks -1; remap_addr_and_ba : in boolean ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_retval := (others => load_mode(config_rec, mode_register_num, mode_reg_value, ranks, remap_addr_and_ba)); for rate in v_retval'range loop if rate /= config_rec.cmds_per_clk/2 then v_retval(rate).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- maintains SR or PD mode on slected ranks. -- NOTE: does not affect previous command -- ------------------------------------------------------------- function maintain_pd_or_sr ( config_rec : in t_addr_cmd_config_rec; previous : in t_addr_cmd_vector; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin for command in v_retval'range loop v_retval(command) := maintain_pd_or_sr(config_rec, previous(command), ranks); end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a ZQ cal (long) JEDEC abbreviated name: ZQCL -- NOTE - can only be issued to a single RANK ata a time. -- ------------------------------------------------------------- function ZQCL ( config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1) := defaults(config_rec); begin for command in v_retval'range loop v_retval(command) := ZQCL(config_rec, rank); if command * 2 /= config_rec.cmds_per_clk then v_retval(command).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ------------------------------------------------------------- -- issues a ZQ cal (short) JEDEC abbreviated name: ZQCS -- NOTE - can only be issued to a single RANK ata a time. -- ------------------------------------------------------------- function ZQCS ( config_rec : in t_addr_cmd_config_rec; rank : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1) := defaults(config_rec); begin for command in v_retval'range loop v_retval(command) := ZQCS(config_rec, rank); if command * 2 /= config_rec.cmds_per_clk then v_retval(command).cs_n := (2 ** config_rec.num_cs_bits) -1; end if; end loop; return v_retval; end function; -- ---------------------- -- Additional Rank manipulation functions (main use DDR3) -- ------------- -- ----------------------------------- -- set the chip select for a group of ranks -- ----------------------------------- function all_reversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_mask_workings : std_logic_vector(config_rec.num_cs_bits-1 downto 0); begin v_retval := record_to_mask; v_mask_workings := std_logic_vector(to_unsigned(record_to_mask.cs_n, config_rec.num_cs_bits)); for i in mem_ac_swapped_ranks'range loop v_mask_workings(i):= v_mask_workings(i) or not mem_ac_swapped_ranks(i); end loop; v_retval.cs_n := to_integer(unsigned(v_mask_workings)); return v_retval; end function; -- ----------------------------------- -- inverse of the above -- ----------------------------------- function all_unreversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable v_mask_workings : std_logic_vector(config_rec.num_cs_bits-1 downto 0); begin v_retval := record_to_mask; v_mask_workings := std_logic_vector(to_unsigned(record_to_mask.cs_n, config_rec.num_cs_bits)); for i in mem_ac_swapped_ranks'range loop v_mask_workings(i):= v_mask_workings(i) or mem_ac_swapped_ranks(i); end loop; v_retval.cs_n := to_integer(unsigned(v_mask_workings)); return v_retval; end function; -- ----------------------------------- -- set the chip select for a group of ranks in a way which handles diffrent rates -- ----------------------------------- function all_unreversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd_vector; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1) := defaults(config_rec); begin for command in record_to_mask'range loop v_retval(command) := all_unreversed_ranks(config_rec, record_to_mask(command), mem_ac_swapped_ranks); end loop; return v_retval; end function; -- ----------------------------------- -- inverse of the above handling ranks -- ----------------------------------- function all_reversed_ranks ( config_rec : in t_addr_cmd_config_rec; record_to_mask : in t_addr_cmd_vector; mem_ac_swapped_ranks : in std_logic_vector ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1) := defaults(config_rec); begin for command in record_to_mask'range loop v_retval(command) := all_reversed_ranks(config_rec, record_to_mask(command), mem_ac_swapped_ranks); end loop; return v_retval; end function; -- -------------------------------------------------- -- Program a single control word onto RDIMM. -- This is accomplished rather goofily by asserting all chip selects -- and then writing out both the addr/data of the word onto the addr/ba bus -- -------------------------------------------------- function program_rdimm_register ( config_rec : in t_addr_cmd_config_rec; control_word_addr : in std_logic_vector(3 downto 0); control_word_data : in std_logic_vector(3 downto 0) ) return t_addr_cmd is variable v_retval : t_addr_cmd; variable ba : std_logic_vector(2 downto 0); variable addr : std_logic_vector(4 downto 0); begin v_retval := defaults(config_rec); v_retval.cs_n := 0; ba := control_word_addr(3) & control_word_data(3) & control_word_data(2); v_retval.ba := to_integer(unsigned(ba)); addr := control_word_data(1) & control_word_data(0) & control_word_addr(2) & control_word_addr(1) & control_word_addr(0); v_retval.addr := to_integer(unsigned(addr)); return v_retval; end function; function program_rdimm_register ( config_rec : in t_addr_cmd_config_rec; control_word_addr : in std_logic_vector(3 downto 0); control_word_data : in std_logic_vector(3 downto 0) ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_retval := (others => program_rdimm_register(config_rec, control_word_addr, control_word_data)); return v_retval; end function; -- -------------------------------------------------- -- overloaded functions, to simplify use, or provide simplified functionality -- -------------------------------------------------- -- ---------------------------------------------------- -- Precharge all, defaulting all bits. -- ---------------------------------------------------- function precharge_all ( config_rec : in t_addr_cmd_config_rec; ranks : in natural range 0 to 2**c_max_ranks -1 ) return t_addr_cmd_vector is variable v_retval : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1) := defaults(config_rec); begin v_retval := precharge_all(config_rec, v_retval, ranks); return v_retval; end function; -- ---------------------------------------------------- -- perform DLL reset through mode registers -- ---------------------------------------------------- function dll_reset ( config_rec : in t_addr_cmd_config_rec; mode_reg_val : in std_logic_vector; rank_num : in natural range 0 to 2**c_max_ranks - 1; reorder_addr_bits : in boolean ) return t_addr_cmd_vector is variable int_mode_reg : std_logic_vector(mode_reg_val'range); variable output : t_addr_cmd_vector(0 to config_rec.cmds_per_clk - 1); begin int_mode_reg := mode_reg_val; int_mode_reg(8) := '1'; -- set DLL reset bit. output := load_mode(config_rec, 0, int_mode_reg, rank_num, reorder_addr_bits); return output; end function; -- ------------------------------------------------------------- -- package configuration functions -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- the following function sets up the odt settings -- NOTES: supports DDR/DDR2/DDR3 SDRAM memories -- ------------------------------------------------------------- function set_odt_values (ranks : natural; ranks_per_slot : natural; mem_type : in string ) return t_odt_array is variable v_num_slots : natural; variable v_cs : natural range 0 to ranks-1; variable v_odt_values : t_odt_array(0 to ranks-1); variable v_cs_addr : unsigned(ranks-1 downto 0); begin if mem_type = "DDR" then -- ODT not supported for DDR memory so set default off for v_cs in 0 to ranks-1 loop v_odt_values(v_cs).write := 0; v_odt_values(v_cs).read := 0; end loop; elsif mem_type = "DDR2" then -- odt setting as implemented in the altera high-performance controller for ddr2 memories assert (ranks rem ranks_per_slot = 0) report ac_report_prefix & "number of ranks per slot must be a multiple of number of ranks" severity failure; v_num_slots := ranks/ranks_per_slot; if v_num_slots = 1 then -- special condition for 1 slot (i.e. DIMM) (2^n, n=0,1,2,... ranks only) -- set odt on one chip for writes and no odt for reads for v_cs in 0 to ranks-1 loop v_odt_values(v_cs).write := 2**v_cs; -- on on the rank being written to v_odt_values(v_cs).read := 0; end loop; else -- if > 1 slot, set 1 odt enable on neighbouring slot for read and write -- as an example consider the below for 4 slots with 2 ranks per slot -- access to CS[0] or CS[1], enable ODT[2] or ODT[3] -- access to CS[2] or CS[3], enable ODT[0] or ODT[1] -- access to CS[4] or CS[5], enable ODT[6] or ODT[7] -- access to CS[6] or CS[7], enable ODT[4] or ODT[5] -- the logic below implements the above for varying ranks and ranks_per slot -- under the condition that ranks/ranks_per_slot is integer for v_cs in 0 to ranks-1 loop v_cs_addr := to_unsigned(v_cs, ranks); v_cs_addr(ranks_per_slot-1) := not v_cs_addr(ranks_per_slot-1); v_odt_values(v_cs).write := 2**to_integer(v_cs_addr); v_odt_values(v_cs).read := v_odt_values(v_cs).write; end loop; end if; elsif mem_type = "DDR3" then assert (ranks rem ranks_per_slot = 0) report ac_report_prefix & "number of ranks per slot must be a multiple of number of ranks" severity failure; v_num_slots := ranks/ranks_per_slot; if v_num_slots = 1 then -- special condition for 1 slot (i.e. DIMM) (2^n, n=0,1,2,... ranks only) -- set odt on one chip for writes and no odt for reads for v_cs in 0 to ranks-1 loop v_odt_values(v_cs).write := 2**v_cs; -- on on the rank being written to v_odt_values(v_cs).read := 0; end loop; else -- if > 1 slot, set 1 odt enable on neighbouring slot for read and write -- as an example consider the below for 4 slots with 2 ranks per slot -- access to CS[0] or CS[1], enable ODT[2] or ODT[3] -- access to CS[2] or CS[3], enable ODT[0] or ODT[1] -- access to CS[4] or CS[5], enable ODT[6] or ODT[7] -- access to CS[6] or CS[7], enable ODT[4] or ODT[5] -- the logic below implements the above for varying ranks and ranks_per slot -- under the condition that ranks/ranks_per_slot is integer for v_cs in 0 to ranks-1 loop v_cs_addr := to_unsigned(v_cs, ranks); v_cs_addr(ranks_per_slot-1) := not v_cs_addr(ranks_per_slot-1); v_odt_values(v_cs).write := 2**to_integer(v_cs_addr) + 2**(v_cs); -- turn on a neighbouring slots cs and current rank being written to v_odt_values(v_cs).read := 2**to_integer(v_cs_addr); end loop; end if; else report ac_report_prefix & "unknown mem_type specified in the set_odt_values function in addr_cmd_pkg package" severity failure; end if; return v_odt_values; end function; -- ----------------------------------------------------------- -- set constant values to config_rec -- ---------------------------------------------------------- function set_config_rec ( num_addr_bits : in natural; num_ba_bits : in natural; num_cs_bits : in natural; num_ranks : in natural; dwidth_ratio : in natural range 1 to c_max_cmds_per_clk; mem_type : in string ) return t_addr_cmd_config_rec is variable v_config_rec : t_addr_cmd_config_rec; begin v_config_rec.num_addr_bits := num_addr_bits; v_config_rec.num_ba_bits := num_ba_bits; v_config_rec.num_cs_bits := num_cs_bits; v_config_rec.num_ranks := num_ranks; v_config_rec.cmds_per_clk := dwidth_ratio/2; if mem_type = "DDR" then v_config_rec.mem_type := DDR; elsif mem_type = "DDR2" then v_config_rec.mem_type := DDR2; elsif mem_type = "DDR3" then v_config_rec.mem_type := DDR3; else report ac_report_prefix & "unknown mem_type specified in the set_config_rec function in addr_cmd_pkg package" severity failure; end if; return v_config_rec; end function; -- The non-levelled sequencer doesn't make a distinction between CS_WIDTH and NUM_RANKS. In this case, -- just set the two to be the same. function set_config_rec ( num_addr_bits : in natural; num_ba_bits : in natural; num_cs_bits : in natural; dwidth_ratio : in natural range 1 to c_max_cmds_per_clk; mem_type : in string ) return t_addr_cmd_config_rec is begin return set_config_rec(num_addr_bits, num_ba_bits, num_cs_bits, num_cs_bits, dwidth_ratio, mem_type); end function; -- ----------------------------------------------------------- -- unpack and pack address and command signals from and to t_addr_cmd_vector -- ----------------------------------------------------------- -- ------------------------------------------------------------- -- convert from t_addr_cmd_vector to expanded addr/cmd signals -- ------------------------------------------------------------- procedure unpack_addr_cmd_vector( addr_cmd_vector : in t_addr_cmd_vector; config_rec : in t_addr_cmd_config_rec; addr : out std_logic_vector; ba : out std_logic_vector; cas_n : out std_logic_vector; ras_n : out std_logic_vector; we_n : out std_logic_vector; cke : out std_logic_vector; cs_n : out std_logic_vector; odt : out std_logic_vector; rst_n : out std_logic_vector ) is variable v_mem_if_ranks : natural range 0 to 2**c_max_ranks - 1; variable v_vec_len : natural range 1 to 4; variable v_addr : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_addr_bits - 1 downto 0); variable v_ba : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ba_bits - 1 downto 0); variable v_odt : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ranks - 1 downto 0); variable v_cs_n : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_cs_bits - 1 downto 0); variable v_cke : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ranks - 1 downto 0); variable v_cas_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_ras_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_we_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_rst_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); begin v_vec_len := config_rec.cmds_per_clk; v_mem_if_ranks := config_rec.num_ranks; for v_i in 0 to v_vec_len-1 loop assert addr_cmd_vector(v_i).addr < 2**config_rec.num_addr_bits report ac_report_prefix & "value of addr exceeds range of number of address bits in unpack_addr_cmd_vector procedure" severity failure; assert addr_cmd_vector(v_i).ba < 2**config_rec.num_ba_bits report ac_report_prefix & "value of ba exceeds range of number of bank address bits in unpack_addr_cmd_vector procedure" severity failure; assert addr_cmd_vector(v_i).odt < 2**v_mem_if_ranks report ac_report_prefix & "value of odt exceeds range of number of ranks in unpack_addr_cmd_vector procedure" severity failure; assert addr_cmd_vector(v_i).cs_n < 2**config_rec.num_cs_bits report ac_report_prefix & "value of cs_n exceeds range of number of ranks in unpack_addr_cmd_vector procedure" severity failure; assert addr_cmd_vector(v_i).cke < 2**v_mem_if_ranks report ac_report_prefix & "value of cke exceeds range of number of ranks in unpack_addr_cmd_vector procedure" severity failure; v_addr((v_i+1)*config_rec.num_addr_bits - 1 downto v_i*config_rec.num_addr_bits) := std_logic_vector(to_unsigned(addr_cmd_vector(v_i).addr,config_rec.num_addr_bits)); v_ba((v_i+1)*config_rec.num_ba_bits - 1 downto v_i*config_rec.num_ba_bits) := std_logic_vector(to_unsigned(addr_cmd_vector(v_i).ba,config_rec.num_ba_bits)); v_cke((v_i+1)*v_mem_if_ranks - 1 downto v_i*v_mem_if_ranks) := std_logic_vector(to_unsigned(addr_cmd_vector(v_i).cke,v_mem_if_ranks)); v_cs_n((v_i+1)*config_rec.num_cs_bits - 1 downto v_i*config_rec.num_cs_bits) := std_logic_vector(to_unsigned(addr_cmd_vector(v_i).cs_n,config_rec.num_cs_bits)); v_odt((v_i+1)*v_mem_if_ranks - 1 downto v_i*v_mem_if_ranks) := std_logic_vector(to_unsigned(addr_cmd_vector(v_i).odt,v_mem_if_ranks)); if (addr_cmd_vector(v_i).cas_n) then v_cas_n(v_i) := '0'; else v_cas_n(v_i) := '1'; end if; if (addr_cmd_vector(v_i).ras_n) then v_ras_n(v_i) := '0'; else v_ras_n(v_i) := '1'; end if; if (addr_cmd_vector(v_i).we_n) then v_we_n(v_i) := '0'; else v_we_n(v_i) := '1'; end if; if (addr_cmd_vector(v_i).rst_n) then v_rst_n(v_i) := '0'; else v_rst_n(v_i) := '1'; end if; end loop; addr := v_addr; ba := v_ba; cke := v_cke; cs_n := v_cs_n; odt := v_odt; cas_n := v_cas_n; ras_n := v_ras_n; we_n := v_we_n; rst_n := v_rst_n; end procedure; procedure unpack_addr_cmd_vector( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal addr : out std_logic_vector; signal ba : out std_logic_vector; signal cas_n : out std_logic_vector; signal ras_n : out std_logic_vector; signal we_n : out std_logic_vector; signal cke : out std_logic_vector; signal cs_n : out std_logic_vector; signal odt : out std_logic_vector; signal rst_n : out std_logic_vector ) is variable v_mem_if_ranks : natural range 0 to 2**c_max_ranks - 1; variable v_vec_len : natural range 1 to 4; variable v_seq_ac_addr : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_addr_bits - 1 downto 0); variable v_seq_ac_ba : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ba_bits - 1 downto 0); variable v_seq_ac_cas_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_seq_ac_ras_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_seq_ac_we_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); variable v_seq_ac_cke : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ranks - 1 downto 0); variable v_seq_ac_cs_n : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_cs_bits - 1 downto 0); variable v_seq_ac_odt : std_logic_vector(config_rec.cmds_per_clk * config_rec.num_ranks - 1 downto 0); variable v_seq_ac_rst_n : std_logic_vector(config_rec.cmds_per_clk - 1 downto 0); begin unpack_addr_cmd_vector ( addr_cmd_vector, config_rec, v_seq_ac_addr, v_seq_ac_ba, v_seq_ac_cas_n, v_seq_ac_ras_n, v_seq_ac_we_n, v_seq_ac_cke, v_seq_ac_cs_n, v_seq_ac_odt, v_seq_ac_rst_n); addr <= v_seq_ac_addr; ba <= v_seq_ac_ba; cas_n <= v_seq_ac_cas_n; ras_n <= v_seq_ac_ras_n; we_n <= v_seq_ac_we_n; cke <= v_seq_ac_cke; cs_n <= v_seq_ac_cs_n; odt <= v_seq_ac_odt; rst_n <= v_seq_ac_rst_n; end procedure; -- ----------------------------------------------------------- -- function to mask each bit of signal signal_name in addr_cmd_ -- ----------------------------------------------------------- -- ----------------------------------------------------------- -- function to mask each bit of signal signal_name in addr_cmd_vector with mask_value -- ----------------------------------------------------------- function mask ( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic ) return t_addr_cmd_vector is variable v_i : integer; variable v_addr_cmd_vector : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_addr_cmd_vector := addr_cmd_vector; for v_i in 0 to (config_rec.cmds_per_clk)-1 loop case signal_name is when addr => if (mask_value = '0') then v_addr_cmd_vector(v_i).addr := 0; else v_addr_cmd_vector(v_i).addr := (2 ** config_rec.num_addr_bits) - 1; end if; when ba => if (mask_value = '0') then v_addr_cmd_vector(v_i).ba := 0; else v_addr_cmd_vector(v_i).ba := (2 ** config_rec.num_ba_bits) - 1; end if; when cas_n => if (mask_value = '0') then v_addr_cmd_vector(v_i).cas_n := true; else v_addr_cmd_vector(v_i).cas_n := false; end if; when ras_n => if (mask_value = '0') then v_addr_cmd_vector(v_i).ras_n := true; else v_addr_cmd_vector(v_i).ras_n := false; end if; when we_n => if (mask_value = '0') then v_addr_cmd_vector(v_i).we_n := true; else v_addr_cmd_vector(v_i).we_n := false; end if; when cke => if (mask_value = '0') then v_addr_cmd_vector(v_i).cke := 0; else v_addr_cmd_vector(v_i).cke := (2**config_rec.num_ranks) -1; end if; when cs_n => if (mask_value = '0') then v_addr_cmd_vector(v_i).cs_n := 0; else v_addr_cmd_vector(v_i).cs_n := (2**config_rec.num_cs_bits) -1; end if; when odt => if (mask_value = '0') then v_addr_cmd_vector(v_i).odt := 0; else v_addr_cmd_vector(v_i).odt := (2**config_rec.num_ranks) -1; end if; when rst_n => if (mask_value = '0') then v_addr_cmd_vector(v_i).rst_n := true; else v_addr_cmd_vector(v_i).rst_n := false; end if; when others => report ac_report_prefix & "bit masking not supported for the given signal name" severity failure; end case; end loop; return v_addr_cmd_vector; end function; -- ----------------------------------------------------------- -- procedure to mask each bit of signal signal_name in addr_cmd_vector with mask_value -- ----------------------------------------------------------- procedure mask( config_rec : in t_addr_cmd_config_rec; signal addr_cmd_vector : inout t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic ) is variable v_i : integer; begin for v_i in 0 to (config_rec.cmds_per_clk)-1 loop case signal_name is when addr => if (mask_value = '0') then addr_cmd_vector(v_i).addr <= 0; else addr_cmd_vector(v_i).addr <= (2 ** config_rec.num_addr_bits) - 1; end if; when ba => if (mask_value = '0') then addr_cmd_vector(v_i).ba <= 0; else addr_cmd_vector(v_i).ba <= (2 ** config_rec.num_ba_bits) - 1; end if; when cas_n => if (mask_value = '0') then addr_cmd_vector(v_i).cas_n <= true; else addr_cmd_vector(v_i).cas_n <= false; end if; when ras_n => if (mask_value = '0') then addr_cmd_vector(v_i).ras_n <= true; else addr_cmd_vector(v_i).ras_n <= false; end if; when we_n => if (mask_value = '0') then addr_cmd_vector(v_i).we_n <= true; else addr_cmd_vector(v_i).we_n <= false; end if; when cke => if (mask_value = '0') then addr_cmd_vector(v_i).cke <= 0; else addr_cmd_vector(v_i).cke <= (2**config_rec.num_ranks) -1; end if; when cs_n => if (mask_value = '0') then addr_cmd_vector(v_i).cs_n <= 0; else addr_cmd_vector(v_i).cs_n <= (2**config_rec.num_cs_bits) -1; end if; when odt => if (mask_value = '0') then addr_cmd_vector(v_i).odt <= 0; else addr_cmd_vector(v_i).odt <= (2**config_rec.num_ranks) -1; end if; when rst_n => if (mask_value = '0') then addr_cmd_vector(v_i).rst_n <= true; else addr_cmd_vector(v_i).rst_n <= false; end if; when others => report ac_report_prefix & "masking not supported for the given signal name" severity failure; end case; end loop; end procedure; -- ----------------------------------------------------------- -- function to mask a given bit (mask_bit) of signal signal_name in addr_cmd_vector with mask_value -- ----------------------------------------------------------- function mask ( config_rec : in t_addr_cmd_config_rec; addr_cmd_vector : in t_addr_cmd_vector; signal_name : in t_addr_cmd_signals; mask_value : in std_logic; mask_bit : in natural ) return t_addr_cmd_vector is variable v_i : integer; variable v_addr : std_logic_vector(config_rec.num_addr_bits-1 downto 0); -- v_addr is bit vector of address variable v_ba : std_logic_vector(config_rec.num_ba_bits-1 downto 0); -- v_addr is bit vector of bank address variable v_vec_len : natural range 0 to 4; variable v_addr_cmd_vector : t_addr_cmd_vector(0 to config_rec.cmds_per_clk -1); begin v_addr_cmd_vector := addr_cmd_vector; v_vec_len := config_rec.cmds_per_clk; for v_i in 0 to v_vec_len-1 loop case signal_name is when addr => v_addr := std_logic_vector(to_unsigned(v_addr_cmd_vector(v_i).addr,v_addr'length)); v_addr(mask_bit) := mask_value; v_addr_cmd_vector(v_i).addr := to_integer(unsigned(v_addr)); when ba => v_ba := std_logic_vector(to_unsigned(v_addr_cmd_vector(v_i).ba,v_ba'length)); v_ba(mask_bit) := mask_value; v_addr_cmd_vector(v_i).ba := to_integer(unsigned(v_ba)); when others => report ac_report_prefix & "bit masking not supported for the given signal name" severity failure; end case; end loop; return v_addr_cmd_vector; end function; -- end nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg; -- -- ----------------------------------------------------------------------------- -- Abstract : iram addressing package for the non-levelling AFI PHY sequencer -- The iram address package (alt_mem_phy_iram_addr_pkg) is -- used to define the base addresses used for iram writes -- during calibration. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- package nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg IS constant c_ihi_size : natural := 8; type t_base_hdr_addresses is record base_hdr : natural; rrp : natural; safe_dummy : natural; required_addr_bits : natural; end record; function defaults return t_base_hdr_addresses; function rrp_pll_phase_mult (dwidth_ratio : in natural; dqs_capture : in natural ) return natural; function iram_wd_for_full_rrp ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; dqs_capture : in natural ) return natural; function iram_wd_for_one_pin_rrp ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; dqs_capture : in natural ) return natural; function calc_iram_addresses ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; num_ranks : in natural; dqs_capture : in natural ) return t_base_hdr_addresses; -- end nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg; -- package body nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg IS -- set some safe default values function defaults return t_base_hdr_addresses is variable temp : t_base_hdr_addresses; begin temp.base_hdr := 0; temp.rrp := 0; temp.safe_dummy := 0; temp.required_addr_bits := 1; return temp; end function; -- this function determines now many times the PLL phases are swept through per pin -- i.e. an n * 360 degree phase sweep function rrp_pll_phase_mult (dwidth_ratio : in natural; dqs_capture : in natural ) return natural is variable v_output : natural; begin if dwidth_ratio = 2 and dqs_capture = 1 then v_output := 2; -- if dqs_capture then a 720 degree sweep needed in FR else v_output := (dwidth_ratio/2); end if; return v_output; end function; -- function to calculate how many words are required for a rrp sweep over all pins function iram_wd_for_full_rrp ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; dqs_capture : in natural ) return natural is variable v_output : natural; variable v_phase_mul : natural; begin -- determine the n * 360 degrees of sweep required v_phase_mul := rrp_pll_phase_mult(dwidth_ratio, dqs_capture); -- calculate output size v_output := dq_pins * (((v_phase_mul * pll_phases) + 31) / 32); return v_output; end function; -- function to calculate how many words are required for a rrp sweep over all pins function iram_wd_for_one_pin_rrp ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; dqs_capture : in natural ) return natural is variable v_output : natural; variable v_phase_mul : natural; begin -- determine the n * 360 degrees of sweep required v_phase_mul := rrp_pll_phase_mult(dwidth_ratio, dqs_capture); -- calculate output size v_output := ((v_phase_mul * pll_phases) + 31) / 32; return v_output; end function; -- return iram addresses function calc_iram_addresses ( dwidth_ratio : in natural; pll_phases : in natural; dq_pins : in natural; num_ranks : in natural; dqs_capture : in natural ) return t_base_hdr_addresses is variable working : t_base_hdr_addresses; variable temp : natural; variable v_required_words : natural; begin working.base_hdr := 0; working.rrp := working.base_hdr + c_ihi_size; -- work out required number of address bits -- + for 1 full rrp calibration v_required_words := iram_wd_for_full_rrp(dwidth_ratio, pll_phases, dq_pins, dqs_capture) + 2; -- +2 for header + footer -- * loop per cs v_required_words := v_required_words * num_ranks; -- + for 1 rrp_seek result v_required_words := v_required_words + 3; -- 1 header, 1 word result, 1 footer -- + 2 mtp_almt passes v_required_words := v_required_words + 2 * (iram_wd_for_one_pin_rrp(dwidth_ratio, pll_phases, dq_pins, dqs_capture) + 2); -- + for 2 read_mtp result calculation v_required_words := v_required_words + 3*2; -- 1 header, 1 word result, 1 footer -- * possible dwidth_ratio/2 iterations for different ac_nt settings v_required_words := v_required_words * (dwidth_ratio / 2); working.safe_dummy := working.rrp + v_required_words; temp := working.safe_dummy; working.required_addr_bits := 0; while (temp >= 1) loop working.required_addr_bits := working.required_addr_bits + 1; temp := temp /2; end loop; return working; end function calc_iram_addresses; -- END nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg; -- -- ----------------------------------------------------------------------------- -- Abstract : register package for the non-levelling AFI PHY sequencer -- The registers package (alt_mem_phy_regs_pkg) is used to -- combine the definition of the registers for the mmi status -- registers and functions/procedures applied to the registers -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- package nios_altmemddr_0_phy_alt_mem_phy_regs_pkg is -- a prefix for all report signals to identify phy and sequencer block -- constant regs_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (register package) : "; -- --------------------------------------------------------------- -- register declarations with associated functions of: -- default - assign default values -- write - write data into the reg (from avalon i/f) -- read - read data from the reg (sent to the avalon i/f) -- write_clear - clear reg to all zeros -- --------------------------------------------------------------- -- TYPE DECLARATIONS -- >>>>>>>>>>>>>>>>>>>>>>>> -- Read Only Registers -- >>>>>>>>>>>>>>>>>>>>>>>> -- cal_status type t_cal_status is record iram_addr_width : std_logic_vector(3 downto 0); out_of_mem : std_logic; contested_access : std_logic; cal_fail : std_logic; cal_success : std_logic; ctrl_err_code : std_logic_vector(7 downto 0); trefi_failure : std_logic; int_ac_1t : std_logic; dqs_capture : std_logic; iram_present : std_logic; active_block : std_logic_vector(3 downto 0); current_stage : std_logic_vector(7 downto 0); end record; -- codvw status type t_codvw_status is record cal_codvw_phase : std_logic_vector(7 downto 0); cal_codvw_size : std_logic_vector(7 downto 0); codvw_trk_shift : std_logic_vector(11 downto 0); codvw_grt_one_dvw : std_logic; end record t_codvw_status; -- test status report type t_test_status is record ack_seen : std_logic_vector(c_hl_ccs_num_stages-1 downto 0); pll_mmi_err : std_logic_vector(1 downto 0); pll_busy : std_logic; end record; -- define all the read only registers : type t_ro_regs is record cal_status : t_cal_status; codvw_status : t_codvw_status; test_status : t_test_status; end record; -- >>>>>>>>>>>>>>>>>>>>>>>> -- Read / Write Registers -- >>>>>>>>>>>>>>>>>>>>>>>> -- Calibration control register type t_hl_css is record hl_css : std_logic_vector(c_hl_ccs_num_stages-1 downto 0); cal_start : std_logic; end record t_hl_css; -- Mode register A type t_mr_register_a is record mr0 : std_logic_vector(c_max_mode_reg_index -1 downto 0); mr1 : std_logic_vector(c_max_mode_reg_index -1 downto 0); end record t_mr_register_a; -- Mode register B type t_mr_register_b is record mr2 : std_logic_vector(c_max_mode_reg_index -1 downto 0); mr3 : std_logic_vector(c_max_mode_reg_index -1 downto 0); end record t_mr_register_b; -- algorithm parameterisation register type t_parameterisation_reg_a is record nominal_poa_phase_lead : std_logic_vector(3 downto 0); maximum_poa_delay : std_logic_vector(3 downto 0); num_phases_per_tck_pll : std_logic_vector(3 downto 0); pll_360_sweeps : std_logic_vector(3 downto 0); nominal_dqs_delay : std_logic_vector(2 downto 0); extend_octrt_by : std_logic_vector(3 downto 0); delay_octrt_by : std_logic_vector(3 downto 0); end record; -- test signal register type t_if_test_reg is record pll_phs_shft_phase_sel : natural range 0 to 15; pll_phs_shft_up_wc : std_logic; pll_phs_shft_dn_wc : std_logic; ac_1t_toggle : std_logic; -- unused tracking_period_ms : std_logic_vector(7 downto 0); -- 0 = as fast as possible approx in ms tracking_units_are_10us : std_logic; end record; -- define all the read/write registers type t_rw_regs is record mr_reg_a : t_mr_register_a; mr_reg_b : t_mr_register_b; rw_hl_css : t_hl_css; rw_param_reg : t_parameterisation_reg_a; rw_if_test : t_if_test_reg; end record; -- >>>>>>>>>>>>>>>>>>>>>>> -- Group all registers -- >>>>>>>>>>>>>>>>>>>>>>> type t_mmi_regs is record rw_regs : t_rw_regs; ro_regs : t_ro_regs; enable_writes : std_logic; end record; -- FUNCTION DECLARATIONS -- >>>>>>>>>>>>>>>>>>>>>>>> -- Read Only Registers -- >>>>>>>>>>>>>>>>>>>>>>>> -- cal_status function defaults return t_cal_status; function defaults ( ctrl_mmi : in t_ctrl_mmi; USE_IRAM : in std_logic; dqs_capture : in natural; int_ac_1t : in std_logic; trefi_failure : in std_logic; iram_status : in t_iram_stat; IRAM_AWIDTH : in natural ) return t_cal_status; function read (reg : t_cal_status) return std_logic_vector; -- codvw status function defaults return t_codvw_status; function defaults ( dgrb_mmi : t_dgrb_mmi ) return t_codvw_status; function read (reg : in t_codvw_status) return std_logic_vector; -- test status report function defaults return t_test_status; function defaults ( ctrl_mmi : in t_ctrl_mmi; pll_mmi : in t_pll_mmi; rw_if_test : t_if_test_reg ) return t_test_status; function read (reg : t_test_status) return std_logic_vector; -- define all the read only registers function defaults return t_ro_regs; function defaults (dgrb_mmi : t_dgrb_mmi; ctrl_mmi : t_ctrl_mmi; pll_mmi : t_pll_mmi; rw_if_test : t_if_test_reg; USE_IRAM : std_logic; dqs_capture : natural; int_ac_1t : std_logic; trefi_failure : std_logic; iram_status : t_iram_stat; IRAM_AWIDTH : natural ) return t_ro_regs; -- >>>>>>>>>>>>>>>>>>>>>>>> -- Read / Write Registers -- >>>>>>>>>>>>>>>>>>>>>>>> -- Calibration control register -- high level calibration stage set register comprises a bit vector for -- the calibration stage coding and the 1 control bit. function defaults return t_hl_css; function write (wdata_in : std_logic_vector(31 downto 0)) return t_hl_css; function read (reg : in t_hl_css) return std_logic_vector; procedure write_clear (signal reg : inout t_hl_css); -- Mode register A -- mode registers 0 and 1 (mr and emr1) function defaults return t_mr_register_a; function defaults ( mr0 : in std_logic_vector; mr1 : in std_logic_vector ) return t_mr_register_a; function write (wdata_in : std_logic_vector(31 downto 0)) return t_mr_register_a; function read (reg : in t_mr_register_a) return std_logic_vector; -- Mode register B -- mode registers 2 and 3 (emr2 and emr3) - not present in ddr DRAM function defaults return t_mr_register_b; function defaults ( mr2 : in std_logic_vector; mr3 : in std_logic_vector ) return t_mr_register_b; function write (wdata_in : std_logic_vector(31 downto 0)) return t_mr_register_b; function read (reg : in t_mr_register_b) return std_logic_vector; -- algorithm parameterisation register function defaults return t_parameterisation_reg_a; function defaults ( NOM_DQS_PHASE_SETTING : in natural; PLL_STEPS_PER_CYCLE : in natural; pll_360_sweeps : in natural ) return t_parameterisation_reg_a; function read ( reg : in t_parameterisation_reg_a) return std_logic_vector; function write (wdata_in : std_logic_vector(31 downto 0)) return t_parameterisation_reg_a; -- test signal register function defaults return t_if_test_reg; function defaults ( TRACKING_INTERVAL_IN_MS : in natural ) return t_if_test_reg; function read ( reg : in t_if_test_reg) return std_logic_vector; function write (wdata_in : std_logic_vector(31 downto 0)) return t_if_test_reg; procedure write_clear (signal reg : inout t_if_test_reg); -- define all the read/write registers function defaults return t_rw_regs; function defaults( mr0 : in std_logic_vector; mr1 : in std_logic_vector; mr2 : in std_logic_vector; mr3 : in std_logic_vector; NOM_DQS_PHASE_SETTING : in natural; PLL_STEPS_PER_CYCLE : in natural; pll_360_sweeps : in natural; TRACKING_INTERVAL_IN_MS : in natural; C_HL_STAGE_ENABLE : in std_logic_vector(c_hl_ccs_num_stages-1 downto 0) )return t_rw_regs; procedure write_clear (signal regs : inout t_rw_regs); -- >>>>>>>>>>>>>>>>>>>>>>> -- Group all registers -- >>>>>>>>>>>>>>>>>>>>>>> function defaults return t_mmi_regs; function v_read (mmi_regs : in t_mmi_regs; address : in natural ) return std_logic_vector; function read (signal mmi_regs : in t_mmi_regs; address : in natural ) return std_logic_vector; procedure write (mmi_regs : inout t_mmi_regs; address : in natural; wdata : in std_logic_vector(31 downto 0)); -- >>>>>>>>>>>>>>>>>>>>>>> -- functions to communicate register settings to other sequencer blocks -- >>>>>>>>>>>>>>>>>>>>>>> function pack_record (ip_regs : t_rw_regs) return t_mmi_pll_reconfig; function pack_record (ip_regs : t_rw_regs) return t_admin_ctrl; function pack_record (ip_regs : t_rw_regs) return t_mmi_ctrl; function pack_record ( ip_regs : t_rw_regs) return t_algm_paramaterisation; -- >>>>>>>>>>>>>>>>>>>>>>> -- helper functions -- >>>>>>>>>>>>>>>>>>>>>>> function to_t_hl_css_reg (hl_css : t_hl_css ) return t_hl_css_reg; function pack_ack_seen ( cal_stage_ack_seen : in t_cal_stage_ack_seen ) return std_logic_vector; -- encoding of stage and active block for register setting function encode_current_stage (ctrl_cmd_id : t_ctrl_cmd_id) return std_logic_vector; function encode_active_block (active_block : t_ctrl_active_block) return std_logic_vector; -- end nios_altmemddr_0_phy_alt_mem_phy_regs_pkg; -- package body nios_altmemddr_0_phy_alt_mem_phy_regs_pkg is -- >>>>>>>>>>>>>>>>>>>> -- Read Only Registers -- >>>>>>>>>>>>>>>>>>> -- --------------------------------------------------------------- -- CODVW status report -- --------------------------------------------------------------- function defaults return t_codvw_status is variable temp: t_codvw_status; begin temp.cal_codvw_phase := (others => '0'); temp.cal_codvw_size := (others => '0'); temp.codvw_trk_shift := (others => '0'); temp.codvw_grt_one_dvw := '0'; return temp; end function; function defaults ( dgrb_mmi : t_dgrb_mmi ) return t_codvw_status is variable temp: t_codvw_status; begin temp := defaults; temp.cal_codvw_phase := dgrb_mmi.cal_codvw_phase; temp.cal_codvw_size := dgrb_mmi.cal_codvw_size; temp.codvw_trk_shift := dgrb_mmi.codvw_trk_shift; temp.codvw_grt_one_dvw := dgrb_mmi.codvw_grt_one_dvw; return temp; end function; function read (reg : in t_codvw_status) return std_logic_vector is variable temp : std_logic_vector(31 downto 0); begin temp := (others => '0'); temp(31 downto 24) := reg.cal_codvw_phase; temp(23 downto 16) := reg.cal_codvw_size; temp(15 downto 4) := reg.codvw_trk_shift; temp(0) := reg.codvw_grt_one_dvw; return temp; end function; -- --------------------------------------------------------------- -- Calibration status report -- --------------------------------------------------------------- function defaults return t_cal_status is variable temp: t_cal_status; begin temp.iram_addr_width := (others => '0'); temp.out_of_mem := '0'; temp.contested_access := '0'; temp.cal_fail := '0'; temp.cal_success := '0'; temp.ctrl_err_code := (others => '0'); temp.trefi_failure := '0'; temp.int_ac_1t := '0'; temp.dqs_capture := '0'; temp.iram_present := '0'; temp.active_block := (others => '0'); temp.current_stage := (others => '0'); return temp; end function; function defaults ( ctrl_mmi : in t_ctrl_mmi; USE_IRAM : in std_logic; dqs_capture : in natural; int_ac_1t : in std_logic; trefi_failure : in std_logic; iram_status : in t_iram_stat; IRAM_AWIDTH : in natural ) return t_cal_status is variable temp : t_cal_status; begin temp := defaults; temp.iram_addr_width := std_logic_vector(to_unsigned(IRAM_AWIDTH, temp.iram_addr_width'length)); temp.out_of_mem := iram_status.out_of_mem; temp.contested_access := iram_status.contested_access; temp.cal_fail := ctrl_mmi.ctrl_calibration_fail; temp.cal_success := ctrl_mmi.ctrl_calibration_success; temp.ctrl_err_code := ctrl_mmi.ctrl_err_code; temp.trefi_failure := trefi_failure; temp.int_ac_1t := int_ac_1t; if dqs_capture = 1 then temp.dqs_capture := '1'; elsif dqs_capture = 0 then temp.dqs_capture := '0'; else report regs_report_prefix & " invalid value for dqs_capture constant of " & integer'image(dqs_capture) severity failure; end if; temp.iram_present := USE_IRAM; temp.active_block := encode_active_block(ctrl_mmi.ctrl_current_active_block); temp.current_stage := encode_current_stage(ctrl_mmi.ctrl_current_stage); return temp; end function; -- read for mmi status register function read ( reg : t_cal_status ) return std_logic_vector is variable output : std_logic_vector(31 downto 0); begin output := (others => '0'); output( 7 downto 0) := reg.current_stage; output(11 downto 8) := reg.active_block; output(12) := reg.iram_present; output(13) := reg.dqs_capture; output(14) := reg.int_ac_1t; output(15) := reg.trefi_failure; output(23 downto 16) := reg.ctrl_err_code; output(24) := reg.cal_success; output(25) := reg.cal_fail; output(26) := reg.contested_access; output(27) := reg.out_of_mem; output(31 downto 28) := reg.iram_addr_width; return output; end function; -- --------------------------------------------------------------- -- Test status report -- --------------------------------------------------------------- function defaults return t_test_status is variable temp: t_test_status; begin temp.ack_seen := (others => '0'); temp.pll_mmi_err := (others => '0'); temp.pll_busy := '0'; return temp; end function; function defaults ( ctrl_mmi : in t_ctrl_mmi; pll_mmi : in t_pll_mmi; rw_if_test : t_if_test_reg ) return t_test_status is variable temp : t_test_status; begin temp := defaults; temp.ack_seen := pack_ack_seen(ctrl_mmi.ctrl_cal_stage_ack_seen); temp.pll_mmi_err := pll_mmi.err; temp.pll_busy := pll_mmi.pll_busy or rw_if_test.pll_phs_shft_up_wc or rw_if_test.pll_phs_shft_dn_wc; return temp; end function; -- read for mmi status register function read ( reg : t_test_status ) return std_logic_vector is variable output : std_logic_vector(31 downto 0); begin output := (others => '0'); output(31 downto 32-c_hl_ccs_num_stages) := reg.ack_seen; output( 5 downto 4) := reg.pll_mmi_err; output(0) := reg.pll_busy; return output; end function; ------------------------------------------------- -- FOR ALL RO REGS: ------------------------------------------------- function defaults return t_ro_regs is variable temp: t_ro_regs; begin temp.cal_status := defaults; temp.codvw_status := defaults; return temp; end function; function defaults (dgrb_mmi : t_dgrb_mmi; ctrl_mmi : t_ctrl_mmi; pll_mmi : t_pll_mmi; rw_if_test : t_if_test_reg; USE_IRAM : std_logic; dqs_capture : natural; int_ac_1t : std_logic; trefi_failure : std_logic; iram_status : t_iram_stat; IRAM_AWIDTH : natural ) return t_ro_regs is variable output : t_ro_regs; begin output := defaults; output.cal_status := defaults(ctrl_mmi, USE_IRAM, dqs_capture, int_ac_1t, trefi_failure, iram_status, IRAM_AWIDTH); output.codvw_status := defaults(dgrb_mmi); output.test_status := defaults(ctrl_mmi, pll_mmi, rw_if_test); return output; end function; -- >>>>>>>>>>>>>>>>>>>>>>>> -- Read / Write registers -- >>>>>>>>>>>>>>>>>>>>>>>> -- --------------------------------------------------------------- -- mode register set A -- --------------------------------------------------------------- function defaults return t_mr_register_a is variable temp :t_mr_register_a; begin temp.mr0 := (others => '0'); temp.mr1 := (others => '0'); return temp; end function; -- apply default mode register settings to register function defaults ( mr0 : in std_logic_vector; mr1 : in std_logic_vector ) return t_mr_register_a is variable temp :t_mr_register_a; begin temp := defaults; temp.mr0 := mr0(temp.mr0'range); temp.mr1 := mr1(temp.mr1'range); return temp; end function; function write (wdata_in : std_logic_vector(31 downto 0)) return t_mr_register_a is variable temp :t_mr_register_a; begin temp.mr0 := wdata_in(c_max_mode_reg_index -1 downto 0); temp.mr1 := wdata_in(c_max_mode_reg_index -1 + 16 downto 16); return temp; end function; function read (reg : in t_mr_register_a) return std_logic_vector is variable temp : std_logic_vector(31 downto 0) := (others => '0'); begin temp(c_max_mode_reg_index -1 downto 0) := reg.mr0; temp(c_max_mode_reg_index -1 + 16 downto 16) := reg.mr1; return temp; end function; -- --------------------------------------------------------------- -- mode register set B -- --------------------------------------------------------------- function defaults return t_mr_register_b is variable temp :t_mr_register_b; begin temp.mr2 := (others => '0'); temp.mr3 := (others => '0'); return temp; end function; -- apply default mode register settings to register function defaults ( mr2 : in std_logic_vector; mr3 : in std_logic_vector ) return t_mr_register_b is variable temp :t_mr_register_b; begin temp := defaults; temp.mr2 := mr2(temp.mr2'range); temp.mr3 := mr3(temp.mr3'range); return temp; end function; function write (wdata_in : std_logic_vector(31 downto 0)) return t_mr_register_b is variable temp :t_mr_register_b; begin temp.mr2 := wdata_in(c_max_mode_reg_index -1 downto 0); temp.mr3 := wdata_in(c_max_mode_reg_index -1 + 16 downto 16); return temp; end function; function read (reg : in t_mr_register_b) return std_logic_vector is variable temp : std_logic_vector(31 downto 0) := (others => '0'); begin temp(c_max_mode_reg_index -1 downto 0) := reg.mr2; temp(c_max_mode_reg_index -1 + 16 downto 16) := reg.mr3; return temp; end function; -- --------------------------------------------------------------- -- HL CSS (high level calibration state status) -- --------------------------------------------------------------- function defaults return t_hl_css is variable temp : t_hl_css; begin temp.hl_css := (others => '0'); temp.cal_start := '0'; return temp; end function; function defaults ( C_HL_STAGE_ENABLE : in std_logic_vector(c_hl_ccs_num_stages-1 downto 0) ) return t_hl_css is variable temp: t_hl_css; begin temp := defaults; temp.hl_css := temp.hl_css OR C_HL_STAGE_ENABLE; return temp; end function; function read ( reg : in t_hl_css) return std_logic_vector is variable temp : std_logic_vector (31 downto 0) := (others => '0'); begin temp(30 downto 30-c_hl_ccs_num_stages+1) := reg.hl_css; temp(0) := reg.cal_start; return temp; end function; function write (wdata_in : std_logic_vector(31 downto 0) )return t_hl_css is variable reg : t_hl_css; begin reg.hl_css := wdata_in(30 downto 30-c_hl_ccs_num_stages+1); reg.cal_start := wdata_in(0); return reg; end function; procedure write_clear (signal reg : inout t_hl_css) is begin reg.cal_start <= '0'; end procedure; -- --------------------------------------------------------------- -- paramaterisation of sequencer through Avalon interface -- --------------------------------------------------------------- function defaults return t_parameterisation_reg_a is variable temp : t_parameterisation_reg_a; begin temp.nominal_poa_phase_lead := (others => '0'); temp.maximum_poa_delay := (others => '0'); temp.pll_360_sweeps := "0000"; temp.num_phases_per_tck_pll := "0011"; temp.nominal_dqs_delay := (others => '0'); temp.extend_octrt_by := "0100"; temp.delay_octrt_by := "0000"; return temp; end function; -- reset the paramterisation reg to given values function defaults ( NOM_DQS_PHASE_SETTING : in natural; PLL_STEPS_PER_CYCLE : in natural; pll_360_sweeps : in natural ) return t_parameterisation_reg_a is variable temp: t_parameterisation_reg_a; begin temp := defaults; temp.num_phases_per_tck_pll := std_logic_vector(to_unsigned(PLL_STEPS_PER_CYCLE /8 , temp.num_phases_per_tck_pll'high + 1 )); temp.pll_360_sweeps := std_logic_vector(to_unsigned(pll_360_sweeps , temp.pll_360_sweeps'high + 1 )); temp.nominal_dqs_delay := std_logic_vector(to_unsigned(NOM_DQS_PHASE_SETTING , temp.nominal_dqs_delay'high + 1 )); temp.extend_octrt_by := std_logic_vector(to_unsigned(5 , temp.extend_octrt_by'high + 1 )); temp.delay_octrt_by := std_logic_vector(to_unsigned(6 , temp.delay_octrt_by'high + 1 )); return temp; end function; function read ( reg : in t_parameterisation_reg_a) return std_logic_vector is variable temp : std_logic_vector (31 downto 0) := (others => '0'); begin temp( 3 downto 0) := reg.pll_360_sweeps; temp( 7 downto 4) := reg.num_phases_per_tck_pll; temp(10 downto 8) := reg.nominal_dqs_delay; temp(19 downto 16) := reg.nominal_poa_phase_lead; temp(23 downto 20) := reg.maximum_poa_delay; temp(27 downto 24) := reg.extend_octrt_by; temp(31 downto 28) := reg.delay_octrt_by; return temp; end function; function write (wdata_in : std_logic_vector(31 downto 0)) return t_parameterisation_reg_a is variable reg : t_parameterisation_reg_a; begin reg.pll_360_sweeps := wdata_in( 3 downto 0); reg.num_phases_per_tck_pll := wdata_in( 7 downto 4); reg.nominal_dqs_delay := wdata_in(10 downto 8); reg.nominal_poa_phase_lead := wdata_in(19 downto 16); reg.maximum_poa_delay := wdata_in(23 downto 20); reg.extend_octrt_by := wdata_in(27 downto 24); reg.delay_octrt_by := wdata_in(31 downto 28); return reg; end function; -- --------------------------------------------------------------- -- t_if_test_reg - additional test support register -- --------------------------------------------------------------- function defaults return t_if_test_reg is variable temp : t_if_test_reg; begin temp.pll_phs_shft_phase_sel := 0; temp.pll_phs_shft_up_wc := '0'; temp.pll_phs_shft_dn_wc := '0'; temp.ac_1t_toggle := '0'; temp.tracking_period_ms := "10000000"; -- 127 ms interval temp.tracking_units_are_10us := '0'; return temp; end function; -- reset the paramterisation reg to given values function defaults ( TRACKING_INTERVAL_IN_MS : in natural ) return t_if_test_reg is variable temp: t_if_test_reg; begin temp := defaults; temp.tracking_period_ms := std_logic_vector(to_unsigned(TRACKING_INTERVAL_IN_MS, temp.tracking_period_ms'length)); return temp; end function; function read ( reg : in t_if_test_reg) return std_logic_vector is variable temp : std_logic_vector (31 downto 0) := (others => '0'); begin temp( 3 downto 0) := std_logic_vector(to_unsigned(reg.pll_phs_shft_phase_sel,4)); temp(4) := reg.pll_phs_shft_up_wc; temp(5) := reg.pll_phs_shft_dn_wc; temp(16) := reg.ac_1t_toggle; temp(15 downto 8) := reg.tracking_period_ms; temp(20) := reg.tracking_units_are_10us; return temp; end function; function write (wdata_in : std_logic_vector(31 downto 0)) return t_if_test_reg is variable reg : t_if_test_reg; begin reg.pll_phs_shft_phase_sel := to_integer(unsigned(wdata_in( 3 downto 0))); reg.pll_phs_shft_up_wc := wdata_in(4); reg.pll_phs_shft_dn_wc := wdata_in(5); reg.ac_1t_toggle := wdata_in(16); reg.tracking_period_ms := wdata_in(15 downto 8); reg.tracking_units_are_10us := wdata_in(20); return reg; end function; procedure write_clear (signal reg : inout t_if_test_reg) is begin reg.ac_1t_toggle <= '0'; reg.pll_phs_shft_up_wc <= '0'; reg.pll_phs_shft_dn_wc <= '0'; end procedure; -- --------------------------------------------------------------- -- RW Regs, record of read/write register records (to simplify handling) -- --------------------------------------------------------------- function defaults return t_rw_regs is variable temp : t_rw_regs; begin temp.mr_reg_a := defaults; temp.mr_reg_b := defaults; temp.rw_hl_css := defaults; temp.rw_param_reg := defaults; temp.rw_if_test := defaults; return temp; end function; function defaults( mr0 : in std_logic_vector; mr1 : in std_logic_vector; mr2 : in std_logic_vector; mr3 : in std_logic_vector; NOM_DQS_PHASE_SETTING : in natural; PLL_STEPS_PER_CYCLE : in natural; pll_360_sweeps : in natural; TRACKING_INTERVAL_IN_MS : in natural; C_HL_STAGE_ENABLE : in std_logic_vector(c_hl_ccs_num_stages-1 downto 0) )return t_rw_regs is variable temp : t_rw_regs; begin temp := defaults; temp.mr_reg_a := defaults(mr0, mr1); temp.mr_reg_b := defaults(mr2, mr3); temp.rw_param_reg := defaults(NOM_DQS_PHASE_SETTING, PLL_STEPS_PER_CYCLE, pll_360_sweeps); temp.rw_if_test := defaults(TRACKING_INTERVAL_IN_MS); temp.rw_hl_css := defaults(C_HL_STAGE_ENABLE); return temp; end function; procedure write_clear (signal regs : inout t_rw_regs) is begin write_clear(regs.rw_if_test); write_clear(regs.rw_hl_css); end procedure; -- >>>>>>>>>>>>>>>>>>>>>>>>>> -- All mmi registers: -- >>>>>>>>>>>>>>>>>>>>>>>>>> function defaults return t_mmi_regs is variable v_mmi_regs : t_mmi_regs; begin v_mmi_regs.rw_regs := defaults; v_mmi_regs.ro_regs := defaults; v_mmi_regs.enable_writes := '0'; return v_mmi_regs; end function; function v_read (mmi_regs : in t_mmi_regs; address : in natural ) return std_logic_vector is variable output : std_logic_vector(31 downto 0); begin output := (others => '0'); case address is -- status register when c_regofst_cal_status => output := read (mmi_regs.ro_regs.cal_status); -- debug access register when c_regofst_debug_access => if (mmi_regs.enable_writes = '1') then output := c_mmi_access_codeword; else output := (others => '0'); end if; -- test i/f to check which stages have acknowledged a command and pll checks when c_regofst_test_status => output := read(mmi_regs.ro_regs.test_status); -- mode registers when c_regofst_mr_register_a => output := read(mmi_regs.rw_regs.mr_reg_a); when c_regofst_mr_register_b => output := read(mmi_regs.rw_regs.mr_reg_b); -- codvw r/o status register when c_regofst_codvw_status => output := read(mmi_regs.ro_regs.codvw_status); -- read/write registers when c_regofst_hl_css => output := read(mmi_regs.rw_regs.rw_hl_css); when c_regofst_if_param => output := read(mmi_regs.rw_regs.rw_param_reg); when c_regofst_if_test => output := read(mmi_regs.rw_regs.rw_if_test); when others => report regs_report_prefix & "MMI registers detected an attempt to read to non-existant register location" severity warning; -- set illegal addr interrupt. end case; return output; end function; function read (signal mmi_regs : in t_mmi_regs; address : in natural ) return std_logic_vector is variable output : std_logic_vector(31 downto 0); variable v_mmi_regs : t_mmi_regs; begin v_mmi_regs := mmi_regs; output := v_read(v_mmi_regs, address); return output; end function; procedure write (mmi_regs : inout t_mmi_regs; address : in natural; wdata : in std_logic_vector(31 downto 0)) is begin -- intercept writes to codeword. This needs to be set for iRAM access : if address = c_regofst_debug_access then if wdata = c_mmi_access_codeword then mmi_regs.enable_writes := '1'; else mmi_regs.enable_writes := '0'; end if; else case address is -- read only registers when c_regofst_cal_status | c_regofst_codvw_status | c_regofst_test_status => report regs_report_prefix & "MMI registers detected an attempt to write to read only register number" & integer'image(address) severity failure; -- read/write registers when c_regofst_mr_register_a => mmi_regs.rw_regs.mr_reg_a := write(wdata); when c_regofst_mr_register_b => mmi_regs.rw_regs.mr_reg_b := write(wdata); when c_regofst_hl_css => mmi_regs.rw_regs.rw_hl_css := write(wdata); when c_regofst_if_param => mmi_regs.rw_regs.rw_param_reg := write(wdata); when c_regofst_if_test => mmi_regs.rw_regs.rw_if_test := write(wdata); when others => -- set illegal addr interrupt. report regs_report_prefix & "MMI registers detected an attempt to write to non existant register, with expected number" & integer'image(address) severity failure; end case; end if; end procedure; -- >>>>>>>>>>>>>>>>>>>>>>>>>> -- the following functions enable register data to be communicated to other sequencer blocks -- >>>>>>>>>>>>>>>>>>>>>>>>>> function pack_record ( ip_regs : t_rw_regs ) return t_algm_paramaterisation is variable output : t_algm_paramaterisation; begin -- default assignments output.num_phases_per_tck_pll := 16; output.pll_360_sweeps := 1; output.nominal_dqs_delay := 2; output.nominal_poa_phase_lead := 1; output.maximum_poa_delay := 5; output.odt_enabled := false; output.num_phases_per_tck_pll := to_integer(unsigned(ip_regs.rw_param_reg.num_phases_per_tck_pll)) * 8; case ip_regs.rw_param_reg.nominal_dqs_delay is when "010" => output.nominal_dqs_delay := 2; when "001" => output.nominal_dqs_delay := 1; when "000" => output.nominal_dqs_delay := 0; when "011" => output.nominal_dqs_delay := 3; when others => report regs_report_prefix & "there is a unsupported number of DQS taps (" & natural'image(to_integer(unsigned(ip_regs.rw_param_reg.nominal_dqs_delay))) & ") being advertised as the standard value" severity error; end case; case ip_regs.rw_param_reg.nominal_poa_phase_lead is when "0001" => output.nominal_poa_phase_lead := 1; when "0010" => output.nominal_poa_phase_lead := 2; when "0011" => output.nominal_poa_phase_lead := 3; when "0000" => output.nominal_poa_phase_lead := 0; when others => report regs_report_prefix & "there is an unsupported nominal postamble phase lead paramater set (" & natural'image(to_integer(unsigned(ip_regs.rw_param_reg.nominal_poa_phase_lead))) & ")" severity error; end case; if ( (ip_regs.mr_reg_a.mr1(2) = '1') or (ip_regs.mr_reg_a.mr1(6) = '1') or (ip_regs.mr_reg_a.mr1(9) = '1') ) then output.odt_enabled := true; end if; output.pll_360_sweeps := to_integer(unsigned(ip_regs.rw_param_reg.pll_360_sweeps)); output.maximum_poa_delay := to_integer(unsigned(ip_regs.rw_param_reg.maximum_poa_delay)); output.extend_octrt_by := to_integer(unsigned(ip_regs.rw_param_reg.extend_octrt_by)); output.delay_octrt_by := to_integer(unsigned(ip_regs.rw_param_reg.delay_octrt_by)); output.tracking_period_ms := to_integer(unsigned(ip_regs.rw_if_test.tracking_period_ms)); return output; end function; function pack_record (ip_regs : t_rw_regs) return t_mmi_pll_reconfig is variable output : t_mmi_pll_reconfig; begin output.pll_phs_shft_phase_sel := ip_regs.rw_if_test.pll_phs_shft_phase_sel; output.pll_phs_shft_up_wc := ip_regs.rw_if_test.pll_phs_shft_up_wc; output.pll_phs_shft_dn_wc := ip_regs.rw_if_test.pll_phs_shft_dn_wc; return output; end function; function pack_record (ip_regs : t_rw_regs) return t_admin_ctrl is variable output : t_admin_ctrl := defaults; begin output.mr0 := ip_regs.mr_reg_a.mr0; output.mr1 := ip_regs.mr_reg_a.mr1; output.mr2 := ip_regs.mr_reg_b.mr2; output.mr3 := ip_regs.mr_reg_b.mr3; return output; end function; function pack_record (ip_regs : t_rw_regs) return t_mmi_ctrl is variable output : t_mmi_ctrl := defaults; begin output.hl_css := to_t_hl_css_reg (ip_regs.rw_hl_css); output.calibration_start := ip_regs.rw_hl_css.cal_start; output.tracking_period_ms := to_integer(unsigned(ip_regs.rw_if_test.tracking_period_ms)); output.tracking_orvd_to_10ms := ip_regs.rw_if_test.tracking_units_are_10us; return output; end function; -- >>>>>>>>>>>>>>>>>>>>>>>>>> -- Helper functions : -- >>>>>>>>>>>>>>>>>>>>>>>>>> function to_t_hl_css_reg (hl_css : t_hl_css ) return t_hl_css_reg is variable output : t_hl_css_reg := defaults; begin output.phy_initialise_dis := hl_css.hl_css(c_hl_css_reg_phy_initialise_dis_bit); output.init_dram_dis := hl_css.hl_css(c_hl_css_reg_init_dram_dis_bit); output.write_ihi_dis := hl_css.hl_css(c_hl_css_reg_write_ihi_dis_bit); output.cal_dis := hl_css.hl_css(c_hl_css_reg_cal_dis_bit); output.write_btp_dis := hl_css.hl_css(c_hl_css_reg_write_btp_dis_bit); output.write_mtp_dis := hl_css.hl_css(c_hl_css_reg_write_mtp_dis_bit); output.read_mtp_dis := hl_css.hl_css(c_hl_css_reg_read_mtp_dis_bit); output.rrp_reset_dis := hl_css.hl_css(c_hl_css_reg_rrp_reset_dis_bit); output.rrp_sweep_dis := hl_css.hl_css(c_hl_css_reg_rrp_sweep_dis_bit); output.rrp_seek_dis := hl_css.hl_css(c_hl_css_reg_rrp_seek_dis_bit); output.rdv_dis := hl_css.hl_css(c_hl_css_reg_rdv_dis_bit); output.poa_dis := hl_css.hl_css(c_hl_css_reg_poa_dis_bit); output.was_dis := hl_css.hl_css(c_hl_css_reg_was_dis_bit); output.adv_rd_lat_dis := hl_css.hl_css(c_hl_css_reg_adv_rd_lat_dis_bit); output.adv_wr_lat_dis := hl_css.hl_css(c_hl_css_reg_adv_wr_lat_dis_bit); output.prep_customer_mr_setup_dis := hl_css.hl_css(c_hl_css_reg_prep_customer_mr_setup_dis_bit); output.tracking_dis := hl_css.hl_css(c_hl_css_reg_tracking_dis_bit); return output; end function; -- pack the ack seen record element into a std_logic_vector function pack_ack_seen ( cal_stage_ack_seen : in t_cal_stage_ack_seen ) return std_logic_vector is variable v_output: std_logic_vector(c_hl_ccs_num_stages-1 downto 0); variable v_start : natural range 0 to c_hl_ccs_num_stages-1; begin v_output := (others => '0'); v_output(c_hl_css_reg_cal_dis_bit ) := cal_stage_ack_seen.cal; v_output(c_hl_css_reg_phy_initialise_dis_bit ) := cal_stage_ack_seen.phy_initialise; v_output(c_hl_css_reg_init_dram_dis_bit ) := cal_stage_ack_seen.init_dram; v_output(c_hl_css_reg_write_ihi_dis_bit ) := cal_stage_ack_seen.write_ihi; v_output(c_hl_css_reg_write_btp_dis_bit ) := cal_stage_ack_seen.write_btp; v_output(c_hl_css_reg_write_mtp_dis_bit ) := cal_stage_ack_seen.write_mtp; v_output(c_hl_css_reg_read_mtp_dis_bit ) := cal_stage_ack_seen.read_mtp; v_output(c_hl_css_reg_rrp_reset_dis_bit ) := cal_stage_ack_seen.rrp_reset; v_output(c_hl_css_reg_rrp_sweep_dis_bit ) := cal_stage_ack_seen.rrp_sweep; v_output(c_hl_css_reg_rrp_seek_dis_bit ) := cal_stage_ack_seen.rrp_seek; v_output(c_hl_css_reg_rdv_dis_bit ) := cal_stage_ack_seen.rdv; v_output(c_hl_css_reg_poa_dis_bit ) := cal_stage_ack_seen.poa; v_output(c_hl_css_reg_was_dis_bit ) := cal_stage_ack_seen.was; v_output(c_hl_css_reg_adv_rd_lat_dis_bit ) := cal_stage_ack_seen.adv_rd_lat; v_output(c_hl_css_reg_adv_wr_lat_dis_bit ) := cal_stage_ack_seen.adv_wr_lat; v_output(c_hl_css_reg_prep_customer_mr_setup_dis_bit) := cal_stage_ack_seen.prep_customer_mr_setup; v_output(c_hl_css_reg_tracking_dis_bit ) := cal_stage_ack_seen.tracking_setup; return v_output; end function; -- reg encoding of current stage function encode_current_stage (ctrl_cmd_id : t_ctrl_cmd_id ) return std_logic_vector is variable output : std_logic_vector(7 downto 0); begin case ctrl_cmd_id is when cmd_idle => output := X"00"; when cmd_phy_initialise => output := X"01"; when cmd_init_dram | cmd_prog_cal_mr => output := X"02"; when cmd_write_ihi => output := X"03"; when cmd_write_btp => output := X"04"; when cmd_write_mtp => output := X"05"; when cmd_read_mtp => output := X"06"; when cmd_rrp_reset => output := X"07"; when cmd_rrp_sweep => output := X"08"; when cmd_rrp_seek => output := X"09"; when cmd_rdv => output := X"0A"; when cmd_poa => output := X"0B"; when cmd_was => output := X"0C"; when cmd_prep_adv_rd_lat => output := X"0D"; when cmd_prep_adv_wr_lat => output := X"0E"; when cmd_prep_customer_mr_setup => output := X"0F"; when cmd_tr_due => output := X"10"; when others => null; report regs_report_prefix & "unknown cal command (" & t_ctrl_cmd_id'image(ctrl_cmd_id) & ") seen in encode_current_stage function" severity failure; end case; return output; end function; -- reg encoding of current active block function encode_active_block (active_block : t_ctrl_active_block ) return std_logic_vector is variable output : std_logic_vector(3 downto 0); begin case active_block is when idle => output := X"0"; when admin => output := X"1"; when dgwb => output := X"2"; when dgrb => output := X"3"; when proc => output := X"4"; when setup => output := X"5"; when iram => output := X"6"; when others => output := X"7"; report regs_report_prefix & "unknown active_block seen in encode_active_block function" severity failure; end case; return output; end function; -- end nios_altmemddr_0_phy_alt_mem_phy_regs_pkg; -- -- ----------------------------------------------------------------------------- -- Abstract : mmi block for the non-levelling AFI PHY sequencer -- This is an optional block with an Avalon interface and status -- register instantiations to enhance the debug capabilities of -- the sequencer. The format of the block is: -- a) an Avalon interface which supports different avalon and -- sequencer clock sources -- b) mmi status registers (which hold information about the -- successof the calibration) -- c) a read interface to the iram to enable debug through the -- avalon interface. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_mmi is generic ( -- physical interface width definitions MEM_IF_DQS_WIDTH : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; MEM_IF_DQS_CAPTURE : natural; DWIDTH_RATIO : natural; CLOCK_INDEX_WIDTH : natural; MEM_IF_CLK_PAIR_COUNT : natural; MEM_IF_ADDR_WIDTH : natural; MEM_IF_BANKADDR_WIDTH : natural; MEM_IF_NUM_RANKS : natural; ADV_LAT_WIDTH : natural; RESYNCHRONISE_AVALON_DBG : natural; AV_IF_ADDR_WIDTH : natural; MEM_IF_MEMTYPE : string; -- setup / algorithm information NOM_DQS_PHASE_SETTING : natural; SCAN_CLK_DIVIDE_BY : natural; RDP_ADDR_WIDTH : natural; PLL_STEPS_PER_CYCLE : natural; IOE_PHASES_PER_TCK : natural; IOE_DELAYS_PER_PHS : natural; MEM_IF_CLK_PS : natural; -- initial mode register settings PHY_DEF_MR_1ST : std_logic_vector(15 downto 0); PHY_DEF_MR_2ND : std_logic_vector(15 downto 0); PHY_DEF_MR_3RD : std_logic_vector(15 downto 0); PHY_DEF_MR_4TH : std_logic_vector(15 downto 0); PRESET_RLAT : natural; -- read latency preset value CAPABILITIES : natural; -- sequencer capabilities flags USE_IRAM : std_logic; -- RFU IRAM_AWIDTH : natural; TRACKING_INTERVAL_IN_MS : natural; READ_LAT_WIDTH : natural ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; --synchronous Avalon debug interface (internally re-synchronised to input clock) dbg_seq_clk : in std_logic; dbg_seq_rst_n : in std_logic; dbg_seq_addr : in std_logic_vector(AV_IF_ADDR_WIDTH -1 downto 0); dbg_seq_wr : in std_logic; dbg_seq_rd : in std_logic; dbg_seq_cs : in std_logic; dbg_seq_wr_data : in std_logic_vector(31 downto 0); seq_dbg_rd_data : out std_logic_vector(31 downto 0); seq_dbg_waitrequest : out std_logic; -- mmi to admin interface regs_admin_ctrl : out t_admin_ctrl; admin_regs_status : in t_admin_stat; trefi_failure : in std_logic; -- mmi to iram interface mmi_iram : out t_iram_ctrl; mmi_iram_enable_writes : out std_logic; iram_status : in t_iram_stat; -- mmi to control interface mmi_ctrl : out t_mmi_ctrl; ctrl_mmi : in t_ctrl_mmi; int_ac_1t : in std_logic; invert_ac_1t : out std_logic; -- global parameterisation record parameterisation_rec : out t_algm_paramaterisation; -- mmi pll interface pll_mmi : in t_pll_mmi; mmi_pll : out t_mmi_pll_reconfig; -- codvw status signals dgrb_mmi : in t_dgrb_mmi ); end entity; library work; -- The registers package (alt_mem_phy_regs_pkg) is used to combine the definition of the -- registers for the mmi status registers and functions/procedures applied to the registers -- use work.nios_altmemddr_0_phy_alt_mem_phy_regs_pkg.all; -- The iram address package (alt_mem_phy_iram_addr_pkg) is used to define the base addresses used -- for iram writes during calibration -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg.all; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_mmi IS -- maximum function function max (a, b : natural) return natural is begin if a > b then return a; else return b; end if; end function; -- ------------------------------------------- -- constant definitions -- ------------------------------------------- constant c_pll_360_sweeps : natural := rrp_pll_phase_mult(DWIDTH_RATIO, MEM_IF_DQS_CAPTURE); constant c_response_lat : natural := 6; constant c_codeword : std_logic_vector(31 downto 0) := c_mmi_access_codeword; constant c_int_iram_start_size : natural := max(IRAM_AWIDTH, 4); -- enable for ctrl state machine states constant c_slv_hl_stage_enable : std_logic_vector(31 downto 0) := std_logic_vector(to_unsigned(CAPABILITIES, 32)); constant c_hl_stage_enable : std_logic_vector(c_hl_ccs_num_stages-1 downto 0) := c_slv_hl_stage_enable(c_hl_ccs_num_stages-1 downto 0); -- a prefix for all report signals to identify phy and sequencer block -- constant mmi_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (mmi) : "; -- -------------------------------------------- -- internal signals -- -------------------------------------------- -- internal clock domain register interface signals signal int_wdata : std_logic_vector(31 downto 0); signal int_rdata : std_logic_vector(31 downto 0); signal int_address : std_logic_vector(AV_IF_ADDR_WIDTH-1 downto 0); signal int_read : std_logic; signal int_cs : std_logic; signal int_write : std_logic; signal waitreq_int : std_logic; -- register storage -- contains: -- read only (ro_regs) -- read/write (rw_regs) -- enable_writes flag signal mmi_regs : t_mmi_regs := defaults; signal mmi_rw_regs_initialised : std_logic; -- this counter ensures that the mmi waits for c_response_lat clocks before -- responding to a new Avalon request signal waitreq_count : natural range 0 to 15; signal waitreq_count_is_zero : std_logic; -- register error signals signal int_ac_1t_r : std_logic; signal trefi_failure_r : std_logic; -- iram ready - calibration complete and USE_IRAM high signal iram_ready : std_logic; begin -- architecture struct -- the following signals are reserved for future use invert_ac_1t <= '0'; -- -------------------------------------------------------------- -- generate for synchronous avalon interface -- -------------------------------------------------------------- simply_registered_avalon : if RESYNCHRONISE_AVALON_DBG = 0 generate begin process (rst_n, clk) begin if rst_n = '0' then int_wdata <= (others => '0'); int_address <= (others => '0'); int_read <= '0'; int_write <= '0'; int_cs <= '0'; elsif rising_edge(clk) then int_wdata <= dbg_seq_wr_data; int_address <= dbg_seq_addr; int_read <= dbg_seq_rd; int_write <= dbg_seq_wr; int_cs <= dbg_seq_cs; end if; end process; seq_dbg_rd_data <= int_rdata; seq_dbg_waitrequest <= waitreq_int and (dbg_seq_rd or dbg_seq_wr) and dbg_seq_cs; end generate simply_registered_avalon; -- -------------------------------------------------------------- -- clock domain crossing for asynchronous mmi interface -- -------------------------------------------------------------- re_synchronise_avalon : if RESYNCHRONISE_AVALON_DBG = 1 generate --clock domain crossing signals signal ccd_new_cmd : std_logic; signal ccd_new_cmd_ack : std_logic; signal ccd_cmd_done : std_logic; signal ccd_cmd_done_ack : std_logic; signal ccd_rd_data : std_logic_vector(dbg_seq_wr_data'range); signal ccd_cmd_done_ack_t : std_logic; signal ccd_cmd_done_ack_2t : std_logic; signal ccd_cmd_done_ack_3t : std_logic; signal ccd_cmd_done_t : std_logic; signal ccd_cmd_done_2t : std_logic; signal ccd_cmd_done_3t : std_logic; signal ccd_new_cmd_t : std_logic; signal ccd_new_cmd_2t : std_logic; signal ccd_new_cmd_3t : std_logic; signal ccd_new_cmd_ack_t : std_logic; signal ccd_new_cmd_ack_2t : std_logic; signal ccd_new_cmd_ack_3t : std_logic; signal cmd_pending : std_logic; signal seq_clk_waitreq_int : std_logic; begin process (rst_n, clk) begin if rst_n = '0' then int_wdata <= (others => '0'); int_address <= (others => '0'); int_read <= '0'; int_write <= '0'; int_cs <= '0'; ccd_new_cmd_ack <= '0'; ccd_new_cmd_t <= '0'; ccd_new_cmd_2t <= '0'; ccd_new_cmd_3t <= '0'; elsif rising_edge(clk) then ccd_new_cmd_t <= ccd_new_cmd; ccd_new_cmd_2t <= ccd_new_cmd_t; ccd_new_cmd_3t <= ccd_new_cmd_2t; if ccd_new_cmd_3t = '0' and ccd_new_cmd_2t = '1' then int_wdata <= dbg_seq_wr_data; int_address <= dbg_seq_addr; int_read <= dbg_seq_rd; int_write <= dbg_seq_wr; int_cs <= '1'; ccd_new_cmd_ack <= '1'; elsif ccd_new_cmd_3t = '1' and ccd_new_cmd_2t = '0' then ccd_new_cmd_ack <= '0'; end if; if int_cs = '1' and waitreq_int= '0' then int_cs <= '0'; int_read <= '0'; int_write <= '0'; end if; end if; end process; -- process to generate new cmd process (dbg_seq_rst_n, dbg_seq_clk) begin if dbg_seq_rst_n = '0' then ccd_new_cmd <= '0'; ccd_new_cmd_ack_t <= '0'; ccd_new_cmd_ack_2t <= '0'; ccd_new_cmd_ack_3t <= '0'; cmd_pending <= '0'; elsif rising_edge(dbg_seq_clk) then ccd_new_cmd_ack_t <= ccd_new_cmd_ack; ccd_new_cmd_ack_2t <= ccd_new_cmd_ack_t; ccd_new_cmd_ack_3t <= ccd_new_cmd_ack_2t; if ccd_new_cmd = '0' and dbg_seq_cs = '1' and cmd_pending = '0' then ccd_new_cmd <= '1'; cmd_pending <= '1'; elsif ccd_new_cmd_ack_2t = '1' and ccd_new_cmd_ack_3t = '0' then ccd_new_cmd <= '0'; end if; -- use falling edge of cmd_done if cmd_pending = '1' and ccd_cmd_done_2t = '0' and ccd_cmd_done_3t = '1' then cmd_pending <= '0'; end if; end if; end process; -- process to take read data back and transfer it across the clock domains process (rst_n, clk) begin if rst_n = '0' then ccd_cmd_done <= '0'; ccd_rd_data <= (others => '0'); ccd_cmd_done_ack_3t <= '0'; ccd_cmd_done_ack_2t <= '0'; ccd_cmd_done_ack_t <= '0'; elsif rising_edge(clk) then if ccd_cmd_done_ack_2t = '1' and ccd_cmd_done_ack_3t = '0' then ccd_cmd_done <= '0'; elsif waitreq_int = '0' then ccd_cmd_done <= '1'; ccd_rd_data <= int_rdata; end if; ccd_cmd_done_ack_3t <= ccd_cmd_done_ack_2t; ccd_cmd_done_ack_2t <= ccd_cmd_done_ack_t; ccd_cmd_done_ack_t <= ccd_cmd_done_ack; end if; end process; process (dbg_seq_rst_n, dbg_seq_clk) begin if dbg_seq_rst_n = '0' then ccd_cmd_done_ack <= '0'; ccd_cmd_done_3t <= '0'; ccd_cmd_done_2t <= '0'; ccd_cmd_done_t <= '0'; seq_dbg_rd_data <= (others => '0'); seq_clk_waitreq_int <= '1'; elsif rising_edge(dbg_seq_clk) then seq_clk_waitreq_int <= '1'; if ccd_cmd_done_2t = '1' and ccd_cmd_done_3t = '0' then seq_clk_waitreq_int <= '0'; ccd_cmd_done_ack <= '1'; seq_dbg_rd_data <= ccd_rd_data; -- if read elsif ccd_cmd_done_2t = '0' and ccd_cmd_done_3t = '1' then ccd_cmd_done_ack <= '0'; end if; ccd_cmd_done_3t <= ccd_cmd_done_2t; ccd_cmd_done_2t <= ccd_cmd_done_t; ccd_cmd_done_t <= ccd_cmd_done; end if; end process; seq_dbg_waitrequest <= seq_clk_waitreq_int and (dbg_seq_rd or dbg_seq_wr) and dbg_seq_cs; end generate re_synchronise_avalon; -- register some inputs for speed. process (rst_n, clk) begin if rst_n = '0' then int_ac_1t_r <= '0'; trefi_failure_r <= '0'; elsif rising_edge(clk) then int_ac_1t_r <= int_ac_1t; trefi_failure_r <= trefi_failure; end if; end process; -- mmi not able to write to iram in current instance of mmi block mmi_iram_enable_writes <= '0'; -- check if iram ready process (rst_n, clk) begin if rst_n = '0' then iram_ready <= '0'; elsif rising_edge(clk) then if USE_IRAM = '0' then iram_ready <= '0'; else if ctrl_mmi.ctrl_calibration_success = '1' or ctrl_mmi.ctrl_calibration_fail = '1' then iram_ready <= '1'; else iram_ready <= '0'; end if; end if; end if; end process; -- -------------------------------------------------------------- -- single registered process for mmi access. -- -------------------------------------------------------------- process (rst_n, clk) variable v_mmi_regs : t_mmi_regs; begin if rst_n = '0' then mmi_regs <= defaults; mmi_rw_regs_initialised <= '0'; -- this register records whether the c_codeword has been written to address 0x0001 -- once it has, then other writes are accepted. mmi_regs.enable_writes <= '0'; int_rdata <= (others => '0'); waitreq_int <= '1'; -- clear wait request counter waitreq_count <= 0; waitreq_count_is_zero <= '1'; -- iram interface defaults mmi_iram <= defaults; elsif rising_edge(clk) then -- default assignment waitreq_int <= '1'; write_clear(mmi_regs.rw_regs); -- only initialise rw_regs once after hard reset if mmi_rw_regs_initialised = '0' then mmi_rw_regs_initialised <= '1'; --reset all read/write regs and read path ouput registers and apply default MRS Settings. mmi_regs.rw_regs <= defaults(PHY_DEF_MR_1ST, PHY_DEF_MR_2ND, PHY_DEF_MR_3RD, PHY_DEF_MR_4TH, NOM_DQS_PHASE_SETTING, PLL_STEPS_PER_CYCLE, c_pll_360_sweeps, -- number of times 360 degrees is swept TRACKING_INTERVAL_IN_MS, c_hl_stage_enable); end if; -- bit packing input data structures into the ro_regs structure, for reading mmi_regs.ro_regs <= defaults(dgrb_mmi, ctrl_mmi, pll_mmi, mmi_regs.rw_regs.rw_if_test, USE_IRAM, MEM_IF_DQS_CAPTURE, int_ac_1t_r, trefi_failure_r, iram_status, IRAM_AWIDTH); -- write has priority over read if int_write = '1' and int_cs = '1' and waitreq_count_is_zero = '1' and waitreq_int = '1' then -- mmi local register write if to_integer(unsigned(int_address(int_address'high downto 4))) = 0 then v_mmi_regs := mmi_regs; write(v_mmi_regs, to_integer(unsigned(int_address(3 downto 0))), int_wdata); if mmi_regs.enable_writes = '1' then v_mmi_regs.rw_regs.rw_hl_css.hl_css := c_hl_stage_enable or v_mmi_regs.rw_regs.rw_hl_css.hl_css; end if; mmi_regs <= v_mmi_regs; -- handshake for safe transactions waitreq_int <= '0'; waitreq_count <= c_response_lat; -- iram write just handshake back (no write supported) else waitreq_int <= '0'; waitreq_count <= c_response_lat; end if; elsif int_read = '1' and int_cs = '1' and waitreq_count_is_zero = '1' and waitreq_int = '1' then -- mmi local register read if to_integer(unsigned(int_address(int_address'high downto 4))) = 0 then int_rdata <= read(mmi_regs, to_integer(unsigned(int_address(3 downto 0)))); waitreq_count <= c_response_lat; waitreq_int <= '0'; -- acknowledge read command regardless. -- iram being addressed elsif to_integer(unsigned(int_address(int_address'high downto c_int_iram_start_size))) = 1 and iram_ready = '1' then mmi_iram.read <= '1'; mmi_iram.addr <= to_integer(unsigned(int_address(IRAM_AWIDTH -1 downto 0))); if iram_status.done = '1' then waitreq_int <= '0'; mmi_iram.read <= '0'; waitreq_count <= c_response_lat; int_rdata <= iram_status.rdata; end if; else -- respond and keep the interface from hanging int_rdata <= x"DEADBEEF"; waitreq_int <= '0'; waitreq_count <= c_response_lat; end if; elsif waitreq_count /= 0 then waitreq_count <= waitreq_count -1; -- if performing a write, set back to defaults. If not, default anyway mmi_iram <= defaults; end if; if waitreq_count = 1 or waitreq_count = 0 then waitreq_count_is_zero <= '1'; -- as it will be next clock cycle else waitreq_count_is_zero <= '0'; end if; -- supply iram read data when ready if iram_status.done = '1' then int_rdata <= iram_status.rdata; end if; end if; end process; -- pack the registers into the output data structures regs_admin_ctrl <= pack_record(mmi_regs.rw_regs); parameterisation_rec <= pack_record(mmi_regs.rw_regs); mmi_pll <= pack_record(mmi_regs.rw_regs); mmi_ctrl <= pack_record(mmi_regs.rw_regs); end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : admin block for the non-levelling AFI PHY sequencer -- The admin block supports the autonomy of the sequencer from -- the memory interface controller. In this task admin handles -- memory initialisation (incl. the setting of mode registers) -- and memory refresh, bank activation and pre-charge commands -- (during memory interface calibration). Once calibration is -- complete admin is 'idle' and control of the memory device is -- passed to the users chosen memory interface controller. The -- supported memory types are exclusively DDR, DDR2 and DDR3. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The address and command package (alt_mem_phy_addr_cmd_pkg) is used to combine DRAM address -- and command signals in one record and unify the functions operating on this record. -- use work.nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_admin is generic ( -- physical interface width definitions MEM_IF_DQS_WIDTH : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; DWIDTH_RATIO : natural; CLOCK_INDEX_WIDTH : natural; MEM_IF_CLK_PAIR_COUNT : natural; MEM_IF_ADDR_WIDTH : natural; MEM_IF_BANKADDR_WIDTH : natural; MEM_IF_NUM_RANKS : natural; ADV_LAT_WIDTH : natural; MEM_IF_DQSN_EN : natural; MEM_IF_MEMTYPE : string; -- calibration address information MEM_IF_CAL_BANK : natural; -- Bank to which calibration data is written MEM_IF_CAL_BASE_ROW : natural; GENERATE_ADDITIONAL_DBG_RTL : natural; NON_OP_EVAL_MD : string; -- non_operational evaluation mode (used when GENERATE_ADDITIONAL_DBG_RTL = 1) -- timing parameters MEM_IF_CLK_PS : natural; TINIT_TCK : natural; -- initial delay TINIT_RST : natural -- used for DDR3 device support ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; -- the 2 signals below are unused for non-levelled sequencer (maintained for equivalent interface to levelled sequencer) mem_ac_swapped_ranks : in std_logic_vector(MEM_IF_NUM_RANKS - 1 downto 0); ctl_cal_byte_lanes : in std_logic_vector(MEM_IF_NUM_RANKS * MEM_IF_DQS_WIDTH - 1 downto 0); -- addr/cmd interface seq_ac : out t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); seq_ac_sel : out std_logic; -- determined from MR settings enable_odt : out std_logic; -- interface to the mmi block regs_admin_ctrl_rec : in t_admin_ctrl; admin_regs_status_rec : out t_admin_stat; trefi_failure : out std_logic; -- interface to the ctrl block ctrl_admin : in t_ctrl_command; admin_ctrl : out t_ctrl_stat; -- interface with dgrb/dgwb blocks ac_access_req : in std_logic; ac_access_gnt : out std_logic; -- calibration status signals (from ctrl block) cal_fail : in std_logic; cal_success : in std_logic; -- recalibrate request issued ctl_recalibrate_req : in std_logic ); end entity; library work; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_admin is constant c_max_mode_reg_index : natural := 12; -- timing below is safe for range 80-400MHz operation - taken from worst case DDR2 (JEDEC JESD79-2E) / DDR3 (JESD79-3B) -- Note: timings account for worst case use for both full rate and half rate ALTMEMPHY interfaces constant c_init_prech_delay : natural := 162; -- precharge delay (360ns = tRFC+10ns) (TXPR for DDR3) constant c_trp_in_clks : natural := 8; -- set equal to trp / tck (trp = 15ns) constant c_tmrd_in_clks : natural := 4; -- maximum 4 clock cycles (DDR3) constant c_tmod_in_clks : natural := 8; -- ODT update from MRS command (tmod = 12ns (DDR2)) constant c_trrd_min_in_clks : natural := 4; -- minimum clk cycles between bank activate cmds (10ns) constant c_trcd_min_in_clks : natural := 8; -- minimum bank activate to read/write cmd (15ns) -- the 2 constants below are parameterised to MEM_IF_CLK_PS due to the large range of possible clock frequency constant c_trfc_min_in_clks : natural := (350000/MEM_IF_CLK_PS)/(DWIDTH_RATIO/2) + 2; -- refresh-refresh timing (worst case trfc = 350 ns (DDR3)) constant c_trefi_min_in_clks : natural := (3900000/MEM_IF_CLK_PS)/(DWIDTH_RATIO/2) - 2; -- average refresh interval worst case trefi = 3.9 us (industrial grade devices) constant c_max_num_stacked_refreshes : natural := 8; -- max no. of stacked refreshes allowed constant c_max_wait_value : natural := 4; -- delay before moving from s_idle to s_refresh_state -- DDR3 specific: constant c_zq_init_duration_clks : natural := 514; -- full rate (worst case) cycle count for tZQCL init constant c_tzqcs : natural := 66; -- number of full rate clock cycles -- below is a record which is used to parameterise the address and command signals (addr_cmd) used in this block constant c_seq_addr_cmd_config : t_addr_cmd_config_rec := set_config_rec(MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS, DWIDTH_RATIO, MEM_IF_MEMTYPE); -- a prefix for all report signals to identify phy and sequencer block -- constant admin_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (admin) : "; -- state type for admin_state (main state machine of admin block) type t_admin_state is ( s_reset, -- reset state s_run_init_seq, -- run the initialisation sequence (up to but not including MR setting) s_program_cal_mrs, -- program the mode registers ready for calibration (this is the user settings -- with some overloads and extra init functionality) s_idle, -- idle (i.e. maintaining refresh to max) s_topup_refresh, -- make sure refreshes are maxed out before going on. s_topup_refresh_done, -- wait for tRFC after refresh command s_zq_cal_short, -- ZQCAL short command (issued prior to activate) - DDR3 only s_access_act, -- activate s_access, -- dgrb, dgwb accesses, s_access_precharge, -- precharge all memory banks s_prog_user_mrs, -- program user mode register settings s_dummy_wait, -- wait before going to s_refresh state s_refresh, -- issue a memory refresh command s_refresh_done, -- wait for trfc after refresh command s_non_operational -- special debug state to toggle interface if calibration fails ); signal state : t_admin_state; -- admin block state machine -- state type for ac_state type t_ac_state is ( s_0 , s_1 , s_2 , s_3 , s_4 , s_5 , s_6 , s_7 , s_8 , s_9 , s_10, s_11, s_12, s_13, s_14); -- enforce one-hot fsm encoding attribute syn_encoding : string; attribute syn_encoding of t_ac_state : TYPE is "one-hot"; signal ac_state : t_ac_state; -- state machine for sub-states of t_admin_state states signal stage_counter : natural range 0 to 2**18 - 1; -- counter to support memory timing delays signal stage_counter_zero : std_logic; signal addr_cmd : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); -- internal copy of output DRAM addr/cmd signals signal mem_init_complete : std_logic; -- signifies memory initialisation is complete signal cal_complete : std_logic; -- calibration complete (equals: cal_success OR cal_fail) signal int_mr0 : std_logic_vector(regs_admin_ctrl_rec.mr0'range); -- an internal copy of mode register settings signal int_mr1 : std_logic_vector(regs_admin_ctrl_rec.mr0'range); signal int_mr2 : std_logic_vector(regs_admin_ctrl_rec.mr0'range); signal int_mr3 : std_logic_vector(regs_admin_ctrl_rec.mr0'range); signal refresh_count : natural range c_trefi_min_in_clks downto 0; -- determine when refresh is due signal refresh_due : std_logic; -- need to do a refresh now signal refresh_done : std_logic; -- pulse when refresh complete signal num_stacked_refreshes : natural range 0 to c_max_num_stacked_refreshes - 1; -- can stack upto 8 refreshes (for DDR2) signal refreshes_maxed : std_logic; -- signal refreshes are maxed out signal initial_refresh_issued : std_logic; -- to start the refresh counter off signal ctrl_rec : t_ctrl_command; -- last state logic signal command_started : std_logic; -- provides a pulse when admin starts processing a command signal command_done : std_logic; -- provides a pulse when admin completes processing a command is completed signal finished_state : std_logic; -- finished current t_admin_state state signal admin_req_extended : std_logic; -- keep requests for this block asserted until it is an ack is asserted signal current_cs : natural range 0 to MEM_IF_NUM_RANKS - 1; -- which chip select being programmed at this instance signal per_cs_init_seen : std_logic_vector(MEM_IF_NUM_RANKS - 1 downto 0); -- some signals to enable non_operational debug (optimised away if GENERATE_ADDITIONAL_DBG_RTL = 0) signal nop_toggle_signal : t_addr_cmd_signals; signal nop_toggle_pin : natural range 0 to MEM_IF_ADDR_WIDTH - 1; -- track which pin in a signal to toggle signal nop_toggle_value : std_logic; begin -- architecture struct -- concurrent assignment of internal addr_cmd to output port seq_ac process (addr_cmd) begin seq_ac <= addr_cmd; end process; -- generate calibration complete signal process (cal_success, cal_fail) begin cal_complete <= cal_success or cal_fail; end process; -- register the control command record process (clk, rst_n) begin if rst_n = '0' then ctrl_rec <= defaults; elsif rising_edge(clk) then ctrl_rec <= ctrl_admin; end if; end process; -- extend the admin block request until ack is asserted process (clk, rst_n) begin if rst_n = '0' then admin_req_extended <= '0'; elsif rising_edge(clk) then if ( (ctrl_rec.command_req = '1') and ( curr_active_block(ctrl_rec.command) = admin) ) then admin_req_extended <= '1'; elsif command_started = '1' then -- this is effectively a copy of command_ack generation admin_req_extended <= '0'; end if; end if; end process; -- generate the current_cs signal to track which cs accessed by PHY at any instance process (clk, rst_n) begin if rst_n = '0' then current_cs <= 0; elsif rising_edge(clk) then if ctrl_rec.command_req = '1' then current_cs <= ctrl_rec.command_op.current_cs; end if; end if; end process; -- ----------------------------------------------------------------------------- -- refresh logic: DDR/DDR2/DDR3 allows upto 8 refreshes to be "stacked" or queued up. -- In the idle state, will ensure refreshes are issued when necessary. Then, -- when an access_request is received, 7 topup refreshes will be done to max out -- the number of queued refreshes. That way, we know we have the maximum time -- available before another refresh is due. -- ----------------------------------------------------------------------------- -- initial_refresh_issued flag: used to sync refresh_count process (clk, rst_n) begin if rst_n = '0' then initial_refresh_issued <= '0'; elsif rising_edge(clk) then if cal_complete = '1' then initial_refresh_issued <= '0'; else if state = s_refresh_done or state = s_topup_refresh_done then initial_refresh_issued <= '1'; end if; end if; end if; end process; -- refresh timer: used to work out when a refresh is due process (clk, rst_n) begin if rst_n = '0' then refresh_count <= c_trefi_min_in_clks; elsif rising_edge(clk) then if cal_complete = '1' then refresh_count <= c_trefi_min_in_clks; else if refresh_count = 0 or initial_refresh_issued = '0' or (refreshes_maxed = '1' and refresh_done = '1') then -- if refresh issued when already maxed refresh_count <= c_trefi_min_in_clks; else refresh_count <= refresh_count - 1; end if; end if; end if; end process; -- refresh_due generation: 1 cycle pulse to indicate that c_trefi_min_in_clks has elapsed, and -- therefore a refresh is due process (clk, rst_n) begin if rst_n = '0' then refresh_due <= '0'; elsif rising_edge(clk) then if refresh_count = 0 and cal_complete = '0' then refresh_due <= '1'; else refresh_due <= '0'; end if; end if; end process; -- counter to keep track of number of refreshes "stacked". NB: Up to 8 -- refreshes can be stacked. process (clk, rst_n) begin if rst_n = '0' then num_stacked_refreshes <= 0; trefi_failure <= '0'; -- default no trefi failure elsif rising_edge (clk) then if state = s_reset then trefi_failure <= '0'; -- default no trefi failure (in restart) end if; if cal_complete = '1' then num_stacked_refreshes <= 0; else if refresh_due = '1' and num_stacked_refreshes /= 0 then num_stacked_refreshes <= num_stacked_refreshes - 1; elsif refresh_done = '1' and num_stacked_refreshes /= c_max_num_stacked_refreshes - 1 then num_stacked_refreshes <= num_stacked_refreshes + 1; end if; -- debug message if stacked refreshes are depleted and refresh is due if refresh_due = '1' and num_stacked_refreshes = 0 and initial_refresh_issued = '1' then report admin_report_prefix & "error refresh is due and num_stacked_refreshes is zero" severity error; trefi_failure <= '1'; -- persist end if; end if; end if; end process; -- generate signal to state if refreshes are maxed out process (clk, rst_n) begin if rst_n = '0' then refreshes_maxed <= '0'; elsif rising_edge (clk) then if num_stacked_refreshes < c_max_num_stacked_refreshes - 1 then refreshes_maxed <= '0'; else refreshes_maxed <= '1'; end if; end if; end process; -- ---------------------------------------------------- -- Mode register selection -- ----------------------------------------------------- int_mr0(regs_admin_ctrl_rec.mr0'range) <= regs_admin_ctrl_rec.mr0; int_mr1(regs_admin_ctrl_rec.mr1'range) <= regs_admin_ctrl_rec.mr1; int_mr2(regs_admin_ctrl_rec.mr2'range) <= regs_admin_ctrl_rec.mr2; int_mr3(regs_admin_ctrl_rec.mr3'range) <= regs_admin_ctrl_rec.mr3; -- ------------------------------------------------------- -- State machine -- ------------------------------------------------------- process(rst_n, clk) begin if rst_n = '0' then state <= s_reset; command_done <= '0'; command_started <= '0'; elsif rising_edge(clk) then -- Last state logic command_done <= '0'; command_started <= '0'; case state is when s_reset | s_non_operational => if ctrl_rec.command = cmd_init_dram and admin_req_extended = '1' then state <= s_run_init_seq; command_started <= '1'; end if; when s_run_init_seq => if finished_state = '1' then state <= s_idle; command_done <= '1'; end if; when s_program_cal_mrs => if finished_state = '1' then if refreshes_maxed = '0' and mem_init_complete = '1' then -- only refresh if all ranks initialised state <= s_topup_refresh; else state <= s_idle; end if; command_done <= '1'; end if; when s_idle => if ac_access_req = '1' then state <= s_topup_refresh; elsif ctrl_rec.command = cmd_init_dram and admin_req_extended = '1' then -- start initialisation sequence state <= s_run_init_seq; command_started <= '1'; elsif ctrl_rec.command = cmd_prog_cal_mr and admin_req_extended = '1' then -- program mode registers (used for >1 chip select) state <= s_program_cal_mrs; command_started <= '1'; -- always enter s_prog_user_mrs via topup refresh elsif ctrl_rec.command = cmd_prep_customer_mr_setup and admin_req_extended = '1' then state <= s_topup_refresh; elsif refreshes_maxed = '0' and mem_init_complete = '1' then -- only refresh once all ranks initialised state <= s_dummy_wait; end if; when s_dummy_wait => if finished_state = '1' then state <= s_refresh; end if; when s_topup_refresh => if finished_state = '1' then state <= s_topup_refresh_done; end if; when s_topup_refresh_done => if finished_state = '1' then -- to ensure trfc is not violated if refreshes_maxed = '0' then state <= s_topup_refresh; elsif ctrl_rec.command = cmd_prep_customer_mr_setup and admin_req_extended = '1' then state <= s_prog_user_mrs; command_started <= '1'; elsif ac_access_req = '1' then if MEM_IF_MEMTYPE = "DDR3" then state <= s_zq_cal_short; else state <= s_access_act; end if; else state <= s_idle; end if; end if; when s_zq_cal_short => -- DDR3 only if finished_state = '1' then state <= s_access_act; end if; when s_access_act => if finished_state = '1' then state <= s_access; end if; when s_access => if ac_access_req = '0' then state <= s_access_precharge; end if; when s_access_precharge => -- ensure precharge all timer has elapsed. if finished_state = '1' then state <= s_idle; end if; when s_prog_user_mrs => if finished_state = '1' then state <= s_idle; command_done <= '1'; end if; when s_refresh => if finished_state = '1' then state <= s_refresh_done; end if; when s_refresh_done => if finished_state = '1' then -- to ensure trfc is not violated if refreshes_maxed = '0' then state <= s_refresh; else state <= s_idle; end if; end if; when others => state <= s_reset; end case; if cal_complete = '1' then state <= s_idle; if GENERATE_ADDITIONAL_DBG_RTL = 1 and cal_success = '0' then state <= s_non_operational; -- if calibration failed and debug enabled then toggle pins in pre-defined pattern end if; end if; -- if recalibrating then put admin in reset state to -- avoid issuing refresh commands when not needed if ctl_recalibrate_req = '1' then state <= s_reset; end if; end if; end process; -- -------------------------------------------------- -- process to generate initialisation complete -- -------------------------------------------------- process (rst_n, clk) begin if rst_n = '0' then mem_init_complete <= '0'; elsif rising_edge(clk) then if to_integer(unsigned(per_cs_init_seen)) = 2**MEM_IF_NUM_RANKS - 1 then mem_init_complete <= '1'; else mem_init_complete <= '0'; end if; end if; end process; -- -------------------------------------------------- -- process to generate addr/cmd. -- -------------------------------------------------- process(rst_n, clk) variable v_mr_overload : std_logic_vector(regs_admin_ctrl_rec.mr0'range); -- required for non_operational state only variable v_nop_ac_0 : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); variable v_nop_ac_1 : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); begin if rst_n = '0' then ac_state <= s_0; stage_counter <= 0; stage_counter_zero <= '1'; finished_state <= '0'; seq_ac_sel <= '1'; refresh_done <= '0'; per_cs_init_seen <= (others => '0'); addr_cmd <= int_pup_reset(c_seq_addr_cmd_config); if GENERATE_ADDITIONAL_DBG_RTL = 1 then nop_toggle_signal <= addr; nop_toggle_pin <= 0; nop_toggle_value <= '0'; end if; elsif rising_edge(clk) then finished_state <= '0'; refresh_done <= '0'; -- address / command path control -- if seq_ac_sel = 1 then sequencer has control of a/c -- if seq_ac_sel = 0 then memory controller has control of a/c seq_ac_sel <= '1'; if cal_complete = '1' then if cal_success = '1' or GENERATE_ADDITIONAL_DBG_RTL = 0 then -- hand over interface if cal successful or no debug enabled seq_ac_sel <= '0'; end if; end if; -- if recalibration request then take control of a/c path if ctl_recalibrate_req = '1' then seq_ac_sel <= '1'; end if; if state = s_reset then addr_cmd <= reset(c_seq_addr_cmd_config); stage_counter <= 0; elsif state /= s_run_init_seq and state /= s_non_operational then addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value end if; if (stage_counter = 1 or stage_counter = 0) then stage_counter_zero <= '1'; else stage_counter_zero <= '0'; end if; if stage_counter_zero /= '1' and state /= s_reset then stage_counter <= stage_counter -1; else stage_counter_zero <= '0'; case state is when s_run_init_seq => per_cs_init_seen <= (others => '0'); -- per cs test if MEM_IF_MEMTYPE = "DDR" or MEM_IF_MEMTYPE = "DDR2" then case ac_state is -- JEDEC (JESD79-2E) stage c when s_0 to s_9 => ac_state <= t_ac_state'succ(ac_state); stage_counter <= (TINIT_TCK/10)+1; addr_cmd <= maintain_pd_or_sr(c_seq_addr_cmd_config, deselect(c_seq_addr_cmd_config, addr_cmd), 2**MEM_IF_NUM_RANKS -1); -- JEDEC (JESD79-2E) stage d when s_10 => ac_state <= s_11; stage_counter <= c_init_prech_delay; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value when s_11 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; -- finish sequence by going into s_program_cal_mrs state when others => ac_state <= s_0; end case; elsif MEM_IF_MEMTYPE = "DDR3" then -- DDR3 specific initialisation sequence case ac_state is when s_0 => ac_state <= s_1; stage_counter <= TINIT_RST + 1; addr_cmd <= reset(c_seq_addr_cmd_config); when s_1 to s_10 => ac_state <= t_ac_state'succ(ac_state); stage_counter <= (TINIT_TCK/10) + 1; addr_cmd <= maintain_pd_or_sr(c_seq_addr_cmd_config, deselect(c_seq_addr_cmd_config, addr_cmd), 2**MEM_IF_NUM_RANKS -1); when s_11 => ac_state <= s_12; stage_counter <= c_init_prech_delay; addr_cmd <= deselect(c_seq_addr_cmd_config, addr_cmd); when s_12 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; -- finish sequence by going into s_program_cal_mrs state when others => ac_state <= s_0; end case; else report admin_report_prefix & "unsupported memory type specified" severity error; end if; -- end of initialisation sequence when s_program_cal_mrs => if MEM_IF_MEMTYPE = "DDR2" then -- DDR2 style mode register settings case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 1; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value -- JEDEC (JESD79-2E) stage d when s_1 => ac_state <= s_2; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**current_cs); -- rank -- JEDEC (JESD79-2E) stage e when s_2 => ac_state <= s_3; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 2, -- mode register number int_mr2(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage f when s_3 => ac_state <= s_4; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 3, -- mode register number int_mr3(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage g when s_4 => ac_state <= s_5; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr1(c_max_mode_reg_index downto 0); v_mr_overload(0) := '0'; -- override DLL enable v_mr_overload(9 downto 7) := "000"; -- required in JESD79-2E (but not in JESD79-2B) addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number v_mr_overload , -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage h when s_5 => ac_state <= s_6; stage_counter <= c_tmod_in_clks; addr_cmd <= dll_reset(c_seq_addr_cmd_config, -- configuration int_mr0(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage i when s_6 => ac_state <= s_7; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**MEM_IF_NUM_RANKS - 1); -- rank(s) -- JEDEC (JESD79-2E) stage j when s_7 => ac_state <= s_8; stage_counter <= c_trfc_min_in_clks; addr_cmd <= refresh(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value 2**current_cs); -- rank -- JEDEC (JESD79-2E) stage j - second refresh when s_8 => ac_state <= s_9; stage_counter <= c_trfc_min_in_clks; addr_cmd <= refresh(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value 2**current_cs); -- rank -- JEDEC (JESD79-2E) stage k when s_9 => ac_state <= s_10; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr0(c_max_mode_reg_index downto 3) & "010"; -- override to burst length 4 v_mr_overload(8) := '0'; -- required in JESD79-2E addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 0, -- mode register number v_mr_overload, -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage l - wait 200 cycles when s_10 => ac_state <= s_11; stage_counter <= 200; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value -- JEDEC (JESD79-2E) stage l - OCD default when s_11 => ac_state <= s_12; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr1(c_max_mode_reg_index downto 0); v_mr_overload(9 downto 7) := "111"; -- OCD calibration default (i.e. OCD unused) v_mr_overload(0) := '0'; -- override for DLL enable addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number v_mr_overload , -- mode register value 2**current_cs, -- rank false); -- remap address and bank address -- JEDEC (JESD79-2E) stage l - OCD cal exit when s_12 => ac_state <= s_13; stage_counter <= c_tmod_in_clks; v_mr_overload := int_mr1(c_max_mode_reg_index downto 0); v_mr_overload(9 downto 7) := "000"; -- OCD calibration exit v_mr_overload(0) := '0'; -- override for DLL enable addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number v_mr_overload , -- mode register value 2**current_cs, -- rank false); -- remap address and bank address per_cs_init_seen(current_cs) <= '1'; -- JEDEC (JESD79-2E) stage m - cal finished when s_13 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => null; end case; elsif MEM_IF_MEMTYPE = "DDR" then -- DDR style mode register setting following JEDEC (JESD79E) case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 1; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value when s_1 => ac_state <= s_2; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**current_cs); -- rank(s) when s_2 => ac_state <= s_3; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr1(c_max_mode_reg_index downto 0); v_mr_overload(0) := '0'; -- override DLL enable addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number v_mr_overload , -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_3 => ac_state <= s_4; stage_counter <= c_tmod_in_clks; addr_cmd <= dll_reset(c_seq_addr_cmd_config, -- configuration int_mr0(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_4 => ac_state <= s_5; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**MEM_IF_NUM_RANKS - 1); -- rank(s) when s_5 => ac_state <= s_6; stage_counter <= c_trfc_min_in_clks; addr_cmd <= refresh(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value 2**current_cs); -- rank when s_6 => ac_state <= s_7; stage_counter <= c_trfc_min_in_clks; addr_cmd <= refresh(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value 2**current_cs); -- rank when s_7 => ac_state <= s_8; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr0(c_max_mode_reg_index downto 3) & "010"; -- override to burst length 4 addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 0, -- mode register number v_mr_overload, -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_8 => ac_state <= s_9; stage_counter <= 200; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value per_cs_init_seen(current_cs) <= '1'; when s_9 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => null; end case; elsif MEM_IF_MEMTYPE = "DDR3" then case ac_state is when s_0 => ac_state <= s_1; stage_counter <= c_trp_in_clks; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value when s_1 => ac_state <= s_2; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 2, -- mode register number int_mr2(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_2 => ac_state <= s_3; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 3, -- mode register number int_mr3(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_3 => ac_state <= s_4; stage_counter <= c_tmrd_in_clks; v_mr_overload := int_mr1(c_max_mode_reg_index downto 0); v_mr_overload(0) := '0'; -- Override for DLL enable v_mr_overload(12) := '0'; -- output buffer enable. v_mr_overload(7) := '0'; -- Disable Write levelling addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number v_mr_overload, -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_4 => ac_state <= s_5; stage_counter <= c_tmod_in_clks; v_mr_overload := int_mr0(c_max_mode_reg_index downto 0); v_mr_overload(1 downto 0) := "01"; -- override to on the fly burst length choice v_mr_overload(7) := '0'; -- test mode not enabled v_mr_overload(8) := '1'; -- DLL reset addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 0, -- mode register number v_mr_overload, -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_5 => ac_state <= s_6; stage_counter <= c_zq_init_duration_clks; addr_cmd <= ZQCL(c_seq_addr_cmd_config, -- configuration 2**current_cs); -- rank per_cs_init_seen(current_cs) <= '1'; when s_6 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; else report admin_report_prefix & "unsupported memory type specified" severity error; end if; -- end of s_program_cal_mrs case when s_prog_user_mrs => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 1; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value when s_1 => if MEM_IF_MEMTYPE = "DDR" then -- for DDR memory skip MR2/3 because not present ac_state <= s_4; else -- for DDR2/DDR3 all MRs programmed ac_state <= s_2; end if; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**MEM_IF_NUM_RANKS - 1); -- rank(s) when s_2 => ac_state <= s_3; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 2, -- mode register number int_mr2(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_3 => ac_state <= s_4; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 3, -- mode register number int_mr3(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address if to_integer(unsigned(int_mr3)) /= 0 then report admin_report_prefix & " mode register 3 is expected to have a value of 0 but has a value of : " & integer'image(to_integer(unsigned(int_mr3))) severity warning; end if; when s_4 => ac_state <= s_5; stage_counter <= c_tmrd_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 1, -- mode register number int_mr1(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address if (MEM_IF_DQSN_EN = 0) and (int_mr1(10) = '0') and (MEM_IF_MEMTYPE = "DDR2") then report admin_report_prefix & "mode register and generic conflict:" & LF & "* generic MEM_IF_DQSN_EN is set to 'disable' DQSN" & LF & "* user mode register MEM_IF_MR1 bit 10 is set to 'enable' DQSN" severity warning; end if; when s_5 => ac_state <= s_6; stage_counter <= c_tmod_in_clks; addr_cmd <= load_mode(c_seq_addr_cmd_config, -- configuration 0, -- mode register number int_mr0(c_max_mode_reg_index downto 0), -- mode register value 2**current_cs, -- rank false); -- remap address and bank address when s_6 => ac_state <= s_7; stage_counter <= 1; when s_7 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; -- end of s_prog_user_mr case when s_access_precharge => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 10; addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value when s_1 => ac_state <= s_2; stage_counter <= c_trp_in_clks; addr_cmd <= precharge_all(c_seq_addr_cmd_config, -- configuration 2**MEM_IF_NUM_RANKS - 1); -- rank(s) when s_2 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; when s_topup_refresh | s_refresh => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 1; when s_1 => ac_state <= s_2; stage_counter <= 1; addr_cmd <= refresh(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value 2**MEM_IF_NUM_RANKS - 1); -- rank when s_2 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; when s_topup_refresh_done | s_refresh_done => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= c_trfc_min_in_clks; refresh_done <= '1'; -- ensure trfc not violated when s_1 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; when s_zq_cal_short => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= 1; when s_1 => ac_state <= s_2; stage_counter <= c_tzqcs; addr_cmd <= ZQCS(c_seq_addr_cmd_config, -- configuration 2**current_cs); -- all ranks when s_2 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; when s_access_act => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= c_trrd_min_in_clks; when s_1 => ac_state <= s_2; stage_counter <= c_trcd_min_in_clks; addr_cmd <= activate(c_seq_addr_cmd_config, -- configuration addr_cmd, -- previous value MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_ROW, -- row address 2**current_cs); -- rank when s_2 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; -- counter to delay transition from s_idle to s_refresh - this is to ensure a refresh command is not sent -- just as we enter operational state (could cause a trfc violation) when s_dummy_wait => case ac_state is when s_0 => ac_state <= s_1; stage_counter <= c_max_wait_value; when s_1 => ac_state <= s_0; stage_counter <= 1; finished_state <= '1'; when others => ac_state <= s_0; end case; when s_reset => stage_counter <= 1; -- default some s_non_operational signals if GENERATE_ADDITIONAL_DBG_RTL = 1 then nop_toggle_signal <= addr; nop_toggle_pin <= 0; nop_toggle_value <= '0'; end if; when s_non_operational => -- if failed then output a recognised pattern to the memory (Only executes if GENERATE_ADDITIONAL_DBG_RTL set) addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value if NON_OP_EVAL_MD = "PIN_FINDER" then -- toggle pins in turn for 200 memory clk cycles stage_counter <= 200/(DWIDTH_RATIO/2); -- 200 mem_clk cycles case nop_toggle_signal is when addr => addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, addr, '0'); addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, addr, nop_toggle_value, nop_toggle_pin); nop_toggle_value <= not nop_toggle_value; if nop_toggle_value = '1' then if nop_toggle_pin = MEM_IF_ADDR_WIDTH-1 then nop_toggle_signal <= ba; nop_toggle_pin <= 0; else nop_toggle_pin <= nop_toggle_pin + 1; end if; end if; when ba => addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, ba, '0'); addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, ba, nop_toggle_value, nop_toggle_pin); nop_toggle_value <= not nop_toggle_value; if nop_toggle_value = '1' then if nop_toggle_pin = MEM_IF_BANKADDR_WIDTH-1 then nop_toggle_signal <= cas_n; nop_toggle_pin <= 0; else nop_toggle_pin <= nop_toggle_pin + 1; end if; end if; when cas_n => addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, cas_n, nop_toggle_value); nop_toggle_value <= not nop_toggle_value; if nop_toggle_value = '1' then nop_toggle_signal <= ras_n; end if; when ras_n => addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, ras_n, nop_toggle_value); nop_toggle_value <= not nop_toggle_value; if nop_toggle_value = '1' then nop_toggle_signal <= we_n; end if; when we_n => addr_cmd <= mask (c_seq_addr_cmd_config, addr_cmd, we_n, nop_toggle_value); nop_toggle_value <= not nop_toggle_value; if nop_toggle_value = '1' then nop_toggle_signal <= addr; end if; when others => report admin_report_prefix & " an attempt to toggle a non addr/cmd pin detected" severity failure; end case; elsif NON_OP_EVAL_MD = "SI_EVALUATOR" then -- toggle all addr/cmd pins at fmax stage_counter <= 0; -- every mem_clk cycle stage_counter_zero <= '1'; v_nop_ac_0 := mask (c_seq_addr_cmd_config, addr_cmd, addr, nop_toggle_value); v_nop_ac_0 := mask (c_seq_addr_cmd_config, v_nop_ac_0, ba, nop_toggle_value); v_nop_ac_0 := mask (c_seq_addr_cmd_config, v_nop_ac_0, we_n, nop_toggle_value); v_nop_ac_0 := mask (c_seq_addr_cmd_config, v_nop_ac_0, ras_n, nop_toggle_value); v_nop_ac_0 := mask (c_seq_addr_cmd_config, v_nop_ac_0, cas_n, nop_toggle_value); v_nop_ac_1 := mask (c_seq_addr_cmd_config, addr_cmd, addr, not nop_toggle_value); v_nop_ac_1 := mask (c_seq_addr_cmd_config, v_nop_ac_1, ba, not nop_toggle_value); v_nop_ac_1 := mask (c_seq_addr_cmd_config, v_nop_ac_1, we_n, not nop_toggle_value); v_nop_ac_1 := mask (c_seq_addr_cmd_config, v_nop_ac_1, ras_n, not nop_toggle_value); v_nop_ac_1 := mask (c_seq_addr_cmd_config, v_nop_ac_1, cas_n, not nop_toggle_value); for i in 0 to DWIDTH_RATIO/2 - 1 loop if i mod 2 = 0 then addr_cmd(i) <= v_nop_ac_0(i); else addr_cmd(i) <= v_nop_ac_1(i); end if; end loop; if DWIDTH_RATIO = 2 then nop_toggle_value <= not nop_toggle_value; end if; else report admin_report_prefix & "unknown non-operational evaluation mode " & NON_OP_EVAL_MD severity failure; end if; when others => addr_cmd <= deselect(c_seq_addr_cmd_config, -- configuration addr_cmd); -- previous value stage_counter <= 1; ac_state <= s_0; end case; end if; end if; end process; -- ------------------------------------------------------------------- -- output packing of mode register settings and enabling of ODT -- ------------------------------------------------------------------- process (int_mr0, int_mr1, int_mr2, int_mr3, mem_init_complete) begin admin_regs_status_rec.mr0 <= int_mr0; admin_regs_status_rec.mr1 <= int_mr1; admin_regs_status_rec.mr2 <= int_mr2; admin_regs_status_rec.mr3 <= int_mr3; admin_regs_status_rec.init_done <= mem_init_complete; enable_odt <= int_mr1(2) or int_mr1(6); -- if ODT enabled in MR settings (i.e. MR1 bits 2 or 6 /= 0) end process; -- -------------------------------------------------------------------------------- -- generation of handshake signals with ctrl, dgrb and dgwb blocks (this includes -- command ack, command done for ctrl and access grant for dgrb/dgwb) -- -------------------------------------------------------------------------------- process (rst_n, clk) begin if rst_n = '0' then admin_ctrl <= defaults; ac_access_gnt <= '0'; elsif rising_edge(clk) then admin_ctrl <= defaults; ac_access_gnt <= '0'; admin_ctrl.command_ack <= command_started; admin_ctrl.command_done <= command_done; if state = s_access then ac_access_gnt <= '1'; end if; end if; end process; end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : inferred ram for the non-levelling AFI PHY sequencer -- The inferred ram is used in the iram block to store -- debug information about the sequencer. It is variable in -- size based on the IRAM_AWIDTH generic and is of size -- 32 * (2 ** IRAM_ADDR_WIDTH) bits -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_iram_ram IS generic ( IRAM_AWIDTH : natural ); port ( clk : in std_logic; rst_n : in std_logic; -- ram ports addr : in unsigned(IRAM_AWIDTH-1 downto 0); wdata : in std_logic_vector(31 downto 0); write : in std_logic; rdata : out std_logic_vector(31 downto 0) ); end entity; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_iram_ram is -- infer ram constant c_max_ram_address : natural := 2**IRAM_AWIDTH -1; -- registered ram signals signal addr_r : unsigned(IRAM_AWIDTH-1 downto 0); signal wdata_r : std_logic_vector(31 downto 0); signal write_r : std_logic; signal rdata_r : std_logic_vector(31 downto 0); -- ram storage array type t_iram is array (0 to c_max_ram_address) of std_logic_vector(31 downto 0); signal iram_ram : t_iram; attribute altera_attribute : string; attribute altera_attribute of iram_ram : signal is "-name ADD_PASS_THROUGH_LOGIC_TO_INFERRED_RAMS ""OFF"""; begin -- architecture struct -- inferred ram instance - standard ram logic process (clk, rst_n) begin if rst_n = '0' then rdata_r <= (others => '0'); elsif rising_edge(clk) then if write_r = '1' then iram_ram(to_integer(addr_r)) <= wdata_r; end if; rdata_r <= iram_ram(to_integer(addr_r)); end if; end process; -- register i/o for speed process (clk, rst_n) begin if rst_n = '0' then rdata <= (others => '0'); write_r <= '0'; addr_r <= (others => '0'); wdata_r <= (others => '0'); elsif rising_edge(clk) then rdata <= rdata_r; write_r <= write; addr_r <= addr; wdata_r <= wdata; end if; end process; end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : iram block for the non-levelling AFI PHY sequencer -- This block is an optional storage of debug information for -- the sequencer. In the current form the iram stores header -- information about the arrangement of the sequencer and pass/ -- fail information for per-delay/phase/pin sweeps for the -- read resynch phase calibration stage. Support for debug of -- additional commands can be added at a later date -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The altmemphy iram ram (alt_mem_phy_iram_ram) is an inferred ram memory to implement the debug -- iram ram block -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram_ram; -- entity nios_altmemddr_0_phy_alt_mem_phy_iram is generic ( -- physical interface width definitions MEM_IF_MEMTYPE : string; FAMILYGROUP_ID : natural; MEM_IF_DQS_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; MEM_IF_NUM_RANKS : natural; IRAM_AWIDTH : natural; REFRESH_COUNT_INIT : natural; PRESET_RLAT : natural; PLL_STEPS_PER_CYCLE : natural; CAPABILITIES : natural; IP_BUILDNUM : natural ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; -- read interface from mmi block: mmi_iram : in t_iram_ctrl; mmi_iram_enable_writes : in std_logic; --iram status signal (includes read data from iram) iram_status : out t_iram_stat; iram_push_done : out std_logic; -- from ctrl block ctrl_iram : in t_ctrl_command; -- from dgrb block dgrb_iram : in t_iram_push; -- from admin block admin_regs_status_rec : in t_admin_stat; -- current write position in the iram ctrl_idib_top : in natural range 0 to 2 ** IRAM_AWIDTH - 1; ctrl_iram_push : in t_ctrl_iram; -- the following signals are unused and reserved for future use dgwb_iram : in t_iram_push ); end entity; library work; -- The registers package (alt_mem_phy_regs_pkg) is used to combine the definition of the -- registers for the mmi status registers and functions/procedures applied to the registers -- use work.nios_altmemddr_0_phy_alt_mem_phy_regs_pkg.all; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_iram is -- ------------------------------------------- -- IHI fields -- ------------------------------------------- -- memory type , Quartus Build No., Quartus release, sequencer architecture version : signal memtype : std_logic_vector(7 downto 0); signal ihi_self_description : std_logic_vector(31 downto 0); signal ihi_self_description_extra : std_logic_vector(31 downto 0); -- for iram address generation: signal curr_iram_offset : natural range 0 to 2 ** IRAM_AWIDTH - 1; -- set read latency for iram_rdata_valid signal control: constant c_iram_rlat : natural := 3; -- iram read latency (increment if read pipelining added -- for rdata valid generation: signal read_valid_ctr : natural range 0 to c_iram_rlat; signal iram_addr_r : unsigned(IRAM_AWIDTH downto 0); constant c_ihi_phys_if_desc : std_logic_vector(31 downto 0) := std_logic_vector (to_unsigned(MEM_IF_NUM_RANKS,8) & to_unsigned(MEM_IF_DM_WIDTH,8) & to_unsigned(MEM_IF_DQS_WIDTH,8) & to_unsigned(MEM_IF_DWIDTH,8)); constant c_ihi_timing_info : std_logic_vector(31 downto 0) := X"DEADDEAD"; constant c_ihi_ctrl_ss_word2 : std_logic_vector(31 downto 0) := std_logic_vector (to_unsigned(PRESET_RLAT,16) & X"0000"); -- IDIB header codes constant c_idib_header_code0 : std_logic_vector(7 downto 0) := X"4A"; constant c_idib_footer_code : std_logic_vector(7 downto 0) := X"5A"; -- encoded Quartus version -- constant c_quartus_version : natural := 0; -- Quartus 7.2 -- constant c_quartus_version : natural := 1; -- Quartus 8.0 --constant c_quartus_version : natural := 2; -- Quartus 8.1 --constant c_quartus_version : natural := 3; -- Quartus 9.0 --constant c_quartus_version : natural := 4; -- Quartus 9.0sp2 --constant c_quartus_version : natural := 5; -- Quartus 9.1 --constant c_quartus_version : natural := 6; -- Quartus 9.1sp1? --constant c_quartus_version : natural := 7; -- Quartus 9.1sp2? constant c_quartus_version : natural := 8; -- Quartus 10.0 -- constant c_quartus_version : natural := 114; -- reserved -- allow for different variants for debug i/f constant c_dbg_if_version : natural := 2; -- sequencer type 1 for levelling, 2 for non-levelling constant c_sequencer_type : natural := 2; -- a prefix for all report signals to identify phy and sequencer block -- constant iram_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (iram) : "; -- ------------------------------------------- -- signal and type declarations -- ------------------------------------------- type t_iram_state is ( s_reset, -- system reset s_pre_init_ram, -- identify pre-initialisation s_init_ram, -- zero all locations s_idle, -- default state s_word_access_ram, -- mmi access to the iram (post-calibration) s_word_fetch_ram_rdata, -- sample read data from RAM s_word_fetch_ram_rdata_r,-- register the sampling of data from RAM (to improve timing) s_word_complete, -- finalise iram ram write s_idib_header_write, -- when starting a command s_idib_header_inc_addr, -- address increment s_idib_footer_write, -- unique footer to indicate end of data s_cal_data_read, -- read RAM location (read occurs continuously from idle state) s_cal_data_read_r, s_cal_data_modify, -- modify RAM location (read occurs continuously) s_cal_data_write, -- write modified value back to RAM s_ihi_header_word0_wr, -- from 0 to 6 writing iram header info s_ihi_header_word1_wr, s_ihi_header_word2_wr, s_ihi_header_word3_wr, s_ihi_header_word4_wr, s_ihi_header_word5_wr, s_ihi_header_word6_wr, s_ihi_header_word7_wr-- end writing iram header info ); signal state : t_iram_state; signal contested_access : std_logic; signal idib_header_count : std_logic_vector(7 downto 0); -- register a new cmd request signal new_cmd : std_logic; signal cmd_processed : std_logic; -- signals to control dgrb writes signal iram_modified_data : std_logic_vector(31 downto 0); -- scratchpad memory for read-modify-write -- ------------------------------------------- -- physical ram connections -- ------------------------------------------- -- Note that the iram_addr here is created IRAM_AWIDTH downto 0, and not -- IRAM_AWIDTH-1 downto 0. This means that the MSB is outside the addressable -- area of the RAM. The purpose of this is that this shall be our memory -- overflow bit. It shall be directly connected to the iram_out_of_memory flag -- 32-bit interface port (read and write) signal iram_addr : unsigned(IRAM_AWIDTH downto 0); signal iram_wdata : std_logic_vector(31 downto 0); signal iram_rdata : std_logic_vector(31 downto 0); signal iram_write : std_logic; -- signal generated external to the iram to say when read data is valid signal iram_rdata_valid : std_logic; -- The FSM owns local storage that is loaded with the wdata/addr from the -- requesting sub-block, which is then fed to the iram's wdata/addr in turn -- until all data has gone across signal fsm_read : std_logic; -- ------------------------------------------- -- multiplexed push data -- ------------------------------------------- signal iram_done : std_logic; -- unused signal iram_pushdata : std_logic_vector(31 downto 0); signal pending_push : std_logic; -- push data to RAM signal iram_wordnum : natural range 0 to 511; signal iram_bitnum : natural range 0 to 31; begin -- architecture struct -- ------------------------------------------- -- iram ram instantiation -- ------------------------------------------- -- Note that the IRAM_AWIDTH is the physical number of address bits that the RAM has. -- However, for out of range access detection purposes, an additional bit is added to -- the various address signals. The iRAM does not register any of its inputs as the addr, -- wdata etc are registered directly before being driven to it. -- The dgrb accesses are of format read-modify-write to a single bit of a 32-bit word, the -- mmi reads and header writes are in 32-bit words -- ram : entity nios_altmemddr_0_phy_alt_mem_phy_iram_ram generic map ( IRAM_AWIDTH => IRAM_AWIDTH ) port map ( clk => clk, rst_n => rst_n, addr => iram_addr(IRAM_AWIDTH-1 downto 0), wdata => iram_wdata, write => iram_write, rdata => iram_rdata ); -- ------------------------------------------- -- IHI fields -- asynchronously -- ------------------------------------------- -- this field identifies the type of memory memtype <= X"03" when (MEM_IF_MEMTYPE = "DDR3") else X"02" when (MEM_IF_MEMTYPE = "DDR2") else X"01" when (MEM_IF_MEMTYPE = "DDR") else X"10" when (MEM_IF_MEMTYPE = "QDRII") else X"00" ; -- this field indentifies the gross level description of the sequencer ihi_self_description <= memtype & std_logic_vector(to_unsigned(IP_BUILDNUM,8)) & std_logic_vector(to_unsigned(c_quartus_version,8)) & std_logic_vector(to_unsigned(c_dbg_if_version,8)); -- some extra information for the debug gui - sequencer type and familygroup ihi_self_description_extra <= std_logic_vector(to_unsigned(FAMILYGROUP_ID,4)) & std_logic_vector(to_unsigned(c_sequencer_type,4)) & x"000000"; -- ------------------------------------------- -- check for contested memory accesses -- ------------------------------------------- process(clk,rst_n) begin if rst_n = '0' then contested_access <= '0'; elsif rising_edge(clk) then contested_access <= '0'; if mmi_iram.read = '1' and pending_push = '1' then report iram_report_prefix & "contested memory accesses to the iram" severity failure; contested_access <= '1'; end if; -- sanity checks if mmi_iram.write = '1' then report iram_report_prefix & "mmi writes to the iram unsupported for non-levelling AFI PHY sequencer" severity failure; end if; if dgwb_iram.iram_write = '1' then report iram_report_prefix & "dgwb writes to the iram unsupported for non-levelling AFI PHY sequencer" severity failure; end if; end if; end process; -- ------------------------------------------- -- mux push data and associated signals -- note: single bit taken for iram_pushdata because 1-bit read-modify-write to -- a 32-bit word in the ram. This interface style is maintained for future -- scalability / wider application of the iram block. -- ------------------------------------------- process(clk,rst_n) begin if rst_n = '0' then iram_done <= '0'; iram_pushdata <= (others => '0'); pending_push <= '0'; iram_wordnum <= 0; iram_bitnum <= 0; elsif rising_edge(clk) then case curr_active_block(ctrl_iram.command) is when dgrb => iram_done <= dgrb_iram.iram_done; iram_pushdata <= dgrb_iram.iram_pushdata; pending_push <= dgrb_iram.iram_write; iram_wordnum <= dgrb_iram.iram_wordnum; iram_bitnum <= dgrb_iram.iram_bitnum; when others => -- default dgrb iram_done <= dgrb_iram.iram_done; iram_pushdata <= dgrb_iram.iram_pushdata; pending_push <= dgrb_iram.iram_write; iram_wordnum <= dgrb_iram.iram_wordnum; iram_bitnum <= dgrb_iram.iram_bitnum; end case; end if; end process; -- ------------------------------------------- -- generate write signal for the ram -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then iram_write <= '0'; elsif rising_edge(clk) then case state is when s_idle => iram_write <= '0'; when s_pre_init_ram | s_init_ram => iram_write <= '1'; when s_ihi_header_word0_wr | s_ihi_header_word1_wr | s_ihi_header_word2_wr | s_ihi_header_word3_wr | s_ihi_header_word4_wr | s_ihi_header_word5_wr | s_ihi_header_word6_wr | s_ihi_header_word7_wr => iram_write <= '1'; when s_idib_header_write => iram_write <= '1'; when s_idib_footer_write => iram_write <= '1'; when s_cal_data_write => iram_write <= '1'; when others => iram_write <= '0'; -- default end case; end if; end process; -- ------------------------------------------- -- generate wdata for the ram -- ------------------------------------------- process(clk, rst_n) variable v_current_cs : std_logic_vector(3 downto 0); variable v_mtp_alignment : std_logic_vector(0 downto 0); variable v_single_bit : std_logic; begin if rst_n = '0' then iram_wdata <= (others => '0'); elsif rising_edge(clk) then case state is when s_pre_init_ram | s_init_ram => iram_wdata <= (others => '0'); when s_ihi_header_word0_wr => iram_wdata <= ihi_self_description; when s_ihi_header_word1_wr => iram_wdata <= c_ihi_phys_if_desc; when s_ihi_header_word2_wr => iram_wdata <= c_ihi_timing_info; when s_ihi_header_word3_wr => iram_wdata <= ( others => '0'); iram_wdata(admin_regs_status_rec.mr0'range) <= admin_regs_status_rec.mr0; iram_wdata(admin_regs_status_rec.mr1'high + 16 downto 16) <= admin_regs_status_rec.mr1; when s_ihi_header_word4_wr => iram_wdata <= ( others => '0'); iram_wdata(admin_regs_status_rec.mr2'range) <= admin_regs_status_rec.mr2; iram_wdata(admin_regs_status_rec.mr3'high + 16 downto 16) <= admin_regs_status_rec.mr3; when s_ihi_header_word5_wr => iram_wdata <= c_ihi_ctrl_ss_word2; when s_ihi_header_word6_wr => iram_wdata <= std_logic_vector(to_unsigned(IRAM_AWIDTH,32)); -- tbd write the occupancy at end of cal when s_ihi_header_word7_wr => iram_wdata <= ihi_self_description_extra; when s_idib_header_write => -- encode command_op for current operation v_current_cs := std_logic_vector(to_unsigned(ctrl_iram.command_op.current_cs, 4)); v_mtp_alignment := std_logic_vector(to_unsigned(ctrl_iram.command_op.mtp_almt, 1)); v_single_bit := ctrl_iram.command_op.single_bit; iram_wdata <= encode_current_stage(ctrl_iram.command) & -- which command being executed (currently this should only be cmd_rrp_sweep (8 bits) v_current_cs & -- which chip select being processed (4 bits) v_mtp_alignment & -- currently used MTP alignment (1 bit) v_single_bit & -- is single bit calibration selected (1 bit) - used during MTP alignment "00" & -- RFU idib_header_count & -- unique ID to how many headers have been written (8 bits) c_idib_header_code0; -- unique ID for headers (8 bits) when s_idib_footer_write => iram_wdata <= c_idib_footer_code & c_idib_footer_code & c_idib_footer_code & c_idib_footer_code; when s_cal_data_modify => -- default don't overwrite iram_modified_data <= iram_rdata; -- update iram data based on packing and write modes if ctrl_iram_push.packing_mode = dq_bitwise then case ctrl_iram_push.write_mode is when overwrite_ram => iram_modified_data(iram_bitnum) <= iram_pushdata(0); when or_into_ram => iram_modified_data(iram_bitnum) <= iram_pushdata(0) or iram_rdata(0); when and_into_ram => iram_modified_data(iram_bitnum) <= iram_pushdata(0) and iram_rdata(0); when others => report iram_report_prefix & "unidentified write mode of " & t_iram_write_mode'image(ctrl_iram_push.write_mode) & " specified when generating iram write data" severity failure; end case; elsif ctrl_iram_push.packing_mode = dq_wordwise then case ctrl_iram_push.write_mode is when overwrite_ram => iram_modified_data <= iram_pushdata; when or_into_ram => iram_modified_data <= iram_pushdata or iram_rdata; when and_into_ram => iram_modified_data <= iram_pushdata and iram_rdata; when others => report iram_report_prefix & "unidentified write mode of " & t_iram_write_mode'image(ctrl_iram_push.write_mode) & " specified when generating iram write data" severity failure; end case; else report iram_report_prefix & "unidentified packing mode of " & t_iram_packing_mode'image(ctrl_iram_push.packing_mode) & " specified when generating iram write data" severity failure; end if; when s_cal_data_write => iram_wdata <= iram_modified_data; when others => iram_wdata <= (others => '0'); end case; end if; end process; -- ------------------------------------------- -- generate addr for the ram -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then iram_addr <= (others => '0'); curr_iram_offset <= 0; elsif rising_edge(clk) then case (state) is when s_idle => if mmi_iram.read = '1' then -- pre-set mmi read location address iram_addr <= ('0' & to_unsigned(mmi_iram.addr,IRAM_AWIDTH)); -- Pad MSB else -- default get next push data location from iram iram_addr <= to_unsigned(curr_iram_offset + iram_wordnum, IRAM_AWIDTH+1); end if; when s_word_access_ram => -- calculate the address if mmi_iram.read = '1' then -- mmi access iram_addr <= ('0' & to_unsigned(mmi_iram.addr,IRAM_AWIDTH)); -- Pad MSB end if; when s_ihi_header_word0_wr => iram_addr <= (others => '0'); -- increment address for IHI word writes : when s_ihi_header_word1_wr | s_ihi_header_word2_wr | s_ihi_header_word3_wr | s_ihi_header_word4_wr | s_ihi_header_word5_wr | s_ihi_header_word6_wr | s_ihi_header_word7_wr => iram_addr <= iram_addr + 1; when s_idib_header_write => iram_addr <= '0' & to_unsigned(ctrl_idib_top, IRAM_AWIDTH); -- Always write header at idib_top location when s_idib_footer_write => iram_addr <= to_unsigned(curr_iram_offset + iram_wordnum, IRAM_AWIDTH+1); -- active block communicates where to put the footer with done signal when s_idib_header_inc_addr => iram_addr <= iram_addr + 1; curr_iram_offset <= to_integer('0' & iram_addr) + 1; when s_init_ram => if iram_addr(IRAM_AWIDTH) = '1' then iram_addr <= (others => '0'); -- this prevents erroneous out-of-mem flag after initialisation else iram_addr <= iram_addr + 1; end if; when others => iram_addr <= iram_addr; end case; end if; end process; -- ------------------------------------------- -- generate new cmd signal to register the command_req signal -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then new_cmd <= '0'; elsif rising_edge(clk) then if ctrl_iram.command_req = '1' then case ctrl_iram.command is when cmd_rrp_sweep | -- only prompt new_cmd for commands we wish to write headers for cmd_rrp_seek | cmd_read_mtp | cmd_write_ihi => new_cmd <= '1'; when others => new_cmd <= '0'; end case; end if; if cmd_processed = '1' then new_cmd <= '0'; end if; end if; end process; -- ------------------------------------------- -- generate read valid signal which takes account of pipelining of reads -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then iram_rdata_valid <= '0'; read_valid_ctr <= 0; iram_addr_r <= (others => '0'); elsif rising_edge(clk) then if read_valid_ctr < c_iram_rlat then iram_rdata_valid <= '0'; read_valid_ctr <= read_valid_ctr + 1; else iram_rdata_valid <= '1'; end if; if to_integer(iram_addr) /= to_integer(iram_addr_r) or iram_write = '1' then read_valid_ctr <= 0; iram_rdata_valid <= '0'; end if; -- register iram address iram_addr_r <= iram_addr; end if; end process; -- ------------------------------------------- -- state machine -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then state <= s_reset; cmd_processed <= '0'; elsif rising_edge(clk) then cmd_processed <= '0'; case state is when s_reset => state <= s_pre_init_ram; when s_pre_init_ram => state <= s_init_ram; -- remain in the init_ram state until all the ram locations have been zero'ed when s_init_ram => if iram_addr(IRAM_AWIDTH) = '1' then state <= s_idle; end if; -- default state after reset when s_idle => if pending_push = '1' then state <= s_cal_data_read; elsif iram_done = '1' then state <= s_idib_footer_write; elsif new_cmd = '1' then case ctrl_iram.command is when cmd_rrp_sweep | cmd_rrp_seek | cmd_read_mtp => state <= s_idib_header_write; when cmd_write_ihi => state <= s_ihi_header_word0_wr; when others => state <= state; end case; cmd_processed <= '1'; elsif mmi_iram.read = '1' then state <= s_word_access_ram; end if; -- mmi read accesses when s_word_access_ram => state <= s_word_fetch_ram_rdata; when s_word_fetch_ram_rdata => state <= s_word_fetch_ram_rdata_r; when s_word_fetch_ram_rdata_r => if iram_rdata_valid = '1' then state <= s_word_complete; end if; when s_word_complete => if iram_rdata_valid = '1' then -- return to idle when iram_rdata stable state <= s_idle; end if; -- header write (currently only for cmp_rrp stage) when s_idib_header_write => state <= s_idib_header_inc_addr; when s_idib_header_inc_addr => state <= s_idle; -- return to idle to wait for push when s_idib_footer_write => state <= s_word_complete; -- push data accesses (only used by the dgrb block at present) when s_cal_data_read => state <= s_cal_data_read_r; when s_cal_data_read_r => if iram_rdata_valid = '1' then state <= s_cal_data_modify; end if; when s_cal_data_modify => state <= s_cal_data_write; when s_cal_data_write => state <= s_word_complete; -- IHI Header write accesses when s_ihi_header_word0_wr => state <= s_ihi_header_word1_wr; when s_ihi_header_word1_wr => state <= s_ihi_header_word2_wr; when s_ihi_header_word2_wr => state <= s_ihi_header_word3_wr; when s_ihi_header_word3_wr => state <= s_ihi_header_word4_wr; when s_ihi_header_word4_wr => state <= s_ihi_header_word5_wr; when s_ihi_header_word5_wr => state <= s_ihi_header_word6_wr; when s_ihi_header_word6_wr => state <= s_ihi_header_word7_wr; when s_ihi_header_word7_wr => state <= s_idle; when others => state <= state; end case; end if; end process; -- ------------------------------------------- -- drive read data and responses back. -- ------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then iram_status <= defaults; iram_push_done <= '0'; idib_header_count <= (others => '0'); fsm_read <= '0'; elsif rising_edge(clk) then -- defaults iram_status <= defaults; iram_status.done <= '0'; iram_status.rdata <= (others => '0'); iram_push_done <= '0'; if state = s_init_ram then iram_status.out_of_mem <= '0'; else iram_status.out_of_mem <= iram_addr(IRAM_AWIDTH); end if; -- register read flag for 32 bit accesses if state = s_idle then fsm_read <= mmi_iram.read; end if; if state = s_word_complete then iram_status.done <= '1'; if fsm_read = '1' then iram_status.rdata <= iram_rdata; else iram_status.rdata <= (others => '0'); end if; end if; -- if another access is ever presented while the FSM is busy, set the contested flag if contested_access = '1' then iram_status.contested_access <= '1'; end if; -- set (and keep set) the iram_init_done output once initialisation of the RAM is complete if (state /= s_init_ram) and (state /= s_pre_init_ram) and (state /= s_reset) then iram_status.init_done <= '1'; end if; if state = s_ihi_header_word7_wr then iram_push_done <= '1'; end if; -- if completing push or footer write then acknowledge if state = s_cal_data_modify or state = s_idib_footer_write then iram_push_done <= '1'; end if; -- increment IDIB header count each time a header is written if state = s_idib_header_write then idib_header_count <= std_logic_vector(unsigned(idib_header_count) + to_unsigned(1,idib_header_count'high +1)); end if; end if; end process; end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : data gatherer (read bias) [dgrb] block for the non-levelling -- AFI PHY sequencer -- This block handles all calibration commands which require -- memory read operations. -- -- These include: -- Resync phase calibration - sweep of phases, calculation of -- result and optional storage to iram -- Postamble calibration - clock cycle calibration of the postamble -- enable signal -- Read data valid signal alignment -- Calculation of advertised read and write latencies -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The address and command package (alt_mem_phy_addr_cmd_pkg) is used to combine DRAM address -- and command signals in one record and unify the functions operating on this record. -- use work.nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg.all; -- The iram address package (alt_mem_phy_iram_addr_pkg) is used to define the base addresses used -- for iram writes during calibration -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg.all; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_dgrb is generic ( MEM_IF_DQS_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; MEM_IF_DQS_CAPTURE : natural; MEM_IF_ADDR_WIDTH : natural; MEM_IF_BANKADDR_WIDTH : natural; MEM_IF_NUM_RANKS : natural; MEM_IF_MEMTYPE : string; ADV_LAT_WIDTH : natural; CLOCK_INDEX_WIDTH : natural; DWIDTH_RATIO : natural; PRESET_RLAT : natural; PLL_STEPS_PER_CYCLE : natural; -- number of PLL phase steps per PHY clock cycle SIM_TIME_REDUCTIONS : natural; GENERATE_ADDITIONAL_DBG_RTL : natural; PRESET_CODVW_PHASE : natural; PRESET_CODVW_SIZE : natural; -- base column address to which calibration data is written -- memory at MEM_IF_CAL_BASE_COL - MEM_IF_CAL_BASE_COL + C_CAL_DATA_LEN - 1 -- is assumed to contain the proper data MEM_IF_CAL_BANK : natural; -- bank to which calibration data is written MEM_IF_CAL_BASE_COL : natural; EN_OCT : natural ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; -- control interface dgrb_ctrl : out t_ctrl_stat; ctrl_dgrb : in t_ctrl_command; parameterisation_rec : in t_algm_paramaterisation; -- PLL reconfig interface phs_shft_busy : in std_logic; seq_pll_inc_dec_n : out std_logic; seq_pll_select : out std_logic_vector(CLOCK_INDEX_WIDTH - 1 DOWNTO 0); seq_pll_start_reconfig : out std_logic; pll_resync_clk_index : in std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); -- PLL phase used to select resync clock pll_measure_clk_index : in std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); -- PLL phase used to select mimic / aka measure clock -- iram 'push' interface dgrb_iram : out t_iram_push; iram_push_done : in std_logic; -- addr/cmd output for write commands dgrb_ac : out t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); -- admin block req/gnt interface dgrb_ac_access_req : out std_logic; dgrb_ac_access_gnt : in std_logic; -- RDV latency controls seq_rdata_valid_lat_inc : out std_logic; seq_rdata_valid_lat_dec : out std_logic; -- POA latency controls seq_poa_lat_dec_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_poa_lat_inc_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); -- read datapath interface rdata_valid : in std_logic_vector(DWIDTH_RATIO/2 - 1 downto 0); rdata : in std_logic_vector(DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0); doing_rd : out std_logic_vector(MEM_IF_DQS_WIDTH * DWIDTH_RATIO/2 - 1 downto 0); rd_lat : out std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); -- advertised write latency wd_lat : out std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); -- OCT control seq_oct_value : out std_logic; dgrb_wdp_ovride : out std_logic; -- mimic path interface seq_mmc_start : out std_logic; mmc_seq_done : in std_logic; mmc_seq_value : in std_logic; -- calibration byte lane select (reserved for future use - RFU) ctl_cal_byte_lanes : in std_logic_vector(MEM_IF_NUM_RANKS * MEM_IF_DQS_WIDTH - 1 downto 0); -- odt settings per chip select odt_settings : in t_odt_array(0 to MEM_IF_NUM_RANKS-1); -- signal to identify if a/c nt setting is correct (set after wr_lat calculation) -- NOTE: labelled nt for future scalability to quarter rate interfaces dgrb_ctrl_ac_nt_good : out std_logic; -- status signals on calibrated cdvw dgrb_mmi : out t_dgrb_mmi ); end entity; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_dgrb is -- ------------------------------------------------------------------ -- constant declarations -- ------------------------------------------------------------------ constant c_seq_addr_cmd_config : t_addr_cmd_config_rec := set_config_rec(MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS, DWIDTH_RATIO, MEM_IF_MEMTYPE); -- command/result length constant c_command_result_len : natural := 8; -- burst characteristics and latency characteristics constant c_max_read_lat : natural := 2**rd_lat'length - 1; -- maximum read latency in phy clock-cycles -- training pattern characteristics constant c_cal_mtp_len : natural := 16; constant c_cal_mtp : std_logic_vector(c_cal_mtp_len - 1 downto 0) := x"30F5"; constant c_cal_mtp_t : natural := c_cal_mtp_len / DWIDTH_RATIO; -- number of phy-clk cycles required to read BTP -- read/write latency defaults constant c_default_rd_lat_slv : std_logic_vector(ADV_LAT_WIDTH - 1 downto 0) := std_logic_vector(to_unsigned(c_default_rd_lat, ADV_LAT_WIDTH)); constant c_default_wd_lat_slv : std_logic_vector(ADV_LAT_WIDTH - 1 downto 0) := std_logic_vector(to_unsigned(c_default_wr_lat, ADV_LAT_WIDTH)); -- tracking reporting parameters constant c_max_rsc_drift_in_phases : natural := 127; -- this must be a value of < 2^10 - 1 because of the range of signal codvw_trk_shift -- Returns '1' when boolean b is True; '0' otherwise. function active_high(b : in boolean) return std_logic is variable r : std_logic; begin if b then r := '1'; else r := '0'; end if; return r; end function; -- a prefix for all report signals to identify phy and sequencer block -- constant dgrb_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (dgrb) : "; -- Return the number of clock periods the resync clock should sweep. -- -- On half-rate systems and in DQS-capture based systems a 720 -- to guarantee the resync window can be properly observed. function rsc_sweep_clk_periods return natural is variable v_num_periods : natural; begin if DWIDTH_RATIO = 2 then if MEM_IF_DQS_CAPTURE = 1 then -- families which use DQS capture require a 720 degree sweep for FR to show a window v_num_periods := 2; else v_num_periods := 1; end if; elsif DWIDTH_RATIO = 4 then v_num_periods := 2; else report dgrb_report_prefix & "unsupported DWIDTH_RATIO." severity failure; end if; return v_num_periods; end function; -- window for PLL sweep constant c_max_phase_shifts : natural := rsc_sweep_clk_periods*PLL_STEPS_PER_CYCLE; constant c_pll_phs_inc : std_logic := '1'; constant c_pll_phs_dec : std_logic := not c_pll_phs_inc; -- ------------------------------------------------------------------ -- type declarations -- ------------------------------------------------------------------ -- dgrb main state machine type t_dgrb_state is ( -- idle state s_idle, -- request access to memory address/command bus from the admin block s_wait_admin, -- relinquish address/command bus access s_release_admin, -- wind back resync phase to a 'zero' point s_reset_cdvw, -- perform resync phase sweep (used for MTP alignment checking and actual RRP sweep) s_test_phases, -- processing to when checking MTP alignment s_read_mtp, -- processing for RRP (read resync phase) sweep s_seek_cdvw, -- clock cycle alignment of read data valid signal s_rdata_valid_align, -- calculate advertised read latency s_adv_rd_lat_setup, s_adv_rd_lat, -- calculate advertised write latency s_adv_wd_lat, -- postamble clock cycle calibration s_poa_cal, -- tracking - setup and periodic update s_track ); -- dgrb slave state machine for addr/cmd signals type t_ac_state is ( -- idle state s_ac_idle, -- wait X cycles (issuing NOP command) to flush address/command and DQ buses s_ac_relax, -- read MTP pattern s_ac_read_mtp, -- read pattern for read data valid alignment s_ac_read_rdv, -- read pattern for POA calibration s_ac_read_poa_mtp, -- read pattern to calculate advertised write latency s_ac_read_wd_lat ); -- dgrb slave state machine for read resync phase calibration type t_resync_state is ( -- idle state s_rsc_idle, -- shift resync phase by one s_rsc_next_phase, -- start test sequence for current pin and current phase s_rsc_test_phase, -- flush the read datapath s_rsc_wait_for_idle_dimm, -- wait until no longer driving s_rsc_flush_datapath, -- flush a/c path -- sample DQ data to test phase s_rsc_test_dq, -- reset rsc phase to a zero position s_rsc_reset_cdvw, s_rsc_rewind_phase, -- calculate the centre of resync window s_rsc_cdvw_calc, s_rsc_cdvw_wait, -- wait for calc result -- set rsc clock phase to centre of data valid window s_rsc_seek_cdvw, -- wait until all results written to iram s_rsc_wait_iram -- only entered if GENERATE_ADDITIONAL_DBG_RTL = 1 ); -- record definitions for window processing type t_win_processing_status is ( calculating, valid_result, no_invalid_phases, multiple_equal_windows, no_valid_phases ); type t_window_processing is record working_window : std_logic_vector( c_max_phase_shifts - 1 downto 0); first_good_edge : natural range 0 to c_max_phase_shifts - 1; -- pointer to first detected good edge current_window_start : natural range 0 to c_max_phase_shifts - 1; current_window_size : natural range 0 to c_max_phase_shifts - 1; current_window_centre : natural range 0 to c_max_phase_shifts - 1; largest_window_start : natural range 0 to c_max_phase_shifts - 1; largest_window_size : natural range 0 to c_max_phase_shifts - 1; largest_window_centre : natural range 0 to c_max_phase_shifts - 1; current_bit : natural range 0 to c_max_phase_shifts - 1; window_centre_update : std_logic; last_bit_value : std_logic; valid_phase_seen : boolean; invalid_phase_seen : boolean; first_cycle : boolean; multiple_eq_windows : boolean; found_a_good_edge : boolean; status : t_win_processing_status; windows_seen : natural range 0 to c_max_phase_shifts/2 - 1; end record; -- ------------------------------------------------------------------ -- function and procedure definitions -- ------------------------------------------------------------------ -- Returns a string representation of a std_logic_vector. -- Not synthesizable. function str(v: std_logic_vector) return string is variable str_value : string (1 to v'length); variable str_len : integer; variable c : character; begin str_len := 1; for i in v'range loop case v(i) is when '0' => c := '0'; when '1' => c := '1'; when others => c := '?'; end case; str_value(str_len) := c; str_len := str_len + 1; end loop; return str_value; end str; -- functions and procedures for window processing function defaults return t_window_processing is variable output : t_window_processing; begin output.working_window := (others => '1'); output.last_bit_value := '1'; output.first_good_edge := 0; output.current_window_start := 0; output.current_window_size := 0; output.current_window_centre := 0; output.largest_window_start := 0; output.largest_window_size := 0; output.largest_window_centre := 0; output.window_centre_update := '1'; output.current_bit := 0; output.multiple_eq_windows := false; output.valid_phase_seen := false; output.invalid_phase_seen := false; output.found_a_good_edge := false; output.status := no_valid_phases; output.first_cycle := false; output.windows_seen := 0; return output; end function defaults; procedure initialise_window_for_proc ( working : inout t_window_processing ) is variable v_working_window : std_logic_vector( c_max_phase_shifts - 1 downto 0); begin v_working_window := working.working_window; working := defaults; working.working_window := v_working_window; working.status := calculating; working.first_cycle := true; working.window_centre_update := '1'; working.windows_seen := 0; end procedure initialise_window_for_proc; procedure shift_window (working : inout t_window_processing; num_phases : in natural range 1 to c_max_phase_shifts ) is begin if working.working_window(0) = '0' then working.invalid_phase_seen := true; else working.valid_phase_seen := true; end if; -- general bit serial shifting of window and incrementing of current bit counter. if working.current_bit < num_phases - 1 then working.current_bit := working.current_bit + 1; else working.current_bit := 0; end if; working.last_bit_value := working.working_window(0); working.working_window := working.working_window(0) & working.working_window(working.working_window'high downto 1); --synopsis translate_off -- for simulation to make it simpler to see IF we are not using all the bits in the window working.working_window(working.working_window'high) := 'H'; -- for visual debug --synopsis translate_on working.working_window(num_phases -1) := working.last_bit_value; working.first_cycle := false; end procedure shift_window; procedure find_centre_of_largest_data_valid_window ( working : inout t_window_processing; num_phases : in natural range 1 to c_max_phase_shifts ) is begin if working.first_cycle = false then -- not first call to procedure, then handle end conditions if working.current_bit = 0 and working.found_a_good_edge = false then -- have been all way arround window (circular) if working.valid_phase_seen = false then working.status := no_valid_phases; elsif working.invalid_phase_seen = false then working.status := no_invalid_phases; end if; elsif working.current_bit = working.first_good_edge then -- if have found a good edge then complete a circular sweep to that edge if working.multiple_eq_windows = true then working.status := multiple_equal_windows; else working.status := valid_result; end if; end if; end if; -- start of a window condition if working.last_bit_value = '0' and working.working_window(0) = '1' then working.current_window_start := working.current_bit; working.current_window_size := working.current_window_size + 1; -- equivalent to assigning to one because if not in a window then it is set to 0 working.window_centre_update := not working.window_centre_update; working.current_window_centre := working.current_bit; if working.found_a_good_edge /= true then -- if have not yet found a good edge then store this value working.first_good_edge := working.current_bit; working.found_a_good_edge := true; end if; -- end of window conditions elsif working.last_bit_value = '1' and working.working_window(0) = '0' then if working.current_window_size > working.largest_window_size then working.largest_window_size := working.current_window_size; working.largest_window_start := working.current_window_start; working.largest_window_centre := working.current_window_centre; working.multiple_eq_windows := false; elsif working.current_window_size = working.largest_window_size then working.multiple_eq_windows := true; end if; -- put counter in here because start of window 1 is observed twice if working.found_a_good_edge = true then working.windows_seen := working.windows_seen + 1; end if; working.current_window_size := 0; elsif working.last_bit_value = '1' and working.working_window(0) = '1' and (working.found_a_good_edge = true) then --note operand in brackets is excessive but for may provide power savings and makes visual inspection of simulatuion easier if working.window_centre_update = '1' then if working.current_window_centre < num_phases -1 then working.current_window_centre := working.current_window_centre + 1; else working.current_window_centre := 0; end if; end if; working.window_centre_update := not working.window_centre_update; working.current_window_size := working.current_window_size + 1; end if; shift_window(working,num_phases); end procedure find_centre_of_largest_data_valid_window; procedure find_last_failing_phase ( working : inout t_window_processing; num_phases : in natural range 1 to c_max_phase_shifts + 1 ) is begin if working.first_cycle = false then -- not first call to procedure if working.current_bit = 0 then -- and working.found_a_good_edge = false then if working.valid_phase_seen = false then working.status := no_valid_phases; elsif working.invalid_phase_seen = false then working.status := no_invalid_phases; else working.status := valid_result; end if; end if; end if; if working.working_window(1) = '1' and working.working_window(0) = '0' and working.status = calculating then working.current_window_start := working.current_bit; end if; shift_window(working, num_phases); -- shifts window and sets first_cycle = false end procedure find_last_failing_phase; procedure find_first_passing_phase ( working : inout t_window_processing; num_phases : in natural range 1 to c_max_phase_shifts ) is begin if working.first_cycle = false then -- not first call to procedure if working.current_bit = 0 then -- and working.found_a_good_edge = false then if working.valid_phase_seen = false then working.status := no_valid_phases; elsif working.invalid_phase_seen = false then working.status := no_invalid_phases; else working.status := valid_result; end if; end if; end if; if working.working_window(0) = '1' and working.last_bit_value = '0' and working.status = calculating then working.current_window_start := working.current_bit; end if; shift_window(working, num_phases); -- shifts window and sets first_cycle = false end procedure find_first_passing_phase; -- shift in current pass/fail result to the working window procedure shift_in( working : inout t_window_processing; status : in std_logic; num_phases : in natural range 1 to c_max_phase_shifts ) is begin working.last_bit_value := working.working_window(0); working.working_window(num_phases-1 downto 0) := (working.working_window(0) and status) & working.working_window(num_phases-1 downto 1); end procedure; -- The following function sets the width over which -- write latency should be repeated on the dq bus -- the default value is MEM_IF_DQ_PER_DQS function set_wlat_dq_rep_width return natural is begin for i in 1 to MEM_IF_DWIDTH/MEM_IF_DQ_PER_DQS loop if (i*MEM_IF_DQ_PER_DQS) >= ADV_LAT_WIDTH then return i*MEM_IF_DQ_PER_DQS; end if; end loop; report dgrb_report_prefix & "the specified maximum write latency cannot be fully represented in the given number of DQ pins" & LF & "** NOTE: This may cause overflow when setting ctl_wlat signal" severity warning; return MEM_IF_DQ_PER_DQS; end function; -- extract PHY 'addr/cmd' to 'wdata_valid' write latency from current read data function wd_lat_from_rdata(signal rdata : in std_logic_vector(DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0)) return std_logic_vector is variable v_wd_lat : std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); begin v_wd_lat := (others => '0'); if set_wlat_dq_rep_width >= ADV_LAT_WIDTH then v_wd_lat := rdata(v_wd_lat'high downto 0); else v_wd_lat := (others => '0'); v_wd_lat(set_wlat_dq_rep_width - 1 downto 0) := rdata(set_wlat_dq_rep_width - 1 downto 0); end if; return v_wd_lat; end function; -- check if rdata_valid is correctly aligned function rdata_valid_aligned( signal rdata : in std_logic_vector(DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0); signal rdata_valid : in std_logic_vector(DWIDTH_RATIO/2 - 1 downto 0) ) return std_logic is variable v_dq_rdata : std_logic_vector(DWIDTH_RATIO - 1 downto 0); variable v_aligned : std_logic; begin -- Look at data from a single DQ pin 0 (DWIDTH_RATIO data bits) for i in 0 to DWIDTH_RATIO - 1 loop v_dq_rdata(i) := rdata(i*MEM_IF_DWIDTH); end loop; -- Check each alignment (necessary because in the HR case rdata can be in any alignment) v_aligned := '0'; for i in 0 to DWIDTH_RATIO/2 - 1 loop if rdata_valid(i) = '1' then if v_dq_rdata(2*i + 1 downto 2*i) = "00" then v_aligned := '1'; end if; end if; end loop; return v_aligned; end function; -- set severity level for calibration failures function set_cal_fail_sev_level ( generate_additional_debug_rtl : natural ) return severity_level is begin if generate_additional_debug_rtl = 1 then return warning; else return failure; end if; end function; constant cal_fail_sev_level : severity_level := set_cal_fail_sev_level(GENERATE_ADDITIONAL_DBG_RTL); -- ------------------------------------------------------------------ -- signal declarations -- rsc = resync - the mechanism of capturing DQ pin data onto a local clock domain -- trk = tracking - a mechanism to track rsc clock phase with PVT variations -- poa = postamble - protection circuitry from postamble glitched on DQS -- ac = memory address / command signals -- ------------------------------------------------------------------ -- main state machine signal sig_dgrb_state : t_dgrb_state; signal sig_dgrb_last_state : t_dgrb_state; signal sig_rsc_req : t_resync_state; -- tells resync block which state to transition to. -- centre of data-valid window process signal sig_cdvw_state : t_window_processing; -- control signals for the address/command process signal sig_addr_cmd : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); signal sig_ac_req : t_ac_state; signal sig_dimm_driving_dq : std_logic; signal sig_doing_rd : std_logic_vector(MEM_IF_DQS_WIDTH * DWIDTH_RATIO/2 - 1 downto 0); signal sig_ac_even : std_logic; -- odd/even count of PHY clock cycles. -- -- sig_ac_even behaviour -- -- sig_ac_even is always '1' on the cycle a command is issued. It will -- be '1' on even clock cycles thereafter and '0' otherwise. -- -- ; ; ; ; ; ; -- ; _______ ; ; ; ; ; -- XXXXX / \ XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- addr/cmd XXXXXX CMD XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- XXXXX \_______/ XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- _________ _________ _________ -- sig_ac_even ____| |_________| |_________| |__________ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- phy clk -- count (0) (1) (2) (3) (4) -- -- -- resync related signals signal sig_rsc_ack : std_logic; signal sig_rsc_err : std_logic; signal sig_rsc_result : std_logic_vector(c_command_result_len - 1 downto 0 ); signal sig_rsc_cdvw_phase : std_logic; signal sig_rsc_cdvw_shift_in : std_logic; signal sig_rsc_cdvw_calc : std_logic; signal sig_rsc_pll_start_reconfig : std_logic; signal sig_rsc_pll_inc_dec_n : std_logic; signal sig_rsc_ac_access_req : std_logic; -- High when the resync block requires a training pattern to be read. -- tracking related signals signal sig_trk_ack : std_logic; signal sig_trk_err : std_logic; signal sig_trk_result : std_logic_vector(c_command_result_len - 1 downto 0 ); signal sig_trk_cdvw_phase : std_logic; signal sig_trk_cdvw_shift_in : std_logic; signal sig_trk_cdvw_calc : std_logic; signal sig_trk_pll_start_reconfig : std_logic; signal sig_trk_pll_select : std_logic_vector(CLOCK_INDEX_WIDTH - 1 DOWNTO 0); signal sig_trk_pll_inc_dec_n : std_logic; signal sig_trk_rsc_drift : integer range -c_max_rsc_drift_in_phases to c_max_rsc_drift_in_phases; -- stores total change in rsc phase from first calibration -- phs_shft_busy could (potentially) be asynchronous -- triple register it for metastability hardening -- these signals are the taps on the shift register signal sig_phs_shft_busy : std_logic; signal sig_phs_shft_busy_1t : std_logic; signal sig_phs_shft_start : std_logic; signal sig_phs_shft_end : std_logic; -- locally register crl_dgrb to minimise fan out signal ctrl_dgrb_r : t_ctrl_command; -- command_op signals signal current_cs : natural range 0 to MEM_IF_NUM_RANKS - 1; signal current_mtp_almt : natural range 0 to 1; signal single_bit_cal : std_logic; -- codvw status signals (packed into record and sent to mmi block) signal cal_codvw_phase : std_logic_vector(7 downto 0); signal codvw_trk_shift : std_logic_vector(11 downto 0); signal cal_codvw_size : std_logic_vector(7 downto 0); -- error signal and result from main state machine (operations other than rsc or tracking) signal sig_cmd_err : std_logic; signal sig_cmd_result : std_logic_vector(c_command_result_len - 1 downto 0 ); -- signals that the training pattern matched correctly on the last clock -- cycle. signal sig_dq_pin_ctr : natural range 0 to MEM_IF_DWIDTH - 1; signal sig_mtp_match : std_logic; -- controls postamble match and timing. signal sig_poa_match_en : std_logic; signal sig_poa_match : std_logic; -- postamble signals signal sig_poa_ack : std_logic; -- '1' for postamble block to acknowledge. -- calibration byte lane select signal cal_byte_lanes : std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); signal codvw_grt_one_dvw : std_logic; begin doing_rd <= sig_doing_rd; -- pack record of codvw status signals dgrb_mmi.cal_codvw_phase <= cal_codvw_phase; dgrb_mmi.codvw_trk_shift <= codvw_trk_shift; dgrb_mmi.cal_codvw_size <= cal_codvw_size; dgrb_mmi.codvw_grt_one_dvw <= codvw_grt_one_dvw; -- map some internal signals to outputs dgrb_ac <= sig_addr_cmd; -- locally register crl_dgrb to minimise fan out process (clk, rst_n) begin if rst_n = '0' then ctrl_dgrb_r <= defaults; elsif rising_edge(clk) then ctrl_dgrb_r <= ctrl_dgrb; end if; end process; -- generate the current_cs signal to track which cs accessed by PHY at any instance current_cs_proc : process (clk, rst_n) begin if rst_n = '0' then current_cs <= 0; current_mtp_almt <= 0; single_bit_cal <= '0'; cal_byte_lanes <= (others => '0'); elsif rising_edge(clk) then if ctrl_dgrb_r.command_req = '1' then current_cs <= ctrl_dgrb_r.command_op.current_cs; current_mtp_almt <= ctrl_dgrb_r.command_op.mtp_almt; single_bit_cal <= ctrl_dgrb_r.command_op.single_bit; end if; -- mux byte lane select for given chip select for i in 0 to MEM_IF_DQS_WIDTH - 1 loop cal_byte_lanes(i) <= ctl_cal_byte_lanes((current_cs * MEM_IF_DQS_WIDTH) + i); end loop; assert ctl_cal_byte_lanes(0) = '1' report dgrb_report_prefix & " Byte lane 0 (chip select 0) disable is not supported - ending simulation" severity failure; end if; end process; -- ------------------------------------------------------------------ -- main state machine for dgrb architecture -- -- process of commands from control (ctrl) block and overall control of -- the subsequent calibration processing functions -- also communicates completion and any errors back to the ctrl block -- read data valid alignment and advertised latency calculations are -- included in this block -- ------------------------------------------------------------------ dgrb_main_block : block signal sig_count : natural range 0 to 2**8 - 1; signal sig_wd_lat : std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); begin dgrb_state_proc : process(rst_n, clk) begin if rst_n = '0' then -- initialise state sig_dgrb_state <= s_idle; sig_dgrb_last_state <= s_idle; sig_ac_req <= s_ac_idle; sig_rsc_req <= s_rsc_idle; -- set up rd_lat defaults rd_lat <= c_default_rd_lat_slv; wd_lat <= c_default_wd_lat_slv; -- set up rdata_valid latency control defaults seq_rdata_valid_lat_inc <= '0'; seq_rdata_valid_lat_dec <= '0'; -- reset counter sig_count <= 0; -- error signals sig_cmd_err <= '0'; sig_cmd_result <= (others => '0'); -- sig_wd_lat sig_wd_lat <= (others => '0'); -- status of the ac_nt alignment dgrb_ctrl_ac_nt_good <= '1'; elsif rising_edge(clk) then sig_dgrb_last_state <= sig_dgrb_state; sig_rsc_req <= s_rsc_idle; -- set up rdata_valid latency control defaults seq_rdata_valid_lat_inc <= '0'; seq_rdata_valid_lat_dec <= '0'; -- error signals sig_cmd_err <= '0'; sig_cmd_result <= (others => '0'); -- register wd_lat output. wd_lat <= sig_wd_lat; case sig_dgrb_state is when s_idle => sig_count <= 0; if ctrl_dgrb_r.command_req = '1' then if curr_active_block(ctrl_dgrb_r.command) = dgrb then sig_dgrb_state <= s_wait_admin; end if; end if; sig_ac_req <= s_ac_idle; when s_wait_admin => sig_dgrb_state <= s_wait_admin; case ctrl_dgrb_r.command is when cmd_read_mtp => sig_dgrb_state <= s_read_mtp; when cmd_rrp_reset => sig_dgrb_state <= s_reset_cdvw; when cmd_rrp_sweep => sig_dgrb_state <= s_test_phases; when cmd_rrp_seek => sig_dgrb_state <= s_seek_cdvw; when cmd_rdv => sig_dgrb_state <= s_rdata_valid_align; when cmd_prep_adv_rd_lat => sig_dgrb_state <= s_adv_rd_lat_setup; when cmd_prep_adv_wr_lat => sig_dgrb_state <= s_adv_wd_lat; when cmd_tr_due => sig_dgrb_state <= s_track; when cmd_poa => sig_dgrb_state <= s_poa_cal; when others => report dgrb_report_prefix & "unknown command" severity failure; sig_dgrb_state <= s_idle; end case; when s_reset_cdvw => -- the cdvw proc watches for this state and resets the cdvw -- state block. if sig_rsc_ack = '1' then sig_dgrb_state <= s_release_admin; else sig_rsc_req <= s_rsc_reset_cdvw; end if; when s_test_phases => if sig_rsc_ack = '1' then sig_dgrb_state <= s_release_admin; else sig_rsc_req <= s_rsc_test_phase; if sig_rsc_ac_access_req = '1' then sig_ac_req <= s_ac_read_mtp; else sig_ac_req <= s_ac_idle; end if; end if; when s_seek_cdvw | s_read_mtp => if sig_rsc_ack = '1' then sig_dgrb_state <= s_release_admin; else sig_rsc_req <= s_rsc_cdvw_calc; end if; when s_release_admin => sig_ac_req <= s_ac_idle; if dgrb_ac_access_gnt = '0' and sig_dimm_driving_dq = '0' then sig_dgrb_state <= s_idle; end if; when s_rdata_valid_align => sig_ac_req <= s_ac_read_rdv; seq_rdata_valid_lat_dec <= '0'; seq_rdata_valid_lat_inc <= '0'; if sig_dimm_driving_dq = '1' then -- only do comparison if rdata_valid is all 'ones' if rdata_valid /= std_logic_vector(to_unsigned(0, DWIDTH_RATIO/2)) then -- rdata_valid is all ones if rdata_valid_aligned(rdata, rdata_valid) = '1' then -- success: rdata_valid and rdata are properly aligned sig_dgrb_state <= s_release_admin; else -- misaligned: bring in rdata_valid by a clock cycle seq_rdata_valid_lat_dec <= '1'; end if; end if; end if; when s_adv_rd_lat_setup => -- wait for sig_doing_rd to go high sig_ac_req <= s_ac_read_rdv; if sig_dgrb_state /= sig_dgrb_last_state then rd_lat <= (others => '0'); sig_count <= 0; elsif sig_dimm_driving_dq = '1' and sig_doing_rd(MEM_IF_DQS_WIDTH*(DWIDTH_RATIO/2-1)) = '1' then -- a read has started: start counter sig_dgrb_state <= s_adv_rd_lat; end if; when s_adv_rd_lat => sig_ac_req <= s_ac_read_rdv; if sig_dimm_driving_dq = '1' then if sig_count >= 2**rd_lat'length then report dgrb_report_prefix & "maximum read latency exceeded while waiting for rdata_valid" severity cal_fail_sev_level; sig_cmd_err <= '1'; sig_cmd_result <= std_logic_vector(to_unsigned(C_ERR_MAX_RD_LAT_EXCEEDED,sig_cmd_result'length)); end if; if rdata_valid /= std_logic_vector(to_unsigned(0, rdata_valid'length)) then -- have found the read latency sig_dgrb_state <= s_release_admin; else sig_count <= sig_count + 1; end if; rd_lat <= std_logic_vector(to_unsigned(sig_count, rd_lat'length)); end if; when s_adv_wd_lat => sig_ac_req <= s_ac_read_wd_lat; if sig_dgrb_state /= sig_dgrb_last_state then sig_wd_lat <= (others => '0'); else if sig_dimm_driving_dq = '1' and rdata_valid /= std_logic_vector(to_unsigned(0, rdata_valid'length)) then -- construct wd_lat using data from the lowest addresses -- wd_lat <= rdata(MEM_IF_DQ_PER_DQS - 1 downto 0); sig_wd_lat <= wd_lat_from_rdata(rdata); sig_dgrb_state <= s_release_admin; -- check data integrity for i in 1 to MEM_IF_DWIDTH/set_wlat_dq_rep_width - 1 loop -- wd_lat is copied across MEM_IF_DWIDTH bits in fields of width MEM_IF_DQ_PER_DQS. -- All of these fields must have the same value or it is an error. -- only check if byte lane not disabled if cal_byte_lanes((i*set_wlat_dq_rep_width)/MEM_IF_DQ_PER_DQS) = '1' then if rdata(set_wlat_dq_rep_width - 1 downto 0) /= rdata((i+1)*set_wlat_dq_rep_width - 1 downto i*set_wlat_dq_rep_width) then -- signal write latency different between DQS groups report dgrb_report_prefix & "the write latency read from memory is different accross dqs groups" severity cal_fail_sev_level; sig_cmd_err <= '1'; sig_cmd_result <= std_logic_vector(to_unsigned(C_ERR_WD_LAT_DISAGREEMENT, sig_cmd_result'length)); end if; end if; end loop; -- check if ac_nt alignment is ok -- in this condition all DWIDTH_RATIO copies of rdata should be identical dgrb_ctrl_ac_nt_good <= '1'; if DWIDTH_RATIO /= 2 then for j in 0 to DWIDTH_RATIO/2 - 1 loop if rdata(j*MEM_IF_DWIDTH + MEM_IF_DQ_PER_DQS - 1 downto j*MEM_IF_DWIDTH) /= rdata((j+2)*MEM_IF_DWIDTH + MEM_IF_DQ_PER_DQS - 1 downto (j+2)*MEM_IF_DWIDTH) then dgrb_ctrl_ac_nt_good <= '0'; end if; end loop; end if; end if; end if; when s_poa_cal => -- Request the address/command block begins reading the "M" -- training pattern here. There is no provision for doing -- refreshes so this limits the time spent in this state -- to 9 x tREFI (by the DDR2 JEDEC spec). Instead of the -- maximum value, a maximum "safe" time in this postamble -- state is chosen to be tpoamax = 5 x tREFI = 5 x 3.9us. -- When entering this s_poa_cal state it must be guaranteed -- that the number of stacked refreshes is at maximum. -- -- Minimum clock freq supported by DRAM is fck,min=125MHz. -- Each adjustment to postamble latency requires 16*clock -- cycles (time to read "M" training pattern twice) so -- maximum number of adjustments to POA latency (n) is: -- -- n = (5 x trefi x fck,min) / 16 -- = (5 x 3.9us x 125MHz) / 16 -- ~ 152 -- -- Postamble latency must be adjusted less than 152 cycles -- to meet this requirement. -- sig_ac_req <= s_ac_read_poa_mtp; if sig_poa_ack = '1' then sig_dgrb_state <= s_release_admin; end if; when s_track => if sig_trk_ack = '1' then sig_dgrb_state <= s_release_admin; end if; when others => null; report dgrb_report_prefix & "undefined state" severity failure; sig_dgrb_state <= s_idle; end case; -- default if not calibrating go to idle state via s_release_admin if ctrl_dgrb_r.command = cmd_idle and sig_dgrb_state /= s_idle and sig_dgrb_state /= s_release_admin then sig_dgrb_state <= s_release_admin; end if; end if; end process; end block; -- ------------------------------------------------------------------ -- metastability hardening of potentially async phs_shift_busy signal -- -- Triple register it for metastability hardening. This process -- creates the shift register. Also add a sig_phs_shft_busy and -- an sig_phs_shft_busy_1t echo because various other processes find -- this useful. -- ------------------------------------------------------------------ phs_shft_busy_reg: block signal phs_shft_busy_1r : std_logic; signal phs_shft_busy_2r : std_logic; signal phs_shft_busy_3r : std_logic; begin phs_shift_busy_sync : process (clk, rst_n) begin if rst_n = '0' then sig_phs_shft_busy <= '0'; sig_phs_shft_busy_1t <= '0'; phs_shft_busy_1r <= '0'; phs_shft_busy_2r <= '0'; phs_shft_busy_3r <= '0'; sig_phs_shft_start <= '0'; sig_phs_shft_end <= '0'; elsif rising_edge(clk) then sig_phs_shft_busy_1t <= phs_shft_busy_3r; sig_phs_shft_busy <= phs_shft_busy_2r; -- register the below to reduce fan out on sig_phs_shft_busy and sig_phs_shft_busy_1t sig_phs_shft_start <= phs_shft_busy_3r or phs_shft_busy_2r; sig_phs_shft_end <= phs_shft_busy_3r and not(phs_shft_busy_2r); phs_shft_busy_3r <= phs_shft_busy_2r; phs_shft_busy_2r <= phs_shft_busy_1r; phs_shft_busy_1r <= phs_shft_busy; end if; end process; end block; -- ------------------------------------------------------------------ -- PLL reconfig MUX -- -- switches PLL Reconfig input between tracking and resync blocks -- ------------------------------------------------------------------ pll_reconf_mux : process (clk, rst_n) begin if rst_n = '0' then seq_pll_inc_dec_n <= '0'; seq_pll_select <= (others => '0'); seq_pll_start_reconfig <= '0'; elsif rising_edge(clk) then if sig_dgrb_state = s_seek_cdvw or sig_dgrb_state = s_test_phases or sig_dgrb_state = s_reset_cdvw then seq_pll_select <= pll_resync_clk_index; seq_pll_inc_dec_n <= sig_rsc_pll_inc_dec_n; seq_pll_start_reconfig <= sig_rsc_pll_start_reconfig; elsif sig_dgrb_state = s_track then seq_pll_select <= sig_trk_pll_select; seq_pll_inc_dec_n <= sig_trk_pll_inc_dec_n; seq_pll_start_reconfig <= sig_trk_pll_start_reconfig; else seq_pll_select <= pll_measure_clk_index; seq_pll_inc_dec_n <= '0'; seq_pll_start_reconfig <= '0'; end if; end if; end process; -- ------------------------------------------------------------------ -- Centre of data valid window calculation block -- -- This block handles the sharing of the centre of window calculation -- logic between the rsc and trk operations. Functions defined in the -- header of this entity are called to do this. -- ------------------------------------------------------------------ cdvw_block : block signal sig_cdvw_calc_1t : std_logic; begin -- purpose: manages centre of data valid window calculations -- type : sequential -- inputs : clk, rst_n -- outputs: sig_cdvw_state cdvw_proc: process (clk, rst_n) variable v_cdvw_state : t_window_processing; variable v_start_calc : std_logic; variable v_shift_in : std_logic; variable v_phase : std_logic; begin -- process cdvw_proc if rst_n = '0' then -- asynchronous reset (active low) sig_cdvw_state <= defaults; sig_cdvw_calc_1t <= '0'; elsif rising_edge(clk) then -- rising clock edge v_cdvw_state := sig_cdvw_state; case sig_dgrb_state is when s_track => v_start_calc := sig_trk_cdvw_calc; v_phase := sig_trk_cdvw_phase; v_shift_in := sig_trk_cdvw_shift_in; when s_read_mtp | s_seek_cdvw | s_test_phases => v_start_calc := sig_rsc_cdvw_calc; v_phase := sig_rsc_cdvw_phase; v_shift_in := sig_rsc_cdvw_shift_in; when others => v_start_calc := '0'; v_phase := '0'; v_shift_in := '0'; end case; if sig_dgrb_state = s_reset_cdvw or (sig_dgrb_state = s_track and sig_dgrb_last_state /= s_track) then -- reset *C*entre of *D*ata *V*alid *W*indow v_cdvw_state := defaults; elsif sig_cdvw_calc_1t /= '1' and v_start_calc = '1' then initialise_window_for_proc(v_cdvw_state); elsif v_cdvw_state.status = calculating then if sig_dgrb_state = s_track then -- ensure 360 degrees sweep find_centre_of_largest_data_valid_window(v_cdvw_state, PLL_STEPS_PER_CYCLE); else -- can be a 720 degrees sweep find_centre_of_largest_data_valid_window(v_cdvw_state, c_max_phase_shifts); end if; elsif v_shift_in = '1' then if sig_dgrb_state = s_track then -- ensure 360 degrees sweep shift_in(v_cdvw_state, v_phase, PLL_STEPS_PER_CYCLE); else shift_in(v_cdvw_state, v_phase, c_max_phase_shifts); end if; end if; sig_cdvw_calc_1t <= v_start_calc; sig_cdvw_state <= v_cdvw_state; end if; end process cdvw_proc; end block; -- ------------------------------------------------------------------ -- block for resync calculation. -- -- This block implements the following: -- 1) Control logic for the rsc slave state machine -- 2) Processing of resync operations - through reports form cdvw block and -- test pattern match blocks -- 3) Shifting of the resync phase for rsc sweeps -- 4) Writing of results to iram (optional) -- ------------------------------------------------------------------ rsc_block : block signal sig_rsc_state : t_resync_state; signal sig_rsc_last_state : t_resync_state; signal sig_num_phase_shifts : natural range c_max_phase_shifts - 1 downto 0; signal sig_rewind_direction : std_logic; signal sig_count : natural range 0 to 2**8 - 1; signal sig_test_dq_expired : std_logic; signal sig_chkd_all_dq_pins : std_logic; -- prompts to write data to iram signal sig_dgrb_iram : t_iram_push; -- internal copy of dgrb to iram control signals signal sig_rsc_push_rrp_sweep : std_logic; -- push result of a rrp sweep pass (for cmd_rrp_sweep) signal sig_rsc_push_rrp_pass : std_logic; -- result of a rrp sweep result (for cmd_rrp_sweep) signal sig_rsc_push_rrp_seek : std_logic; -- write seek results (for cmd_rrp_seek / cmd_read_mtp states) signal sig_rsc_push_footer : std_logic; -- write a footer signal sig_dq_pin_ctr_r : natural range 0 to MEM_IF_DWIDTH - 1; -- registered version of dq_pin_ctr signal sig_rsc_curr_phase : natural range 0 to c_max_phase_shifts - 1; -- which phase is being processed signal sig_iram_idle : std_logic; -- track if iram currently writing data signal sig_mtp_match_en : std_logic; -- current byte lane disabled? signal sig_curr_byte_ln_dis : std_logic; signal sig_iram_wds_req : integer; -- words required for a given iram dump (used to locate where to write footer) begin -- When using DQS capture or not at full-rate only match on "even" clock cycles. sig_mtp_match_en <= active_high(sig_ac_even = '1' or MEM_IF_DQS_CAPTURE = 0 or DWIDTH_RATIO /= 2); -- register current byte lane disable mux for speed byte_lane_dis: process (clk, rst_n) begin if rst_n = '0' then sig_curr_byte_ln_dis <= '0'; elsif rising_edge(clk) then sig_curr_byte_ln_dis <= cal_byte_lanes(sig_dq_pin_ctr/MEM_IF_DQ_PER_DQS); end if; end process; -- check if all dq pins checked in rsc sweep chkd_dq : process (clk, rst_n) begin if rst_n = '0' then sig_chkd_all_dq_pins <= '0'; elsif rising_edge(clk) then if sig_dq_pin_ctr = 0 then sig_chkd_all_dq_pins <= '1'; else sig_chkd_all_dq_pins <= '0'; end if; end if; end process; -- main rsc process rsc_proc : process (clk, rst_n) -- these are temporary variables which should not infer FFs and -- are not guaranteed to be initialized by s_rsc_idle. variable v_rdata_correct : std_logic; variable v_phase_works : std_logic; begin if rst_n = '0' then -- initialise signals sig_rsc_state <= s_rsc_idle; sig_rsc_last_state <= s_rsc_idle; sig_dq_pin_ctr <= 0; sig_num_phase_shifts <= c_max_phase_shifts - 1; -- want c_max_phase_shifts-1 inc / decs of phase sig_count <= 0; sig_test_dq_expired <= '0'; v_phase_works := '0'; -- interface to other processes to tell them when we are done. sig_rsc_ack <= '0'; sig_rsc_err <= '0'; sig_rsc_result <= std_logic_vector(to_unsigned(C_SUCCESS, c_command_result_len)); -- centre of data valid window functions sig_rsc_cdvw_phase <= '0'; sig_rsc_cdvw_shift_in <= '0'; sig_rsc_cdvw_calc <= '0'; -- set up PLL reconfig interface controls sig_rsc_pll_start_reconfig <= '0'; sig_rsc_pll_inc_dec_n <= c_pll_phs_inc; sig_rewind_direction <= c_pll_phs_dec; -- True when access to the ac_block is required. sig_rsc_ac_access_req <= '0'; -- default values on centre and size of data valid window if SIM_TIME_REDUCTIONS = 1 then cal_codvw_phase <= std_logic_vector(to_unsigned(PRESET_CODVW_PHASE, 8)); cal_codvw_size <= std_logic_vector(to_unsigned(PRESET_CODVW_SIZE, 8)); else cal_codvw_phase <= (others => '0'); cal_codvw_size <= (others => '0'); end if; sig_rsc_push_rrp_sweep <= '0'; sig_rsc_push_rrp_seek <= '0'; sig_rsc_push_rrp_pass <= '0'; sig_rsc_push_footer <= '0'; codvw_grt_one_dvw <= '0'; elsif rising_edge(clk) then -- default values assigned to some signals sig_rsc_ack <= '0'; sig_rsc_cdvw_phase <= '0'; sig_rsc_cdvw_shift_in <= '0'; sig_rsc_cdvw_calc <= '0'; sig_rsc_pll_start_reconfig <= '0'; sig_rsc_pll_inc_dec_n <= c_pll_phs_inc; sig_rewind_direction <= c_pll_phs_dec; -- by default don't ask the resync block to read anything sig_rsc_ac_access_req <= '0'; sig_rsc_push_rrp_sweep <= '0'; sig_rsc_push_rrp_seek <= '0'; sig_rsc_push_rrp_pass <= '0'; sig_rsc_push_footer <= '0'; sig_test_dq_expired <= '0'; -- resync state machine case sig_rsc_state is when s_rsc_idle => -- initialize those signals we are ready to use. sig_dq_pin_ctr <= 0; sig_count <= 0; if sig_rsc_state = sig_rsc_last_state then -- avoid transition when acknowledging a command has finished if sig_rsc_req = s_rsc_test_phase then sig_rsc_state <= s_rsc_test_phase; elsif sig_rsc_req = s_rsc_cdvw_calc then sig_rsc_state <= s_rsc_cdvw_calc; elsif sig_rsc_req = s_rsc_seek_cdvw then sig_rsc_state <= s_rsc_seek_cdvw; elsif sig_rsc_req = s_rsc_reset_cdvw then sig_rsc_state <= s_rsc_reset_cdvw; else sig_rsc_state <= s_rsc_idle; end if; end if; when s_rsc_next_phase => sig_rsc_pll_inc_dec_n <= c_pll_phs_inc; sig_rsc_pll_start_reconfig <= '1'; if sig_phs_shft_start = '1' then -- PLL phase shift started - so stop requesting a shift sig_rsc_pll_start_reconfig <= '0'; end if; if sig_phs_shft_end = '1' then -- PLL phase shift finished - so proceed to flush the datapath sig_num_phase_shifts <= sig_num_phase_shifts - 1; sig_rsc_state <= s_rsc_test_phase; end if; when s_rsc_test_phase => v_phase_works := '1'; -- Note: For single pin single CS calibration set sig_dq_pin_ctr to 0 to -- ensure that only 1 pin calibrated sig_rsc_state <= s_rsc_wait_for_idle_dimm; if single_bit_cal = '1' then sig_dq_pin_ctr <= 0; else sig_dq_pin_ctr <= MEM_IF_DWIDTH-1; end if; when s_rsc_wait_for_idle_dimm => if sig_dimm_driving_dq = '0' then sig_rsc_state <= s_rsc_flush_datapath; end if; when s_rsc_flush_datapath => sig_rsc_ac_access_req <= '1'; if sig_rsc_state /= sig_rsc_last_state then -- reset variables we are interested in when we first arrive in this state. sig_count <= c_max_read_lat - 1; else if sig_dimm_driving_dq = '1' then if sig_count = 0 then sig_rsc_state <= s_rsc_test_dq; else sig_count <= sig_count - 1; end if; end if; end if; when s_rsc_test_dq => sig_rsc_ac_access_req <= '1'; if sig_rsc_state /= sig_rsc_last_state then -- reset variables we are interested in when we first arrive in this state. sig_count <= 2*c_cal_mtp_t; else if sig_dimm_driving_dq = '1' then if ( (sig_mtp_match = '1' and sig_mtp_match_en = '1') or -- have a pattern match (sig_test_dq_expired = '1') or -- time in this phase has expired. sig_curr_byte_ln_dis = '0' -- byte lane disabled ) then v_phase_works := v_phase_works and ((sig_mtp_match and sig_mtp_match_en) or (not sig_curr_byte_ln_dis)); sig_rsc_push_rrp_sweep <= '1'; sig_rsc_push_rrp_pass <= (sig_mtp_match and sig_mtp_match_en) or (not sig_curr_byte_ln_dis); if sig_chkd_all_dq_pins = '1' then -- finished checking all dq pins. -- done checking this phase. -- shift phase status into sig_rsc_cdvw_phase <= v_phase_works; sig_rsc_cdvw_shift_in <= '1'; if sig_num_phase_shifts /= 0 then -- there are more phases to test so shift to next phase sig_rsc_state <= s_rsc_next_phase; else -- no more phases to check. -- clean up after ourselves by -- going into s_rsc_rewind_phase sig_rsc_state <= s_rsc_rewind_phase; sig_rewind_direction <= c_pll_phs_dec; sig_num_phase_shifts <= c_max_phase_shifts - 1; end if; else -- shift to next dq pin if MEM_IF_DWIDTH > 71 and -- if >= 72 pins then: (sig_dq_pin_ctr mod 64) = 0 then -- ensure refreshes at least once every 64 pins sig_rsc_state <= s_rsc_wait_for_idle_dimm; else -- otherwise continue sweep sig_rsc_state <= s_rsc_flush_datapath; end if; sig_dq_pin_ctr <= sig_dq_pin_ctr - 1; end if; else sig_count <= sig_count - 1; if sig_count = 1 then sig_test_dq_expired <= '1'; end if; end if; end if; end if; when s_rsc_reset_cdvw => sig_rsc_state <= s_rsc_rewind_phase; -- determine the amount to rewind by (may be wind forward depending on tracking behaviour) if to_integer(unsigned(cal_codvw_phase)) + sig_trk_rsc_drift < 0 then sig_num_phase_shifts <= - (to_integer(unsigned(cal_codvw_phase)) + sig_trk_rsc_drift); sig_rewind_direction <= c_pll_phs_inc; else sig_num_phase_shifts <= (to_integer(unsigned(cal_codvw_phase)) + sig_trk_rsc_drift); sig_rewind_direction <= c_pll_phs_dec; end if; -- reset the calibrated phase and size to zero (because un-doing prior calibration here) cal_codvw_phase <= (others => '0'); cal_codvw_size <= (others => '0'); when s_rsc_rewind_phase => -- rewinds the resync PLL by sig_num_phase_shifts steps and returns to idle state if sig_num_phase_shifts = 0 then -- no more steps to take off, go to next state sig_num_phase_shifts <= c_max_phase_shifts - 1; if GENERATE_ADDITIONAL_DBG_RTL = 1 then -- if iram present hold off until access finished sig_rsc_state <= s_rsc_wait_iram; else sig_rsc_ack <= '1'; sig_rsc_state <= s_rsc_idle; end if; else sig_rsc_pll_inc_dec_n <= sig_rewind_direction; -- request a phase shift sig_rsc_pll_start_reconfig <= '1'; if sig_phs_shft_busy = '1' then -- inhibit a phase shift if phase shift is busy. sig_rsc_pll_start_reconfig <= '0'; end if; if sig_phs_shft_busy_1t = '1' and sig_phs_shft_busy /= '1' then -- we've just successfully removed a phase step -- decrement counter sig_num_phase_shifts <= sig_num_phase_shifts - 1; sig_rsc_pll_start_reconfig <= '0'; end if; end if; when s_rsc_cdvw_calc => if sig_rsc_state /= sig_rsc_last_state then if sig_dgrb_state = s_read_mtp then report dgrb_report_prefix & "gathered resync phase samples (for mtp alignment " & natural'image(current_mtp_almt) & ") is DGRB_PHASE_SAMPLES: " & str(sig_cdvw_state.working_window) severity note; else report dgrb_report_prefix & "gathered resync phase samples DGRB_PHASE_SAMPLES: " & str(sig_cdvw_state.working_window) severity note; end if; sig_rsc_cdvw_calc <= '1'; -- begin calculating result else sig_rsc_state <= s_rsc_cdvw_wait; end if; when s_rsc_cdvw_wait => if sig_cdvw_state.status /= calculating then -- a result has been reached. if sig_dgrb_state = s_read_mtp then -- if doing mtp alignment then skip setting phase if GENERATE_ADDITIONAL_DBG_RTL = 1 then -- if iram present hold off until access finished sig_rsc_state <= s_rsc_wait_iram; else sig_rsc_ack <= '1'; sig_rsc_state <= s_rsc_idle; end if; else if sig_cdvw_state.status = valid_result then -- calculation successfully found a -- data-valid window to seek to. sig_rsc_state <= s_rsc_seek_cdvw; sig_rsc_result <= std_logic_vector(to_unsigned(C_SUCCESS, sig_rsc_result'length)); -- If more than one data valid window was seen, then set the result code : if (sig_cdvw_state.windows_seen > 1) then report dgrb_report_prefix & "Warning : multiple data-valid windows found, largest chosen." severity note; codvw_grt_one_dvw <= '1'; else report dgrb_report_prefix & "data-valid window found successfully." severity note; end if; else -- calculation failed to find a data-valid window. report dgrb_report_prefix & "couldn't find a data-valid window in resync." severity warning; sig_rsc_ack <= '1'; sig_rsc_err <= '1'; sig_rsc_state <= s_rsc_idle; -- set resync result code case sig_cdvw_state.status is when no_invalid_phases => sig_rsc_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_NO_VALID_PHASES, sig_rsc_result'length)); when multiple_equal_windows => sig_rsc_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_MULTIPLE_EQUAL_WINDOWS, sig_rsc_result'length)); when no_valid_phases => sig_rsc_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_NO_VALID_PHASES, sig_rsc_result'length)); when others => sig_rsc_result <= std_logic_vector(to_unsigned(C_ERR_CRITICAL, sig_rsc_result'length)); end case; end if; end if; -- signal to write a rrp_sweep result to iram if GENERATE_ADDITIONAL_DBG_RTL = 1 then sig_rsc_push_rrp_seek <= '1'; end if; end if; when s_rsc_seek_cdvw => if sig_rsc_state /= sig_rsc_last_state then -- reset variables we are interested in when we first arrive in this state sig_count <= sig_cdvw_state.largest_window_centre; else if sig_count = 0 or ((MEM_IF_DQS_CAPTURE = 1 and DWIDTH_RATIO = 2) and sig_count = PLL_STEPS_PER_CYCLE) -- if FR and DQS capture ensure within 0-360 degrees phase then -- ready to transition to next state if GENERATE_ADDITIONAL_DBG_RTL = 1 then -- if iram present hold off until access finished sig_rsc_state <= s_rsc_wait_iram; else sig_rsc_ack <= '1'; sig_rsc_state <= s_rsc_idle; end if; -- return largest window centre and size in the result -- perform cal_codvw phase / size update only if a valid result is found if sig_cdvw_state.status = valid_result then cal_codvw_phase <= std_logic_vector(to_unsigned(sig_cdvw_state.largest_window_centre, 8)); cal_codvw_size <= std_logic_vector(to_unsigned(sig_cdvw_state.largest_window_size, 8)); end if; -- leaving sig_rsc_err or sig_rsc_result at -- their default values (of success) else sig_rsc_pll_inc_dec_n <= c_pll_phs_inc; -- request a phase shift sig_rsc_pll_start_reconfig <= '1'; if sig_phs_shft_start = '1' then -- inhibit a phase shift if phase shift is busy sig_rsc_pll_start_reconfig <= '0'; end if; if sig_phs_shft_end = '1' then -- we've just successfully removed a phase step -- decrement counter sig_count <= sig_count - 1; end if; end if; end if; when s_rsc_wait_iram => -- hold off check 1 clock cycle to enable last rsc push operations to start if sig_rsc_state = sig_rsc_last_state then if sig_iram_idle = '1' then sig_rsc_ack <= '1'; sig_rsc_state <= s_rsc_idle; if sig_dgrb_state = s_test_phases or sig_dgrb_state = s_seek_cdvw or sig_dgrb_state = s_read_mtp then sig_rsc_push_footer <= '1'; end if; end if; end if; when others => null; end case; sig_rsc_last_state <= sig_rsc_state; end if; end process; -- write results to the iram iram_push: process (clk, rst_n) begin if rst_n = '0' then sig_dgrb_iram <= defaults; sig_iram_idle <= '0'; sig_dq_pin_ctr_r <= 0; sig_rsc_curr_phase <= 0; sig_iram_wds_req <= 0; elsif rising_edge(clk) then if GENERATE_ADDITIONAL_DBG_RTL = 1 then if sig_dgrb_iram.iram_write = '1' and sig_dgrb_iram.iram_done = '1' then report dgrb_report_prefix & "iram_done and iram_write signals concurrently set - iram contents may be corrupted" severity failure; end if; if sig_dgrb_iram.iram_write = '0' and sig_dgrb_iram.iram_done = '0' then sig_iram_idle <= '1'; else sig_iram_idle <= '0'; end if; -- registered sig_dq_pin_ctr to align with rrp_sweep result sig_dq_pin_ctr_r <= sig_dq_pin_ctr; -- calculate current phase (registered to align with rrp_sweep result) sig_rsc_curr_phase <= (c_max_phase_shifts - 1) - sig_num_phase_shifts; -- serial push of rrp_sweep results into memory if sig_rsc_push_rrp_sweep = '1' then -- signal an iram write and track a write pending sig_dgrb_iram.iram_write <= '1'; sig_iram_idle <= '0'; -- if not single_bit_cal then pack pin phase results in MEM_IF_DWIDTH word blocks if single_bit_cal = '1' then sig_dgrb_iram.iram_wordnum <= sig_dq_pin_ctr_r + (sig_rsc_curr_phase/32); sig_iram_wds_req <= iram_wd_for_one_pin_rrp( DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, MEM_IF_DWIDTH, MEM_IF_DQS_CAPTURE); -- note total word requirement else sig_dgrb_iram.iram_wordnum <= sig_dq_pin_ctr_r + (sig_rsc_curr_phase/32) * MEM_IF_DWIDTH; sig_iram_wds_req <= iram_wd_for_full_rrp( DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, MEM_IF_DWIDTH, MEM_IF_DQS_CAPTURE); -- note total word requirement end if; -- check if current pin and phase passed: sig_dgrb_iram.iram_pushdata(0) <= sig_rsc_push_rrp_pass; -- bit offset is modulo phase sig_dgrb_iram.iram_bitnum <= sig_rsc_curr_phase mod 32; end if; -- write result of rrp_calc to iram when completed if sig_rsc_push_rrp_seek = '1' then -- a result found sig_dgrb_iram.iram_write <= '1'; sig_iram_idle <= '0'; sig_dgrb_iram.iram_wordnum <= 0; sig_iram_wds_req <= 1; -- note total word requirement if sig_cdvw_state.status = valid_result then -- result is valid sig_dgrb_iram.iram_pushdata <= x"0000" & std_logic_vector(to_unsigned(sig_cdvw_state.largest_window_centre, 8)) & std_logic_vector(to_unsigned(sig_cdvw_state.largest_window_size, 8)); else -- invalid result (error code communicated elsewhere) sig_dgrb_iram.iram_pushdata <= x"FFFF" & -- signals an error condition x"0000"; end if; end if; -- when stage finished write footer if sig_rsc_push_footer = '1' then sig_dgrb_iram.iram_done <= '1'; sig_iram_idle <= '0'; -- set address location of footer sig_dgrb_iram.iram_wordnum <= sig_iram_wds_req; end if; -- if write completed deassert iram_write and done signals if iram_push_done = '1' then sig_dgrb_iram.iram_write <= '0'; sig_dgrb_iram.iram_done <= '0'; end if; else sig_iram_idle <= '0'; sig_dq_pin_ctr_r <= 0; sig_rsc_curr_phase <= 0; sig_dgrb_iram <= defaults; end if; end if; end process; -- concurrently assign sig_dgrb_iram to dgrb_iram dgrb_iram <= sig_dgrb_iram; end block; -- resync calculation -- ------------------------------------------------------------------ -- test pattern match block -- -- This block handles the sharing of logic for test pattern matching -- which is used in resync and postamble calibration / code blocks -- ------------------------------------------------------------------ tp_match_block : block -- -- Ascii Waveforms: -- -- ; ; ; ; ; ; -- ____ ____ ____ ____ ____ ____ -- delayed_dqs |____| |____| |____| |____| |____| |____| |____| -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; _______ ; _______ ; _______ ; _______ ; _______ _______ -- XXXXX / \ / \ / \ / \ / \ / \ -- c0,c1 XXXXXX A B X C D X E F X G H X I J X L M X captured data -- XXXXX \_______/ \_______/ \_______/ \_______/ \_______/ \_______/ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ____; ____; ____ ____ ____ ____ ____ -- 180-resync_clk |____| |____| |____| |____| |____| |____| | 180deg shift from delayed dqs -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; _______ _______ _______ _______ _______ ____ -- XXXXXXXXXX / \ / \ / \ / \ / \ / -- 180-r0,r1 XXXXXXXXXXX A B X C D X E F X G H X I J X L resync data -- XXXXXXXXXX \_______/ \_______/ \_______/ \_______/ \_______/ \____ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ____ ____ ____ ____ ____ ____ -- 360-resync_clk ____| |____| |____| |____| |____| |____| |____| -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; _______ ; _______ ; _______ ; _______ ; _______ -- XXXXXXXXXXXXXXX / \ / \ / \ / \ / \ -- 360-r0,r1 XXXXXXXXXXXXXXXX A B X C D X E F X G H X I J X resync data -- XXXXXXXXXXXXXXX \_______/ \_______/ \_______/ \_______/ \_______/ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ____ ____ ____ ____ ____ ____ ____ -- 540-resync_clk |____| |____| |____| |____| |____| |____| | -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; _______ _______ _______ _______ ____ -- XXXXXXXXXXXXXXXXXXX / \ / \ / \ / \ / -- 540-r0,r1 XXXXXXXXXXXXXXXXXXXX A B X C D X E F X G H X I resync data -- XXXXXXXXXXXXXXXXXXX \_______/ \_______/ \_______/ \_______/ \____ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ;____ ____ ____ ____ ____ ____ -- phy_clk |____| |____| |____| |____| |____| |____| |____| -- -- 0 1 2 3 4 5 6 -- -- -- |<- Aligned Data ->| -- phy_clk 180-r0,r1 540-r0,r1 sig_mtp_match_en (generated from sig_ac_even) -- 0 XXXXXXXX XXXXXXXX '1' -- 1 XXXXXXAB XXXXXXXX '0' -- 2 XXXXABCD XXXXXXAB '1' -- 3 XXABCDEF XXXXABCD '0' -- 4 ABCDEFGH XXABCDEF '1' -- 5 CDEFGHAB ABCDEFGH '0' -- -- In DQS-based capture, sweeping resync_clk from 180 degrees to 360 -- does not necessarily result in a failure because the setup/hold -- requirements are so small. The data comparison needs to fail when -- the resync_clk is shifted more than 360 degrees. The -- sig_mtp_match_en signal allows the sequencer to blind itself -- training pattern matches that occur above 360 degrees. -- -- -- -- -- -- Asserts sig_mtp_match. -- -- Data comes in from rdata and is pushed into a two-bit wide shift register. -- It is a critical assumption that the rdata comes back byte aligned. -- -- --sig_mtp_match_valid -- rdata_valid (shift-enable) -- | -- | -- +-----------------------+-----------+------------------+ -- ___ | | | -- dq(0) >---| \ | Shift Register | -- dq(1) >---| \ +------+ +------+ +------------------+ -- dq(2) >---| )--->| D(0) |-+->| D(1) |-+->...-+->| D(c_cal_mtp_len - 1) | -- ... | / +------+ | +------+ | | +------------------+ -- dq(n-1) >---|___/ +-----------++-...-+ -- | || +---+ -- | (==)--------> sig_mtp_match_0t ---->| |-->sig_mtp_match_1t-->sig_mtp_match -- | || +---+ -- | +-----------++...-+ -- sig_dq_pin_ctr >-+ +------+ | +------+ | | +------------------+ -- | P(0) |-+ | P(1) |-+ ...-+->| P(c_cal_mtp_len - 1) | -- +------+ +------+ +------------------+ -- -- -- -- signal sig_rdata_current_pin : std_logic_vector(c_cal_mtp_len - 1 downto 0); -- A fundamental assumption here is that rdata_valid is all -- ones or all zeros - not both. signal sig_rdata_valid_1t : std_logic; -- rdata_valid delayed by 1 clock period. signal sig_rdata_valid_2t : std_logic; -- rdata_valid delayed by 2 clock periods. begin rdata_valid_1t_proc : process (clk, rst_n) begin if rst_n = '0' then sig_rdata_valid_1t <= '0'; sig_rdata_valid_2t <= '0'; elsif rising_edge(clk) then sig_rdata_valid_2t <= sig_rdata_valid_1t; sig_rdata_valid_1t <= rdata_valid(0); end if; end process; -- MUX data into sig_rdata_current_pin shift register. rdata_current_pin_proc: process (clk, rst_n) begin if rst_n = '0' then sig_rdata_current_pin <= (others => '0'); elsif rising_edge(clk) then -- shift old data down the shift register sig_rdata_current_pin(sig_rdata_current_pin'high - DWIDTH_RATIO downto 0) <= sig_rdata_current_pin(sig_rdata_current_pin'high downto DWIDTH_RATIO); -- shift new data into the bottom of the shift register. for i in 0 to DWIDTH_RATIO - 1 loop sig_rdata_current_pin(sig_rdata_current_pin'high - DWIDTH_RATIO + 1 + i) <= rdata(i*MEM_IF_DWIDTH + sig_dq_pin_ctr); end loop; end if; end process; mtp_match_proc : process (clk, rst_n) begin if rst_n = '0' then -- * when at least c_max_read_lat clock cycles have passed sig_mtp_match <= '0'; elsif rising_edge(clk) then sig_mtp_match <= '0'; if sig_rdata_current_pin = c_cal_mtp then sig_mtp_match <= '1'; end if; end if; end process; poa_match_proc : process (clk, rst_n) -- poa_match_Calibration Strategy -- -- Ascii Waveforms: -- -- __ __ __ __ __ __ __ __ __ -- clk __| |__| |__| |__| |__| |__| |__| |__| |__| | -- -- ; ; ; ; -- _________________ -- rdata_valid ________| |___________________________ -- -- ; ; ; ; -- _____ -- poa_match_en ______________________________________| |_______________ -- -- ; ; ; ; -- _____ -- poa_match XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX XXXXXXXXXXXXXXXX -- -- -- Notes: -- -poa_match is only valid while poa_match_en is asserted. -- -- -- -- -- -- begin if rst_n = '0' then sig_poa_match_en <= '0'; sig_poa_match <= '0'; elsif rising_edge(clk) then sig_poa_match <= '0'; sig_poa_match_en <= '0'; if sig_rdata_valid_2t = '1' and sig_rdata_valid_1t = '0' then sig_poa_match_en <= '1'; end if; if DWIDTH_RATIO = 2 then if sig_rdata_current_pin(sig_rdata_current_pin'high downto sig_rdata_current_pin'length - 6) = "111100" then sig_poa_match <= '1'; end if; elsif DWIDTH_RATIO = 4 then if sig_rdata_current_pin(sig_rdata_current_pin'high downto sig_rdata_current_pin'length - 8) = "11111100" then sig_poa_match <= '1'; end if; else report dgrb_report_prefix & "unsupported DWIDTH_RATIO" severity failure; end if; end if; end process; end block; -- ------------------------------------------------------------------ -- Postamble calibration -- -- Implements the postamble slave state machine and collates the -- processing data from the test pattern match block. -- ------------------------------------------------------------------ poa_block : block -- Postamble Calibration Strategy -- -- Ascii Waveforms: -- -- c_read_burst_t c_read_burst_t -- ;<------->; ;<------->; -- ; ; ; ; -- __ / / __ -- mem_dq[0] ___________| |_____\ \________| |___ -- -- ; ; ; ; -- ; ; ; ; -- _________ / / _________ -- poa_enable ______| |___\ \_| |___ -- ; ; ; ; -- ; ; ; ; -- __ / / ______ -- rdata[0] ___________| |______\ \_______| -- ; ; ; ; -- ; ; ; ; -- ; ; ; ; -- _ / / _ -- poa_match_en _____________| |___\ \___________| |_ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- / / _ -- poa_match ___________________\ \___________| |_ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- _ / / -- seq_poa_lat_dec _______________| |_\ \_______________ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- / / -- seq_poa_lat_inc ___________________\ \_______________ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- -- (1) (2) -- -- -- (1) poa_enable signal is late, and the zeros on mem_dq after (1) -- are captured. -- (2) poa_enable signal is aligned. Zeros following (2) are not -- captured rdata remains at '1'. -- -- The DQS capture circuit wth the dqs enable asynchronous set. -- -- -- -- dqs_en_async_preset ----------+ -- | -- v -- +---------+ -- +--|Q SET D|----------- gnd -- | | <O---+ -- | +---------+ | -- | | -- | | -- +--+---. | -- |AND )--------+------- dqs_bus -- delayed_dqs -----+---^ -- -- -- -- _____ _____ _____ _____ -- dqs ____| |_____| |_____| |_____| |_____XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- ; ; ; ; ; -- ; ; ; ; -- _____ _____ _____ _____ -- delayed_dqs _______| |_____| |_____| |_____| |_____XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- -- ; ; ; ; ; -- ; ______________________________________________________________ -- dqs_en_async_ _____________________________| |_____ -- preset -- ; ; ; ; ; -- ; ; ; ; ; -- _____ _____ _____ -- dqs_bus _______| |_________________| |_____| |_____XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX -- -- ; ; -- (1) (2) -- -- -- Notes: -- (1) The dqs_bus pulse here comes because the last value of Q -- is '1' until the first DQS pulse clocks gnd into the FF, -- brings low the AND gate, and disables dqs_bus. A training -- pattern could potentially match at this point even though -- between (1) and (2) there are no dqs_bus triggers. Data -- is frozen on rdata while awaiting the dqs_bus pulses at -- (2). For this reason, wait until the first match of the -- training pattern, and continue reducing latency until it -- TP no longer matches, then increase latency by one. In -- this case, dqs_en_async_preset will have its latency -- reduced by three until the training pattern is not matched, -- then latency is increased by one. -- -- -- -- -- Postamble calibration state type t_poa_state is ( -- decrease poa enable latency by 1 cycle iteratively until 'correct' position found s_poa_rewind_to_pass, -- poa cal complete s_poa_done ); constant c_poa_lat_cmd_wait : natural := 10; -- Number of clock cycles to wait for lat_inc/lat_dec signal to take effect. constant c_poa_max_lat : natural := 100; -- Maximum number of allowable latency changes. signal sig_poa_adjust_count : integer range 0 to 2**8 - 1; signal sig_poa_state : t_poa_state; begin poa_proc : process (clk, rst_n) begin if rst_n = '0' then sig_poa_ack <= '0'; seq_poa_lat_dec_1x <= (others => '0'); seq_poa_lat_inc_1x <= (others => '0'); sig_poa_adjust_count <= 0; sig_poa_state <= s_poa_rewind_to_pass; elsif rising_edge(clk) then sig_poa_ack <= '0'; seq_poa_lat_inc_1x <= (others => '0'); seq_poa_lat_dec_1x <= (others => '0'); if sig_dgrb_state = s_poa_cal then case sig_poa_state is when s_poa_rewind_to_pass => -- In postamble calibration -- -- Normally, must wait for sig_dimm_driving_dq to be '1' -- before reading, but by this point in calibration -- rdata_valid is assumed to be set up properly. The -- sig_poa_match_en (derived from rdata_valid) is used -- here rather than sig_dimm_driving_dq. if sig_poa_match_en = '1' then if sig_poa_match = '1' then sig_poa_state <= s_poa_done; else seq_poa_lat_dec_1x <= (others => '1'); end if; sig_poa_adjust_count <= sig_poa_adjust_count + 1; end if; when s_poa_done => sig_poa_ack <= '1'; end case; else sig_poa_state <= s_poa_rewind_to_pass; sig_poa_adjust_count <= 0; end if; assert sig_poa_adjust_count <= c_poa_max_lat report dgrb_report_prefix & "Maximum number of postamble latency adjustments exceeded." severity failure; end if; end process; end block; -- ------------------------------------------------------------------ -- code block for tracking signal generation -- -- this is used for initial tracking setup (finding a reference window) -- and periodic tracking operations (PVT compensation on rsc phase) -- -- A slave trk state machine is described and implemented within the block -- The mimic path is controlled within this block -- ------------------------------------------------------------------ trk_block : block type t_tracking_state is ( -- initialise variables out of reset s_trk_init, -- idle state s_trk_idle, -- sample data from the mimic path (build window) s_trk_mimic_sample, -- 'shift' mimic path phase s_trk_next_phase, -- calculate mimic window s_trk_cdvw_calc, s_trk_cdvw_wait, -- for results -- calculate how much mimic window has moved (only entered in periodic tracking) s_trk_cdvw_drift, -- track rsc phase (only entered in periodic tracking) s_trk_adjust_resync, -- communicate command complete to the master state machine s_trk_complete ); signal sig_mmc_seq_done : std_logic; signal sig_mmc_seq_done_1t : std_logic; signal mmc_seq_value_r : std_logic; signal sig_mmc_start : std_logic; signal sig_trk_state : t_tracking_state; signal sig_trk_last_state : t_tracking_state; signal sig_rsc_drift : integer range -c_max_rsc_drift_in_phases to c_max_rsc_drift_in_phases; -- stores total change in rsc phase from first calibration signal sig_req_rsc_shift : integer range -c_max_rsc_drift_in_phases to c_max_rsc_drift_in_phases; -- stores required shift in rsc phase instantaneously signal sig_mimic_cdv_found : std_logic; signal sig_mimic_cdv : integer range 0 to PLL_STEPS_PER_CYCLE; -- centre of data valid window calculated from first mimic-cycle signal sig_mimic_delta : integer range -PLL_STEPS_PER_CYCLE to PLL_STEPS_PER_CYCLE; signal sig_large_drift_seen : std_logic; signal sig_remaining_samples : natural range 0 to 2**8 - 1; begin -- advertise the codvw phase shift process (clk, rst_n) variable v_length : integer; begin if rst_n = '0' then codvw_trk_shift <= (others => '0'); elsif rising_edge(clk) then if sig_mimic_cdv_found = '1' then -- check range v_length := codvw_trk_shift'length; codvw_trk_shift <= std_logic_vector(to_signed(sig_rsc_drift, v_length)); else codvw_trk_shift <= (others => '0'); end if; end if; end process; -- request a mimic sample mimic_sample_req : process (clk, rst_n) variable seq_mmc_start_r : std_logic_vector(3 downto 0); begin if rst_n = '0' then seq_mmc_start <= '0'; seq_mmc_start_r := "0000"; elsif rising_edge(clk) then seq_mmc_start_r(3) := seq_mmc_start_r(2); seq_mmc_start_r(2) := seq_mmc_start_r(1); seq_mmc_start_r(1) := seq_mmc_start_r(0); -- extend sig_mmc_start by one clock cycle if sig_mmc_start = '1' then seq_mmc_start <= '1'; seq_mmc_start_r(0) := '1'; elsif ( (seq_mmc_start_r(3) = '1') or (seq_mmc_start_r(2) = '1') or (seq_mmc_start_r(1) = '1') or (seq_mmc_start_r(0) = '1') ) then seq_mmc_start <= '1'; seq_mmc_start_r(0) := '0'; else seq_mmc_start <= '0'; end if; end if; end process; -- metastability hardening of async mmc_seq_done signal mmc_seq_req_sync : process (clk, rst_n) variable v_mmc_seq_done_1r : std_logic; variable v_mmc_seq_done_2r : std_logic; variable v_mmc_seq_done_3r : std_logic; begin if rst_n = '0' then sig_mmc_seq_done <= '0'; sig_mmc_seq_done_1t <= '0'; v_mmc_seq_done_1r := '0'; v_mmc_seq_done_2r := '0'; v_mmc_seq_done_3r := '0'; elsif rising_edge(clk) then sig_mmc_seq_done_1t <= v_mmc_seq_done_3r; sig_mmc_seq_done <= v_mmc_seq_done_2r; mmc_seq_value_r <= mmc_seq_value; v_mmc_seq_done_3r := v_mmc_seq_done_2r; v_mmc_seq_done_2r := v_mmc_seq_done_1r; v_mmc_seq_done_1r := mmc_seq_done; end if; end process; -- collect mimic samples as they arrive shift_in_mmc_seq_value : process (clk, rst_n) begin if rst_n = '0' then sig_trk_cdvw_shift_in <= '0'; sig_trk_cdvw_phase <= '0'; elsif rising_edge(clk) then sig_trk_cdvw_shift_in <= '0'; sig_trk_cdvw_phase <= '0'; if sig_mmc_seq_done_1t = '1' and sig_mmc_seq_done = '0' then sig_trk_cdvw_shift_in <= '1'; sig_trk_cdvw_phase <= mmc_seq_value_r; end if; end if; end process; -- main tracking state machine trk_proc : process (clk, rst_n) begin if rst_n = '0' then sig_trk_state <= s_trk_init; sig_trk_last_state <= s_trk_init; sig_trk_result <= (others => '0'); sig_trk_err <= '0'; sig_mmc_start <= '0'; sig_trk_pll_select <= (others => '0'); sig_req_rsc_shift <= -c_max_rsc_drift_in_phases; sig_rsc_drift <= -c_max_rsc_drift_in_phases; sig_mimic_delta <= -PLL_STEPS_PER_CYCLE; sig_mimic_cdv_found <= '0'; sig_mimic_cdv <= 0; sig_large_drift_seen <= '0'; sig_trk_cdvw_calc <= '0'; sig_remaining_samples <= 0; sig_trk_pll_start_reconfig <= '0'; sig_trk_pll_inc_dec_n <= c_pll_phs_inc; sig_trk_ack <= '0'; elsif rising_edge(clk) then sig_trk_pll_select <= pll_measure_clk_index; sig_trk_pll_start_reconfig <= '0'; sig_trk_pll_inc_dec_n <= c_pll_phs_inc; sig_large_drift_seen <= '0'; sig_trk_cdvw_calc <= '0'; sig_trk_ack <= '0'; sig_trk_err <= '0'; sig_trk_result <= (others => '0'); sig_mmc_start <= '0'; -- if no cdv found then reset tracking results if sig_mimic_cdv_found = '0' then sig_rsc_drift <= 0; sig_req_rsc_shift <= 0; sig_mimic_delta <= 0; end if; if sig_dgrb_state = s_track then -- resync state machine case sig_trk_state is when s_trk_init => sig_trk_state <= s_trk_idle; sig_mimic_cdv_found <= '0'; sig_rsc_drift <= 0; sig_req_rsc_shift <= 0; sig_mimic_delta <= 0; when s_trk_idle => sig_remaining_samples <= PLL_STEPS_PER_CYCLE; -- ensure a 360 degrees sweep sig_trk_state <= s_trk_mimic_sample; when s_trk_mimic_sample => if sig_remaining_samples = 0 then sig_trk_state <= s_trk_cdvw_calc; else if sig_trk_state /= sig_trk_last_state then -- request a sample as soon as we arrive in this state. -- the default value of sig_mmc_start is zero! sig_mmc_start <= '1'; end if; if sig_mmc_seq_done_1t = '1' and sig_mmc_seq_done = '0' then -- a sample has been collected, go to next PLL phase sig_remaining_samples <= sig_remaining_samples - 1; sig_trk_state <= s_trk_next_phase; end if; end if; when s_trk_next_phase => sig_trk_pll_start_reconfig <= '1'; sig_trk_pll_inc_dec_n <= c_pll_phs_inc; if sig_phs_shft_start = '1' then sig_trk_pll_start_reconfig <= '0'; end if; if sig_phs_shft_end = '1' then sig_trk_state <= s_trk_mimic_sample; end if; when s_trk_cdvw_calc => if sig_trk_state /= sig_trk_last_state then -- reset variables we are interested in when we first arrive in this state sig_trk_cdvw_calc <= '1'; report dgrb_report_prefix & "gathered mimic phase samples DGRB_MIMIC_SAMPLES: " & str(sig_cdvw_state.working_window(sig_cdvw_state.working_window'high downto sig_cdvw_state.working_window'length - PLL_STEPS_PER_CYCLE)) severity note; else sig_trk_state <= s_trk_cdvw_wait; end if; when s_trk_cdvw_wait => if sig_cdvw_state.status /= calculating then if sig_cdvw_state.status = valid_result then report dgrb_report_prefix & "mimic window successfully found." severity note; if sig_mimic_cdv_found = '0' then -- first run of tracking operation sig_mimic_cdv_found <= '1'; sig_mimic_cdv <= sig_cdvw_state.largest_window_centre; sig_trk_state <= s_trk_complete; else -- subsequent tracking operation runs sig_mimic_delta <= sig_mimic_cdv - sig_cdvw_state.largest_window_centre; sig_mimic_cdv <= sig_cdvw_state.largest_window_centre; sig_trk_state <= s_trk_cdvw_drift; end if; else report dgrb_report_prefix & "couldn't find a data-valid window for tracking." severity cal_fail_sev_level; sig_trk_ack <= '1'; sig_trk_err <= '1'; sig_trk_state <= s_trk_idle; -- set resync result code case sig_cdvw_state.status is when no_invalid_phases => sig_trk_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_NO_INVALID_PHASES, sig_trk_result'length)); when multiple_equal_windows => sig_trk_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_MULTIPLE_EQUAL_WINDOWS, sig_trk_result'length)); when no_valid_phases => sig_trk_result <= std_logic_vector(to_unsigned(C_ERR_RESYNC_NO_VALID_PHASES, sig_trk_result'length)); when others => sig_trk_result <= std_logic_vector(to_unsigned(C_ERR_CRITICAL, sig_trk_result'length)); end case; end if; end if; when s_trk_cdvw_drift => -- calculate the drift in rsc phase -- pipeline stage 1 if abs(sig_mimic_delta) > PLL_STEPS_PER_CYCLE/2 then sig_large_drift_seen <= '1'; else sig_large_drift_seen <= '0'; end if; --pipeline stage 2 if sig_trk_state = sig_trk_last_state then if sig_large_drift_seen = '1' then if sig_mimic_delta < 0 then -- anti-clockwise movement sig_req_rsc_shift <= sig_req_rsc_shift + sig_mimic_delta + PLL_STEPS_PER_CYCLE; else -- clockwise movement sig_req_rsc_shift <= sig_req_rsc_shift + sig_mimic_delta - PLL_STEPS_PER_CYCLE; end if; else sig_req_rsc_shift <= sig_req_rsc_shift + sig_mimic_delta; end if; sig_trk_state <= s_trk_adjust_resync; end if; when s_trk_adjust_resync => sig_trk_pll_select <= pll_resync_clk_index; sig_trk_pll_start_reconfig <= '1'; if sig_trk_state /= sig_trk_last_state then if sig_req_rsc_shift < 0 then sig_trk_pll_inc_dec_n <= c_pll_phs_inc; sig_req_rsc_shift <= sig_req_rsc_shift + 1; sig_rsc_drift <= sig_rsc_drift + 1; elsif sig_req_rsc_shift > 0 then sig_trk_pll_inc_dec_n <= c_pll_phs_dec; sig_req_rsc_shift <= sig_req_rsc_shift - 1; sig_rsc_drift <= sig_rsc_drift - 1; else sig_trk_state <= s_trk_complete; sig_trk_pll_start_reconfig <= '0'; end if; else sig_trk_pll_inc_dec_n <= sig_trk_pll_inc_dec_n; -- maintain current value end if; if abs(sig_rsc_drift) = c_max_rsc_drift_in_phases then report dgrb_report_prefix & " a maximum absolute change in resync_clk of " & integer'image(sig_rsc_drift) & " phases has " & LF & " occurred (since read resynch phase calibration) during tracking" severity cal_fail_sev_level; sig_trk_err <= '1'; sig_trk_result <= std_logic_vector(to_unsigned(C_ERR_MAX_TRK_SHFT_EXCEEDED, sig_trk_result'length)); end if; if sig_phs_shft_start = '1' then sig_trk_pll_start_reconfig <= '0'; end if; if sig_phs_shft_end = '1' then sig_trk_state <= s_trk_complete; end if; when s_trk_complete => sig_trk_ack <= '1'; end case; sig_trk_last_state <= sig_trk_state; else sig_trk_state <= s_trk_idle; sig_trk_last_state <= s_trk_idle; end if; end if; end process; rsc_drift: process (sig_rsc_drift) begin sig_trk_rsc_drift <= sig_rsc_drift; -- communicate tracking shift to rsc process end process; end block; -- tracking signals -- ------------------------------------------------------------------ -- write-datapath (WDP) ` and on-chip-termination (OCT) signal -- ------------------------------------------------------------------ wdp_oct : process(clk,rst_n) begin if rst_n = '0' then seq_oct_value <= c_set_oct_to_rs; dgrb_wdp_ovride <= '0'; elsif rising_edge(clk) then if ((sig_dgrb_state = s_idle) or (EN_OCT = 0)) then seq_oct_value <= c_set_oct_to_rs; dgrb_wdp_ovride <= '0'; else seq_oct_value <= c_set_oct_to_rt; dgrb_wdp_ovride <= '1'; end if; end if; end process; -- ------------------------------------------------------------------ -- handles muxing of error codes to the control block -- ------------------------------------------------------------------ ac_handshake_proc : process(rst_n, clk) begin if rst_n = '0' then dgrb_ctrl <= defaults; elsif rising_edge(clk) then dgrb_ctrl <= defaults; if sig_dgrb_state = s_wait_admin and sig_dgrb_last_state = s_idle then dgrb_ctrl.command_ack <= '1'; end if; case sig_dgrb_state is when s_seek_cdvw => dgrb_ctrl.command_err <= sig_rsc_err; dgrb_ctrl.command_result <= sig_rsc_result; when s_track => dgrb_ctrl.command_err <= sig_trk_err; dgrb_ctrl.command_result <= sig_trk_result; when others => -- from main state machine dgrb_ctrl.command_err <= sig_cmd_err; dgrb_ctrl.command_result <= sig_cmd_result; end case; if ctrl_dgrb_r.command = cmd_read_mtp then -- check against command because aligned with command done not command_err dgrb_ctrl.command_err <= '0'; dgrb_ctrl.command_result <= std_logic_vector(to_unsigned(sig_cdvw_state.largest_window_size,dgrb_ctrl.command_result'length)); end if; if sig_dgrb_state = s_idle and sig_dgrb_last_state = s_release_admin then dgrb_ctrl.command_done <= '1'; end if; end if; end process; -- ------------------------------------------------------------------ -- address/command state machine -- process is commanded to begin reading training patterns. -- -- implements the address/command slave state machine -- issues read commands to the memory relative to given calibration -- stage being implemented -- burst length is dependent on memory type -- ------------------------------------------------------------------ ac_block : block -- override the calibration burst length for DDR3 device support -- (requires BL8 / on the fly setting in MR in admin block) function set_read_bl ( memtype: in string ) return natural is begin if memtype = "DDR3" then return 8; elsif memtype = "DDR" or memtype = "DDR2" then return c_cal_burst_len; else report dgrb_report_prefix & " a calibration burst length choice has not been set for memory type " & memtype severity failure; end if; return 0; end function; -- parameterisation of the read algorithm by burst length constant c_poa_addr_width : natural := 6; constant c_cal_read_burst_len : natural := set_read_bl(MEM_IF_MEMTYPE); constant c_bursts_per_btp : natural := c_cal_mtp_len / c_cal_read_burst_len; constant c_read_burst_t : natural := c_cal_read_burst_len / DWIDTH_RATIO; constant c_max_rdata_valid_lat : natural := 50*(c_cal_read_burst_len / DWIDTH_RATIO); -- maximum latency that rdata_valid can ever have with respect to doing_rd constant c_rdv_ones_rd_clks : natural := (c_max_rdata_valid_lat + c_read_burst_t) / c_read_burst_t; -- number of cycles to read ones for before a pulse of zeros -- array of burst training pattern addresses -- here the MTP is used in this addressing subtype t_btp_addr is natural range 0 to 2 ** MEM_IF_ADDR_WIDTH - 1; type t_btp_addr_array is array (0 to c_bursts_per_btp - 1) of t_btp_addr; -- default values function defaults return t_btp_addr_array is variable v_btp_array : t_btp_addr_array; begin for i in 0 to c_bursts_per_btp - 1 loop v_btp_array(i) := 0; end loop; return v_btp_array; end function; -- load btp array addresses -- Note: this scales to burst lengths of 2, 4 and 8 -- the settings here are specific to the choice of training pattern and need updating if the pattern changes function set_btp_addr (mtp_almt : natural ) return t_btp_addr_array is variable v_addr_array : t_btp_addr_array; begin for i in 0 to 8/c_cal_read_burst_len - 1 loop -- set addresses for xF5 data v_addr_array((c_bursts_per_btp - 1) - i) := MEM_IF_CAL_BASE_COL + c_cal_ofs_xF5 + i*c_cal_read_burst_len; -- set addresses for x30 data (based on mtp alignment) if mtp_almt = 0 then v_addr_array((c_bursts_per_btp - 1) - (8/c_cal_read_burst_len + i)) := MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_0 + i*c_cal_read_burst_len; else v_addr_array((c_bursts_per_btp - 1) - (8/c_cal_read_burst_len + i)) := MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_1 + i*c_cal_read_burst_len; end if; end loop; return v_addr_array; end function; function find_poa_cycle_period return natural is -- Returns the period over which the postamble reads -- repeat in c_read_burst_t units. variable v_num_bursts : natural; begin v_num_bursts := 2 ** c_poa_addr_width / c_read_burst_t; if v_num_bursts * c_read_burst_t < 2**c_poa_addr_width then v_num_bursts := v_num_bursts + 1; end if; v_num_bursts := v_num_bursts + c_bursts_per_btp + 1; return v_num_bursts; end function; function get_poa_burst_addr(burst_count : in natural; mtp_almt : in natural) return t_btp_addr is variable v_addr : t_btp_addr; begin if burst_count = 0 then if mtp_almt = 0 then v_addr := c_cal_ofs_x30_almt_1; elsif mtp_almt = 1 then v_addr := c_cal_ofs_x30_almt_0; else report "Unsupported mtp_almt " & natural'image(mtp_almt) severity failure; end if; -- address gets incremented by four if in burst-length four. v_addr := v_addr + (8 - c_cal_read_burst_len); else v_addr := c_cal_ofs_zeros; end if; return v_addr; end function; signal btp_addr_array : t_btp_addr_array; -- burst training pattern addresses signal sig_addr_cmd_state : t_ac_state; signal sig_addr_cmd_last_state : t_ac_state; signal sig_doing_rd_count : integer range 0 to c_read_burst_t - 1; signal sig_count : integer range 0 to 2**8 - 1; signal sig_setup : integer range c_max_read_lat downto 0; signal sig_burst_count : integer range 0 to c_read_burst_t; begin -- handles counts for when to begin burst-reads (sig_burst_count) -- sets sig_dimm_driving_dq -- sets dgrb_ac_access_req dimm_driving_dq_proc : process(rst_n, clk) begin if rst_n = '0' then sig_dimm_driving_dq <= '1'; sig_setup <= c_max_read_lat; sig_burst_count <= 0; dgrb_ac_access_req <= '0'; sig_ac_even <= '0'; elsif rising_edge(clk) then sig_dimm_driving_dq <= '0'; if sig_addr_cmd_state /= s_ac_idle and sig_addr_cmd_state /= s_ac_relax then dgrb_ac_access_req <= '1'; else dgrb_ac_access_req <= '0'; end if; case sig_addr_cmd_state is when s_ac_read_mtp | s_ac_read_rdv | s_ac_read_wd_lat | s_ac_read_poa_mtp => sig_ac_even <= not sig_ac_even; -- a counter that keeps track of when we are ready -- to issue a burst read. Issue burst read eigvery -- time we are at zero. if sig_burst_count = 0 then sig_burst_count <= c_read_burst_t - 1; else sig_burst_count <= sig_burst_count - 1; end if; if dgrb_ac_access_gnt /= '1' then sig_setup <= c_max_read_lat; else -- primes reads -- signal that dimms are driving dq pins after -- at least c_max_read_lat clock cycles have passed. -- if sig_setup = 0 then sig_dimm_driving_dq <= '1'; elsif dgrb_ac_access_gnt = '1' then sig_setup <= sig_setup - 1; end if; end if; when s_ac_relax => sig_dimm_driving_dq <= '1'; sig_burst_count <= 0; sig_ac_even <= '0'; when others => sig_burst_count <= 0; sig_ac_even <= '0'; end case; end if; end process; ac_proc : process(rst_n, clk) begin if rst_n = '0' then sig_count <= 0; sig_addr_cmd_state <= s_ac_idle; sig_addr_cmd_last_state <= s_ac_idle; sig_doing_rd_count <= 0; sig_addr_cmd <= reset(c_seq_addr_cmd_config); btp_addr_array <= defaults; sig_doing_rd <= (others => '0'); elsif rising_edge(clk) then assert c_cal_mtp_len mod c_cal_read_burst_len = 0 report dgrb_report_prefix & "burst-training pattern length must be a multiple of burst-length." severity failure; assert MEM_IF_CAL_BANK < 2**MEM_IF_BANKADDR_WIDTH report dgrb_report_prefix & "MEM_IF_CAL_BANK out of range." severity failure; assert MEM_IF_CAL_BASE_COL < 2**MEM_IF_ADDR_WIDTH - 1 - C_CAL_DATA_LEN report dgrb_report_prefix & "MEM_IF_CAL_BASE_COL out of range." severity failure; sig_addr_cmd <= deselect(c_seq_addr_cmd_config, sig_addr_cmd); if sig_ac_req /= sig_addr_cmd_state and sig_addr_cmd_state /= s_ac_idle then -- and dgrb_ac_access_gnt = '1' sig_addr_cmd_state <= s_ac_relax; else sig_addr_cmd_state <= sig_ac_req; end if; if sig_doing_rd_count /= 0 then sig_doing_rd <= (others => '1'); sig_doing_rd_count <= sig_doing_rd_count - 1; else sig_doing_rd <= (others => '0'); end if; case sig_addr_cmd_state is when s_ac_idle => sig_addr_cmd <= defaults(c_seq_addr_cmd_config); when s_ac_relax => -- waits at least c_max_read_lat before returning to s_ac_idle state if sig_addr_cmd_state /= sig_addr_cmd_last_state then sig_count <= c_max_read_lat; else if sig_count = 0 then sig_addr_cmd_state <= s_ac_idle; else sig_count <= sig_count - 1; end if; end if; when s_ac_read_mtp => -- reads 'more'-training pattern -- issue read commands for proper addresses -- set burst training pattern (mtp in this case) addresses btp_addr_array <= set_btp_addr(current_mtp_almt); if sig_addr_cmd_state /= sig_addr_cmd_last_state then sig_count <= c_bursts_per_btp - 1; -- counts number of bursts in a training pattern else sig_doing_rd <= (others => '1'); -- issue a read command every c_read_burst_t clock cycles if sig_burst_count = 0 then -- decide which read command to issue for i in 0 to c_bursts_per_btp - 1 loop if sig_count = i then sig_addr_cmd <= read(c_seq_addr_cmd_config, -- configuration sig_addr_cmd, -- previous value MEM_IF_CAL_BANK, -- bank btp_addr_array(i), -- column address 2**current_cs, -- rank c_cal_read_burst_len, -- burst length false); end if; end loop; -- Set next value of count if sig_count = 0 then sig_count <= c_bursts_per_btp - 1; else sig_count <= sig_count - 1; end if; end if; end if; when s_ac_read_poa_mtp => -- Postamble rdata/rdata_valid Activity: -- -- -- (0) (1) (2) -- ; ; ; ; -- _________ __ ____________ _____________ _______ _________ -- \ / \ / \ \ \ / \ / -- (a) rdata[0] 00000000 X 11 X 0000000000 / / 0000000000 X MTP X 00000000 -- _________/ \__/ \____________\ \____________/ \_______/ \_________ -- ; ; ; ; -- ; ; ; ; -- _________ / / _________ -- rdata_valid ____| |_____________\ \_____________| |__________ -- -- ;<- (b) ->;<------------(c)------------>; ; -- ; ; ; ; -- -- -- This block must issue reads and drive doing_rd to place the above pattern on -- the rdata and rdata_valid ports. MTP will most likely come back corrupted but -- the postamble block (poa_block) will make the necessary adjustments to improve -- matters. -- -- (a) Read zeros followed by two ones. The two will be at the end of a burst. -- Assert rdata_valid only during the burst containing the ones. -- (b) c_read_burst_t clock cycles. -- (c) Must be greater than but NOT equal to maximum postamble latency clock -- cycles. Another way: c_min = (max_poa_lat + 1) phy clock cycles. This -- must also be long enough to allow the postamble block to respond to a -- the seq_poa_lat_dec_1x signal, but this requirement is less stringent -- than the first so that we can ignore it. -- -- The find_poa_cycle_period function should return (b+c)/c_read_burst_t -- rounded up to the next largest integer. -- -- -- set burst training pattern (mtp in this case) addresses btp_addr_array <= set_btp_addr(current_mtp_almt); -- issue read commands for proper addresses if sig_addr_cmd_state /= sig_addr_cmd_last_state then sig_count <= find_poa_cycle_period - 1; -- length of read patter in bursts. elsif dgrb_ac_access_gnt = '1' then -- only begin operation once dgrb_ac_access_gnt has been issued -- otherwise rdata_valid may be asserted when rdasta is not -- valid. -- -- *** WARNING: BE SAFE. DON'T LET THIS HAPPEN TO YOU: *** -- -- ; ; ; ; ; ; -- ; _______ ; ; _______ ; ; _______ -- XXXXX / \ XXXXXXXXX / \ XXXXXXXXX / \ XXXXXXXXX -- addr/cmd XXXXXX READ XXXXXXXXXXX READ XXXXXXXXXXX READ XXXXXXXXXXX -- XXXXX \_______/ XXXXXXXXX \_______/ XXXXXXXXX \_______/ XXXXXXXXX -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- ; ; ; ; ; ; _______ -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX / \ -- rdata XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX MTP X -- XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX \_______/ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- _________ _________ _________ -- doing_rd ____| |_________| |_________| |__________ -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- __________________________________________________ -- ac_accesss_gnt ______________| -- ; ; ; ; ; ; -- ; ; ; ; ; ; -- _________ _________ -- rdata_valid __________________________________| |_________| | -- ; ; ; ; ; ; -- -- (0) (1) (2) -- -- -- Cmmand and doing_rd issued at (0). The doing_rd signal enters the -- rdata_valid pipe here so that it will return on rdata_valid with the -- expected latency (at this point in calibration, rdata_valid and adv_rd_lat -- should be properly calibrated). Unlike doing_rd, since ac_access_gnt is not -- asserted the READ command at (0) is never actually issued. This results -- in the situation at (2) where rdata is undefined yet rdata_valid indicates -- valid data. The moral of this story is to wait for ac_access_gnt = '1' -- before issuing commands when it is important that rdata_valid be accurate. -- -- -- -- if sig_burst_count = 0 then sig_addr_cmd <= read(c_seq_addr_cmd_config, -- configuration sig_addr_cmd, -- previous value MEM_IF_CAL_BANK, -- bank get_poa_burst_addr(sig_count, current_mtp_almt),-- column address 2**current_cs, -- rank c_cal_read_burst_len, -- burst length false); -- Set doing_rd if sig_count = 0 then sig_doing_rd <= (others => '1'); sig_doing_rd_count <= c_read_burst_t - 1; -- Extend doing_rd pulse by this many phy_clk cycles. end if; -- Set next value of count if sig_count = 0 then sig_count <= find_poa_cycle_period - 1; -- read for one period then relax (no read) for same time period else sig_count <= sig_count - 1; end if; end if; end if; when s_ac_read_rdv => assert c_max_rdata_valid_lat mod c_read_burst_t = 0 report dgrb_report_prefix & "c_max_rdata_valid_lat must be a multiple of c_read_burst_t." severity failure; if sig_addr_cmd_state /= sig_addr_cmd_last_state then sig_count <= c_rdv_ones_rd_clks - 1; else if sig_burst_count = 0 then if sig_count = 0 then -- expecting to read ZEROS sig_addr_cmd <= read(c_seq_addr_cmd_config, -- configuration sig_addr_cmd, -- previous valid MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + C_CAL_OFS_ZEROS, -- column 2**current_cs, -- rank c_cal_read_burst_len, -- burst length false); else -- expecting to read ONES sig_addr_cmd <= read(c_seq_addr_cmd_config, -- configuration sig_addr_cmd, -- previous value MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + C_CAL_OFS_ONES, -- column address 2**current_cs, -- rank c_cal_read_burst_len, -- op length false); end if; if sig_count = 0 then sig_count <= c_rdv_ones_rd_clks - 1; else sig_count <= sig_count - 1; end if; end if; if (sig_count = c_rdv_ones_rd_clks - 1 and sig_burst_count = 1) or (sig_count = 0 and c_read_burst_t = 1) then -- the last burst read- that was issued was supposed to read only zeros -- a burst read command will be issued on the next clock cycle -- -- A long (>= maximim rdata_valid latency) series of burst reads are -- issued for ONES. -- Into this stream a single burst read for ZEROs is issued. After -- the ZERO read command is issued, rdata_valid needs to come back -- high one clock cycle before the next read command (reading ONES -- again) is issued. Since the rdata_valid is just a delayed -- version of doing_rd, doing_rd needs to exhibit the same behaviour. -- -- for FR (burst length 4): require that doing_rd high 1 clock cycle after cs_n is low -- ____ ____ ____ ____ ____ ____ ____ ____ ____ -- clk ____| |____| |____| |____| |____| |____| |____| |____| |____| -- -- ___ _______ _______ _______ _______ -- \ XXXXXXXXX / \ XXXXXXXXX / \ XXXXXXXXX / \ XXXXXXXXX / \ XXXX -- addr XXXXXXXXXXX ONES XXXXXXXXXXX ONES XXXXXXXXXXX ZEROS XXXXXXXXXXX ONES XXXXX--> Repeat -- ___/ XXXXXXXXX \_______/ XXXXXXXXX \_______/ XXXXXXXXX \_______/ XXXXXXXXX \_______/ XXXX -- -- _________ _________ _________ _________ ____ -- cs_n ____| |_________| |_________| |_________| |_________| -- -- _________ -- doing_rd ________________________________________________________________| |______________ -- -- -- for HR: require that doing_rd high in the same clock cycle as cs_n is low -- sig_doing_rd(MEM_IF_DQS_WIDTH*(DWIDTH_RATIO/2-1)) <= '1'; end if; end if; when s_ac_read_wd_lat => -- continuously issues reads on the memory locations -- containing write latency addr=[2*c_cal_burst_len - (3*c_cal_burst_len - 1)] if sig_addr_cmd_state /= sig_addr_cmd_last_state then -- no initialization required here. Must still wait -- a clock cycle before beginning operations so that -- we are properly synchronized with -- dimm_driving_dq_proc. else if sig_burst_count = 0 then if sig_dimm_driving_dq = '1' then sig_doing_rd <= (others => '1'); end if; sig_addr_cmd <= read(c_seq_addr_cmd_config, -- configuration sig_addr_cmd, -- previous value MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_wd_lat, -- column 2**current_cs, -- rank c_cal_read_burst_len, false); end if; end if; when others => report dgrb_report_prefix & "undefined state in addr_cmd_proc" severity error; sig_addr_cmd_state <= s_ac_idle; end case; -- mask odt signal for i in 0 to (DWIDTH_RATIO/2)-1 loop sig_addr_cmd(i).odt <= odt_settings(current_cs).read; end loop; sig_addr_cmd_last_state <= sig_addr_cmd_state; end if; end process; end block ac_block; end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : data gatherer (write bias) [dgwb] block for the non-levelling -- AFI PHY sequencer -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The address and command package (alt_mem_phy_addr_cmd_pkg) is used to combine DRAM address -- and command signals in one record and unify the functions operating on this record. -- use work.nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_dgwb is generic ( -- Physical IF width definitions MEM_IF_DQS_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; DWIDTH_RATIO : natural; MEM_IF_ADDR_WIDTH : natural; MEM_IF_BANKADDR_WIDTH : natural; MEM_IF_NUM_RANKS : natural; -- The sequencer outputs memory control signals of width num_ranks MEM_IF_MEMTYPE : string; ADV_LAT_WIDTH : natural; MEM_IF_CAL_BANK : natural; -- Bank to which calibration data is written -- Base column address to which calibration data is written. -- Memory at MEM_IF_CAL_BASE_COL - MEM_IF_CAL_BASE_COL + C_CAL_DATA_LEN - 1 -- is assumed to contain the proper data. MEM_IF_CAL_BASE_COL : natural ); port ( -- CLK Reset clk : in std_logic; rst_n : in std_logic; parameterisation_rec : in t_algm_paramaterisation; -- Control interface : dgwb_ctrl : out t_ctrl_stat; ctrl_dgwb : in t_ctrl_command; -- iRAM 'push' interface : dgwb_iram : out t_iram_push; iram_push_done : in std_logic; -- Admin block req/gnt interface. dgwb_ac_access_req : out std_logic; dgwb_ac_access_gnt : in std_logic; -- WDP interface dgwb_dqs_burst : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_DQS_WIDTH - 1 downto 0); dgwb_wdata_valid : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_DQS_WIDTH - 1 downto 0); dgwb_wdata : out std_logic_vector( DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0); dgwb_dm : out std_logic_vector( DWIDTH_RATIO * MEM_IF_DM_WIDTH - 1 downto 0); dgwb_dqs : out std_logic_vector( DWIDTH_RATIO - 1 downto 0); dgwb_wdp_ovride : out std_logic; -- addr/cmd output for write commands. dgwb_ac : out t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); bypassed_rdata : in std_logic_vector(MEM_IF_DWIDTH-1 downto 0); -- odt settings per chip select odt_settings : in t_odt_array(0 to MEM_IF_NUM_RANKS-1) ); end entity; library work; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- architecture rtl of nios_altmemddr_0_phy_alt_mem_phy_dgwb is type t_dgwb_state is ( s_idle, s_wait_admin, s_write_btp, -- Writes bit-training pattern s_write_ones, -- Writes ones s_write_zeros, -- Writes zeros s_write_mtp, -- Write more training patterns (requires read to check allignment) s_write_01_pairs, -- Writes 01 pairs s_write_1100_step,-- Write step function (half zeros, half ones) s_write_0011_step,-- Write reversed step function (half ones, half zeros) s_write_wlat, -- Writes the write latency into a memory address. s_release_admin ); constant c_seq_addr_cmd_config : t_addr_cmd_config_rec := set_config_rec(MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS, DWIDTH_RATIO, MEM_IF_MEMTYPE); -- a prefix for all report signals to identify phy and sequencer block -- constant dgwb_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (dgwb) : "; function dqs_pattern return std_logic_vector is variable dqs : std_logic_vector( DWIDTH_RATIO - 1 downto 0); begin if DWIDTH_RATIO = 2 then dqs := "10"; elsif DWIDTH_RATIO = 4 then dqs := "1100"; else report dgwb_report_prefix & "unsupported DWIDTH_RATIO in function dqs_pattern." severity failure; end if; return dqs; end; signal sig_addr_cmd : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); signal sig_dgwb_state : t_dgwb_state; signal sig_dgwb_last_state : t_dgwb_state; signal access_complete : std_logic; signal generate_wdata : std_logic; -- for s_write_wlat only -- current chip select being processed signal current_cs : natural range 0 to MEM_IF_NUM_RANKS-1; begin dgwb_ac <= sig_addr_cmd; -- Set IRAM interface to defaults dgwb_iram <= defaults; -- Master state machine. Generates state transitions. master_dgwb_state_block : if True generate signal sig_ctrl_dgwb : t_ctrl_command; -- registers ctrl_dgwb input. begin -- generate the current_cs signal to track which cs accessed by PHY at any instance current_cs_proc : process (clk, rst_n) begin if rst_n = '0' then current_cs <= 0; elsif rising_edge(clk) then if sig_ctrl_dgwb.command_req = '1' then current_cs <= sig_ctrl_dgwb.command_op.current_cs; end if; end if; end process; master_dgwb_state_proc : process(rst_n, clk) begin if rst_n = '0' then sig_dgwb_state <= s_idle; sig_dgwb_last_state <= s_idle; sig_ctrl_dgwb <= defaults; elsif rising_edge(clk) then case sig_dgwb_state is when s_idle => if sig_ctrl_dgwb.command_req = '1' then if (curr_active_block(sig_ctrl_dgwb.command) = dgwb) then sig_dgwb_state <= s_wait_admin; end if; end if; when s_wait_admin => case sig_ctrl_dgwb.command is when cmd_write_btp => sig_dgwb_state <= s_write_btp; when cmd_write_mtp => sig_dgwb_state <= s_write_mtp; when cmd_was => sig_dgwb_state <= s_write_wlat; when others => report dgwb_report_prefix & "unknown command" severity error; end case; if dgwb_ac_access_gnt /= '1' then sig_dgwb_state <= s_wait_admin; end if; when s_write_btp => sig_dgwb_state <= s_write_zeros; when s_write_zeros => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_write_ones; end if; when s_write_ones => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_release_admin; end if; when s_write_mtp => sig_dgwb_state <= s_write_01_pairs; when s_write_01_pairs => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_write_1100_step; end if; when s_write_1100_step => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_write_0011_step; end if; when s_write_0011_step => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_release_admin; end if; when s_write_wlat => if sig_dgwb_state = sig_dgwb_last_state and access_complete = '1' then sig_dgwb_state <= s_release_admin; end if; when s_release_admin => if dgwb_ac_access_gnt = '0' then sig_dgwb_state <= s_idle; end if; when others => report dgwb_report_prefix & "undefined state in addr_cmd_proc" severity error; sig_dgwb_state <= s_idle; end case; sig_dgwb_last_state <= sig_dgwb_state; sig_ctrl_dgwb <= ctrl_dgwb; end if; end process; end generate; -- Generates writes ac_write_block : if True generate constant C_BURST_T : natural := C_CAL_BURST_LEN / DWIDTH_RATIO; -- Number of phy-clock cycles per burst constant C_MAX_WLAT : natural := 2**ADV_LAT_WIDTH-1; -- Maximum latency in clock cycles constant C_MAX_COUNT : natural := C_MAX_WLAT + C_BURST_T + 4*12 - 1; -- up to 12 consecutive writes at 4 cycle intervals -- The following function sets the width over which -- write latency should be repeated on the dq bus -- the default value is MEM_IF_DQ_PER_DQS function set_wlat_dq_rep_width return natural is begin for i in 1 to MEM_IF_DWIDTH/MEM_IF_DQ_PER_DQS loop if (i*MEM_IF_DQ_PER_DQS) >= ADV_LAT_WIDTH then return i*MEM_IF_DQ_PER_DQS; end if; end loop; report dgwb_report_prefix & "the specified maximum write latency cannot be fully represented in the given number of DQ pins" & LF & "** NOTE: This may cause overflow when setting ctl_wlat signal" severity warning; return MEM_IF_DQ_PER_DQS; end function; constant C_WLAT_DQ_REP_WIDTH : natural := set_wlat_dq_rep_width; signal sig_count : natural range 0 to 2**8 - 1; begin ac_write_proc : process(rst_n, clk) begin if rst_n = '0' then dgwb_wdp_ovride <= '0'; dgwb_dqs <= (others => '0'); dgwb_dm <= (others => '1'); dgwb_wdata <= (others => '0'); dgwb_dqs_burst <= (others => '0'); dgwb_wdata_valid <= (others => '0'); generate_wdata <= '0'; -- for s_write_wlat only sig_count <= 0; sig_addr_cmd <= int_pup_reset(c_seq_addr_cmd_config); access_complete <= '0'; elsif rising_edge(clk) then dgwb_wdp_ovride <= '0'; dgwb_dqs <= (others => '0'); dgwb_dm <= (others => '1'); dgwb_wdata <= (others => '0'); dgwb_dqs_burst <= (others => '0'); dgwb_wdata_valid <= (others => '0'); sig_addr_cmd <= deselect(c_seq_addr_cmd_config, sig_addr_cmd); access_complete <= '0'; generate_wdata <= '0'; -- for s_write_wlat only case sig_dgwb_state is when s_idle => sig_addr_cmd <= defaults(c_seq_addr_cmd_config); -- require ones in locations: -- 1. c_cal_ofs_ones (8 locations) -- 2. 2nd half of location c_cal_ofs_xF5 (4 locations) when s_write_ones => dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_dqs_burst <= (others => '1'); -- Write ONES to DQ pins dgwb_wdata <= (others => '1'); dgwb_wdata_valid <= (others => '1'); -- Issue write command if sig_dgwb_state /= sig_dgwb_last_state then sig_count <= 0; else -- ensure safe intervals for DDRx memory writes (min 4 mem clk cycles between writes for BC4 DDR3) if sig_count = 0 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_ones, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge elsif sig_count = 4 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_ones + 4, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge elsif sig_count = 8 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_xF5 + 4, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge end if; sig_count <= sig_count + 1; end if; if sig_count = C_MAX_COUNT - 1 then access_complete <= '1'; end if; -- require zeros in locations: -- 1. c_cal_ofs_zeros (8 locations) -- 2. 1st half of c_cal_ofs_x30_almt_0 (4 locations) -- 3. 1st half of c_cal_ofs_x30_almt_1 (4 locations) when s_write_zeros => dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_dqs_burst <= (others => '1'); -- Write ZEROS to DQ pins dgwb_wdata <= (others => '0'); dgwb_wdata_valid <= (others => '1'); -- Issue write command if sig_dgwb_state /= sig_dgwb_last_state then sig_count <= 0; else if sig_count = 0 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_zeros, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge elsif sig_count = 4 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_zeros + 4, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge elsif sig_count = 8 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_0, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge elsif sig_count = 12 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_1, -- address 2**current_cs, -- rank 4, -- burst length (fixed at BC4) false); -- auto-precharge end if; sig_count <= sig_count + 1; end if; if sig_count = C_MAX_COUNT - 1 then access_complete <= '1'; end if; -- require 0101 pattern in locations: -- 1. 1st half of location c_cal_ofs_xF5 (4 locations) when s_write_01_pairs => dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_dqs_burst <= (others => '1'); dgwb_wdata_valid <= (others => '1'); -- Issue write command if sig_dgwb_state /= sig_dgwb_last_state then sig_count <= 0; else if sig_count = 0 then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_xF5, -- address 2**current_cs, -- rank 4, -- burst length false); -- auto-precharge end if; sig_count <= sig_count + 1; end if; if sig_count = C_MAX_COUNT - 1 then access_complete <= '1'; end if; -- Write 01 to pairs of memory addresses for i in 0 to dgwb_wdata'length / MEM_IF_DWIDTH - 1 loop if i mod 2 = 0 then dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '1'); else dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '0'); end if; end loop; -- require pattern "0011" (or "1100") in locations: -- 1. 2nd half of c_cal_ofs_x30_almt_0 (4 locations) when s_write_0011_step => dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_dqs_burst <= (others => '1'); dgwb_wdata_valid <= (others => '1'); -- Issue write command if sig_dgwb_state /= sig_dgwb_last_state then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_0 + 4, -- address 2**current_cs, -- rank 4, -- burst length false); -- auto-precharge sig_count <= 0; else sig_count <= sig_count + 1; end if; if sig_count = C_MAX_COUNT - 1 then access_complete <= '1'; end if; -- Write 0011 step to column addresses. Note that -- it cannot be determined which at this point. The -- strategy is to write both alignments and see which -- one is correct later on. -- this calculation has 2 parts: -- a) sig_count mod C_BURST_T is a timewise iterator of repetition of the pattern -- b) i represents the temporal iterator of the pattern -- it is required to sum a and b and switch the pattern between 0 and 1 every 2 locations in each dimension -- Note: the same formulae is used below for the 1100 pattern for i in 0 to dgwb_wdata'length / MEM_IF_DWIDTH - 1 loop if ((sig_count mod C_BURST_T) + (i/2)) mod 2 = 0 then dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '0'); else dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '1'); end if; end loop; -- require pattern "1100" (or "0011") in locations: -- 1. 2nd half of c_cal_ofs_x30_almt_1 (4 locations) when s_write_1100_step => dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_dqs_burst <= (others => '1'); dgwb_wdata_valid <= (others => '1'); -- Issue write command if sig_dgwb_state /= sig_dgwb_last_state then sig_addr_cmd <= write(c_seq_addr_cmd_config, sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_x30_almt_1 + 4, -- address 2**current_cs, -- rank 4, -- burst length false); -- auto-precharge sig_count <= 0; else sig_count <= sig_count + 1; end if; if sig_count = C_MAX_COUNT - 1 then access_complete <= '1'; end if; -- Write 1100 step to column addresses. Note that -- it cannot be determined which at this point. The -- strategy is to write both alignments and see which -- one is correct later on. for i in 0 to dgwb_wdata'length / MEM_IF_DWIDTH - 1 loop if ((sig_count mod C_BURST_T) + (i/2)) mod 2 = 0 then dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '1'); else dgwb_wdata((i+1)*MEM_IF_DWIDTH - 1 downto i*MEM_IF_DWIDTH) <= (others => '0'); end if; end loop; when s_write_wlat => -- Effect: -- *Writes the memory latency to an array formed -- from memory addr=[2*C_CAL_BURST_LEN-(3*C_CAL_BURST_LEN-1)]. -- The write latency is written to pairs of addresses -- across the given range. -- -- Example -- C_CAL_BURST_LEN = 4 -- addr 8 - 9 [WLAT] size = 2*MEM_IF_DWIDTH bits -- addr 10 - 11 [WLAT] size = 2*MEM_IF_DWIDTH bits -- dgwb_wdp_ovride <= '1'; dgwb_dqs <= dqs_pattern; dgwb_dm <= (others => '0'); dgwb_wdata <= (others => '0'); dgwb_dqs_burst <= (others => '1'); dgwb_wdata_valid <= (others => '1'); if sig_dgwb_state /= sig_dgwb_last_state then sig_addr_cmd <= write(c_seq_addr_cmd_config, -- A/C configuration sig_addr_cmd, MEM_IF_CAL_BANK, -- bank MEM_IF_CAL_BASE_COL + c_cal_ofs_wd_lat, -- address 2**current_cs, -- rank 8, -- burst length (8 for DDR3 and 4 for DDR/DDR2) false); -- auto-precharge sig_count <= 0; else -- hold wdata_valid and wdata 2 clock cycles -- 1 - because ac signal registered at top level of sequencer -- 2 - because want time to dqs_burst edge which occurs 1 cycle earlier -- than wdata_valid in an AFI compliant controller generate_wdata <= '1'; end if; if generate_wdata = '1' then for i in 0 to dgwb_wdata'length/C_WLAT_DQ_REP_WIDTH - 1 loop dgwb_wdata((i+1)*C_WLAT_DQ_REP_WIDTH - 1 downto i*C_WLAT_DQ_REP_WIDTH) <= std_logic_vector(to_unsigned(sig_count, C_WLAT_DQ_REP_WIDTH)); end loop; -- delay by 1 clock cycle to account for 1 cycle discrepancy -- between dqs_burst and wdata_valid if sig_count = C_MAX_COUNT then access_complete <= '1'; end if; sig_count <= sig_count + 1; end if; when others => null; end case; -- mask odt signal for i in 0 to (DWIDTH_RATIO/2)-1 loop sig_addr_cmd(i).odt <= odt_settings(current_cs).write; end loop; end if; end process; end generate; -- Handles handshaking for access to address/command ac_handshake_proc : process(rst_n, clk) begin if rst_n = '0' then dgwb_ctrl <= defaults; dgwb_ac_access_req <= '0'; elsif rising_edge(clk) then dgwb_ctrl <= defaults; dgwb_ac_access_req <= '0'; if sig_dgwb_state /= s_idle and sig_dgwb_state /= s_release_admin then dgwb_ac_access_req <= '1'; elsif sig_dgwb_state = s_idle or sig_dgwb_state = s_release_admin then dgwb_ac_access_req <= '0'; else report dgwb_report_prefix & "unexpected state in ac_handshake_proc so haven't requested access to address/command." severity warning; end if; if sig_dgwb_state = s_wait_admin and sig_dgwb_last_state = s_idle then dgwb_ctrl.command_ack <= '1'; end if; if sig_dgwb_state = s_idle and sig_dgwb_last_state = s_release_admin then dgwb_ctrl.command_done <= '1'; end if; end if; end process; end architecture rtl; -- -- ----------------------------------------------------------------------------- -- Abstract : ctrl block for the non-levelling AFI PHY sequencer -- This block is the central control unit for the sequencer. The method -- of control is to issue commands (prefixed cmd_) to each of the other -- sequencer blocks to execute. Each command corresponds to a stage of -- the AFI PHY calibaration stage, and in turn each state represents a -- command or a supplimentary flow control operation. In addition to -- controlling the sequencer this block also checks for time out -- conditions which occur when a different system block is faulty. -- ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The iram address package (alt_mem_phy_iram_addr_pkg) is used to define the base addresses used -- for iram writes during calibration -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_ctrl is generic ( FAMILYGROUP_ID : natural; MEM_IF_DLL_LOCK_COUNT : natural; MEM_IF_MEMTYPE : string; DWIDTH_RATIO : natural; IRAM_ADDRESSING : t_base_hdr_addresses; MEM_IF_CLK_PS : natural; TRACKING_INTERVAL_IN_MS : natural; MEM_IF_NUM_RANKS : natural; MEM_IF_DQS_WIDTH : natural; GENERATE_ADDITIONAL_DBG_RTL : natural; SIM_TIME_REDUCTIONS : natural; -- if 0 null, if 1 skip rrp, if 2 rrp for 1 dqs group and 1 cs ACK_SEVERITY : severity_level ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; -- calibration status and redo request ctl_init_success : out std_logic; ctl_init_fail : out std_logic; ctl_recalibrate_req : in std_logic; -- acts as a synchronous reset -- status signals from iram iram_status : in t_iram_stat; iram_push_done : in std_logic; -- standard control signal to all blocks ctrl_op_rec : out t_ctrl_command; -- standardised response from all system blocks admin_ctrl : in t_ctrl_stat; dgrb_ctrl : in t_ctrl_stat; dgwb_ctrl : in t_ctrl_stat; -- mmi to ctrl interface mmi_ctrl : in t_mmi_ctrl; ctrl_mmi : out t_ctrl_mmi; -- byte lane select ctl_cal_byte_lanes : in std_logic_vector(MEM_IF_NUM_RANKS * MEM_IF_DQS_WIDTH - 1 downto 0); -- signals to control the ac_nt setting dgrb_ctrl_ac_nt_good : in std_logic; int_ac_nt : out std_logic_vector(((DWIDTH_RATIO+2)/4) - 1 downto 0); -- width of 1 for DWIDTH_RATIO =2,4 and 2 for DWIDTH_RATIO = 8 -- the following signals are reserved for future use ctrl_iram_push : out t_ctrl_iram ); end entity; library work; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_ctrl is -- a prefix for all report signals to identify phy and sequencer block -- constant ctrl_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (ctrl) : "; -- decoder to find the relevant disable bit (from mmi registers) for a given state function find_dis_bit ( state : t_master_sm_state; mmi_ctrl : t_mmi_ctrl ) return std_logic is variable v_dis : std_logic; begin case state is when s_phy_initialise => v_dis := mmi_ctrl.hl_css.phy_initialise_dis; when s_init_dram | s_prog_cal_mr => v_dis := mmi_ctrl.hl_css.init_dram_dis; when s_write_ihi => v_dis := mmi_ctrl.hl_css.write_ihi_dis; when s_cal => v_dis := mmi_ctrl.hl_css.cal_dis; when s_write_btp => v_dis := mmi_ctrl.hl_css.write_btp_dis; when s_write_mtp => v_dis := mmi_ctrl.hl_css.write_mtp_dis; when s_read_mtp => v_dis := mmi_ctrl.hl_css.read_mtp_dis; when s_rrp_reset => v_dis := mmi_ctrl.hl_css.rrp_reset_dis; when s_rrp_sweep => v_dis := mmi_ctrl.hl_css.rrp_sweep_dis; when s_rrp_seek => v_dis := mmi_ctrl.hl_css.rrp_seek_dis; when s_rdv => v_dis := mmi_ctrl.hl_css.rdv_dis; when s_poa => v_dis := mmi_ctrl.hl_css.poa_dis; when s_was => v_dis := mmi_ctrl.hl_css.was_dis; when s_adv_rd_lat => v_dis := mmi_ctrl.hl_css.adv_rd_lat_dis; when s_adv_wr_lat => v_dis := mmi_ctrl.hl_css.adv_wr_lat_dis; when s_prep_customer_mr_setup => v_dis := mmi_ctrl.hl_css.prep_customer_mr_setup_dis; when s_tracking_setup | s_tracking => v_dis := mmi_ctrl.hl_css.tracking_dis; when others => v_dis := '1'; -- default change stage end case; return v_dis; end function; -- decoder to find the relevant command for a given state function find_cmd ( state : t_master_sm_state ) return t_ctrl_cmd_id is begin case state is when s_phy_initialise => return cmd_phy_initialise; when s_init_dram => return cmd_init_dram; when s_prog_cal_mr => return cmd_prog_cal_mr; when s_write_ihi => return cmd_write_ihi; when s_cal => return cmd_idle; when s_write_btp => return cmd_write_btp; when s_write_mtp => return cmd_write_mtp; when s_read_mtp => return cmd_read_mtp; when s_rrp_reset => return cmd_rrp_reset; when s_rrp_sweep => return cmd_rrp_sweep; when s_rrp_seek => return cmd_rrp_seek; when s_rdv => return cmd_rdv; when s_poa => return cmd_poa; when s_was => return cmd_was; when s_adv_rd_lat => return cmd_prep_adv_rd_lat; when s_adv_wr_lat => return cmd_prep_adv_wr_lat; when s_prep_customer_mr_setup => return cmd_prep_customer_mr_setup; when s_tracking_setup | s_tracking => return cmd_tr_due; when others => return cmd_idle; end case; end function; function mcs_rw_state -- returns true for multiple cs read/write states ( state : t_master_sm_state ) return boolean is begin case state is when s_write_btp | s_write_mtp | s_rrp_sweep => return true; when s_reset | s_phy_initialise | s_init_dram | s_prog_cal_mr | s_write_ihi | s_cal | s_read_mtp | s_rrp_reset | s_rrp_seek | s_rdv | s_poa | s_was | s_adv_rd_lat | s_adv_wr_lat | s_prep_customer_mr_setup | s_tracking_setup | s_tracking | s_operational | s_non_operational => return false; when others => -- return false; end case; end function; -- timing parameters constant c_done_timeout_count : natural := 32768; constant c_ack_timeout_count : natural := 1000; constant c_ticks_per_ms : natural := 1000000000/(MEM_IF_CLK_PS*(DWIDTH_RATIO/2)); constant c_ticks_per_10us : natural := 10000000 /(MEM_IF_CLK_PS*(DWIDTH_RATIO/2)); -- local copy of calibration fail/success signals signal int_ctl_init_fail : std_logic; signal int_ctl_init_success : std_logic; -- state machine (master for sequencer) signal state : t_master_sm_state; signal last_state : t_master_sm_state; -- flow control signals for state machine signal dis_state : std_logic; -- disable state signal hold_state : std_logic; -- hold in state for 1 clock cycle signal master_ctrl_op_rec : t_ctrl_command; -- master command record to all sequencer blocks signal master_ctrl_iram_push : t_ctrl_iram; -- record indicating control details for pushes signal dll_lock_counter : natural range MEM_IF_DLL_LOCK_COUNT - 1 downto 0; -- to wait for dll to lock signal iram_init_complete : std_logic; -- timeout signals to check if a block has 'hung' signal timeout_counter : natural range c_done_timeout_count - 1 downto 0; signal timeout_counter_stop : std_logic; signal timeout_counter_enable : std_logic; signal timeout_counter_clear : std_logic; signal cmd_req_asserted : std_logic; -- a command has been issued signal flag_ack_timeout : std_logic; -- req -> ack timed out signal flag_done_timeout : std_logic; -- reg -> done timed out signal waiting_for_ack : std_logic; -- command issued signal cmd_ack_seen : std_logic; -- command completed signal curr_ctrl : t_ctrl_stat; -- response for current active block signal curr_cmd : t_ctrl_cmd_id; -- store state information based on issued command signal int_ctrl_prev_stage : t_ctrl_cmd_id; signal int_ctrl_current_stage : t_ctrl_cmd_id; -- multiple chip select counter signal cs_counter : natural range 0 to MEM_IF_NUM_RANKS - 1; signal reissue_cmd_req : std_logic; -- reissue command request for multiple cs signal cal_cs_enabled : std_logic_vector(MEM_IF_NUM_RANKS - 1 downto 0); -- signals to check the ac_nt setting signal ac_nt_almts_checked : natural range 0 to DWIDTH_RATIO/2-1; signal ac_nt : std_logic_vector(((DWIDTH_RATIO+2)/4) - 1 downto 0); -- track the mtp alignment setting signal mtp_almts_checked : natural range 0 to 2; signal mtp_correct_almt : natural range 0 to 1; signal mtp_no_valid_almt : std_logic; signal mtp_both_valid_almt : std_logic; signal mtp_err : std_logic; -- tracking timing signal milisecond_tick_gen_count : natural range 0 to c_ticks_per_ms -1 := c_ticks_per_ms -1; signal tracking_ms_counter : natural range 0 to 255; signal tracking_update_due : std_logic; begin -- architecture struct ------------------------------------------------------------------------------- -- check if chip selects are enabled -- this only effects reactive stages (i,e, those requiring memory reads) ------------------------------------------------------------------------------- process(ctl_cal_byte_lanes) variable v_cs_enabled : std_logic; begin for i in 0 to MEM_IF_NUM_RANKS - 1 loop -- check if any bytes enabled v_cs_enabled := '0'; for j in 0 to MEM_IF_DQS_WIDTH - 1 loop v_cs_enabled := v_cs_enabled or ctl_cal_byte_lanes(i*MEM_IF_DQS_WIDTH + j); end loop; -- if any byte enabled set cs as enabled else not cal_cs_enabled(i) <= v_cs_enabled; -- sanity checking: if i = 0 and v_cs_enabled = '0' then report ctrl_report_prefix & " disabling of chip select 0 is unsupported by the sequencer," & LF & "-> if this is your intention then please remap CS pins such that CS 0 is not disabled" severity failure; end if; end loop; end process; -- ----------------------------------------------------------------------------- -- dll lock counter -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then dll_lock_counter <= MEM_IF_DLL_LOCK_COUNT -1; elsif rising_edge(clk) then if ctl_recalibrate_req = '1' then dll_lock_counter <= MEM_IF_DLL_LOCK_COUNT -1; elsif dll_lock_counter /= 0 then dll_lock_counter <= dll_lock_counter - 1; end if; end if; end process; -- ----------------------------------------------------------------------------- -- timeout counter : this counter is used to determine if an ack, or done has -- not been received within the expected number of clock cycles of a req being -- asserted. -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then timeout_counter <= c_done_timeout_count - 1; elsif rising_edge(clk) then if timeout_counter_clear = '1' then timeout_counter <= c_done_timeout_count - 1; elsif timeout_counter_enable = '1' and state /= s_init_dram then if timeout_counter /= 0 then timeout_counter <= timeout_counter - 1; end if; end if; end if; end process; -- ----------------------------------------------------------------------------- -- register current ctrl signal based on current command -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then curr_ctrl <= defaults; curr_cmd <= cmd_idle; elsif rising_edge(clk) then case curr_active_block(curr_cmd) is when admin => curr_ctrl <= admin_ctrl; when dgrb => curr_ctrl <= dgrb_ctrl; when dgwb => curr_ctrl <= dgwb_ctrl; when others => curr_ctrl <= defaults; end case; curr_cmd <= master_ctrl_op_rec.command; end if; end process; -- ----------------------------------------------------------------------------- -- generation of cmd_ack_seen -- ----------------------------------------------------------------------------- process (curr_ctrl) begin cmd_ack_seen <= curr_ctrl.command_ack; end process; ------------------------------------------------------------------------------- -- generation of waiting_for_ack flag (to determine whether ack has timed out) ------------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then waiting_for_ack <= '0'; elsif rising_edge(clk) then if cmd_req_asserted = '1' then waiting_for_ack <= '1'; elsif cmd_ack_seen = '1' then waiting_for_ack <= '0'; end if; end if; end process; -- ----------------------------------------------------------------------------- -- generation of timeout flags -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then flag_ack_timeout <= '0'; flag_done_timeout <= '0'; elsif rising_edge(clk) then if mmi_ctrl.calibration_start = '1' or ctl_recalibrate_req = '1' then flag_ack_timeout <= '0'; elsif timeout_counter = 0 and waiting_for_ack = '1' then flag_ack_timeout <= '1'; end if; if mmi_ctrl.calibration_start = '1' or ctl_recalibrate_req = '1' then flag_done_timeout <= '0'; elsif timeout_counter = 0 and state /= s_rrp_sweep and -- rrp can take enough cycles to overflow counter so don't timeout state /= s_init_dram and -- init_dram takes about 200 us, so don't timeout timeout_counter_clear /= '1' then -- check if currently clearing the timeout (i.e. command_done asserted for s_init_dram or s_rrp_sweep) flag_done_timeout <= '1'; end if; end if; end process; -- generation of timeout_counter_stop timeout_counter_stop <= curr_ctrl.command_done; -- ----------------------------------------------------------------------------- -- generation of timeout_counter_enable and timeout_counter_clear -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then timeout_counter_enable <= '0'; timeout_counter_clear <= '0'; elsif rising_edge(clk) then if cmd_req_asserted = '1' then timeout_counter_enable <= '1'; timeout_counter_clear <= '0'; elsif timeout_counter_stop = '1' or state = s_operational or state = s_non_operational or state = s_reset then timeout_counter_enable <= '0'; timeout_counter_clear <= '1'; end if; end if; end process; ------------------------------------------------------------------------------- -- assignment to ctrl_mmi record ------------------------------------------------------------------------------- process (clk, rst_n) variable v_ctrl_mmi : t_ctrl_mmi; begin if rst_n = '0' then v_ctrl_mmi := defaults; ctrl_mmi <= defaults; int_ctrl_prev_stage <= cmd_idle; int_ctrl_current_stage <= cmd_idle; elsif rising_edge(clk) then ctrl_mmi <= v_ctrl_mmi; v_ctrl_mmi.ctrl_calibration_success := '0'; v_ctrl_mmi.ctrl_calibration_fail := '0'; if (curr_ctrl.command_ack = '1') then case state is when s_init_dram => v_ctrl_mmi.ctrl_cal_stage_ack_seen.init_dram := '1'; when s_write_btp => v_ctrl_mmi.ctrl_cal_stage_ack_seen.write_btp := '1'; when s_write_mtp => v_ctrl_mmi.ctrl_cal_stage_ack_seen.write_mtp := '1'; when s_read_mtp => v_ctrl_mmi.ctrl_cal_stage_ack_seen.read_mtp := '1'; when s_rrp_reset => v_ctrl_mmi.ctrl_cal_stage_ack_seen.rrp_reset := '1'; when s_rrp_sweep => v_ctrl_mmi.ctrl_cal_stage_ack_seen.rrp_sweep := '1'; when s_rrp_seek => v_ctrl_mmi.ctrl_cal_stage_ack_seen.rrp_seek := '1'; when s_rdv => v_ctrl_mmi.ctrl_cal_stage_ack_seen.rdv := '1'; when s_poa => v_ctrl_mmi.ctrl_cal_stage_ack_seen.poa := '1'; when s_was => v_ctrl_mmi.ctrl_cal_stage_ack_seen.was := '1'; when s_adv_rd_lat => v_ctrl_mmi.ctrl_cal_stage_ack_seen.adv_rd_lat := '1'; when s_adv_wr_lat => v_ctrl_mmi.ctrl_cal_stage_ack_seen.adv_wr_lat := '1'; when s_prep_customer_mr_setup => v_ctrl_mmi.ctrl_cal_stage_ack_seen.prep_customer_mr_setup := '1'; when s_tracking_setup | s_tracking => v_ctrl_mmi.ctrl_cal_stage_ack_seen.tracking_setup := '1'; when others => null; end case; end if; -- special 'ack' (actually finished) triggers for phy_initialise, writing iram header info and s_cal if state = s_phy_initialise then if iram_status.init_done = '1' and dll_lock_counter = 0 then v_ctrl_mmi.ctrl_cal_stage_ack_seen.phy_initialise := '1'; end if; end if; if state = s_write_ihi then if iram_push_done = '1' then v_ctrl_mmi.ctrl_cal_stage_ack_seen.write_ihi := '1'; end if; end if; if state = s_cal and find_dis_bit(state, mmi_ctrl) = '0' then -- if cal state and calibration not disabled acknowledge v_ctrl_mmi.ctrl_cal_stage_ack_seen.cal := '1'; end if; if state = s_operational then v_ctrl_mmi.ctrl_calibration_success := '1'; end if; if state = s_non_operational then v_ctrl_mmi.ctrl_calibration_fail := '1'; end if; if state /= s_non_operational then v_ctrl_mmi.ctrl_current_active_block := master_ctrl_iram_push.active_block; v_ctrl_mmi.ctrl_current_stage := master_ctrl_op_rec.command; else v_ctrl_mmi.ctrl_current_active_block := v_ctrl_mmi.ctrl_current_active_block; v_ctrl_mmi.ctrl_current_stage := v_ctrl_mmi.ctrl_current_stage; end if; int_ctrl_prev_stage <= int_ctrl_current_stage; int_ctrl_current_stage <= v_ctrl_mmi.ctrl_current_stage; if int_ctrl_prev_stage /= int_ctrl_current_stage then v_ctrl_mmi.ctrl_current_stage_done := '0'; else if curr_ctrl.command_done = '1' then v_ctrl_mmi.ctrl_current_stage_done := '1'; end if; end if; v_ctrl_mmi.master_state_r := last_state; if mmi_ctrl.calibration_start = '1' or ctl_recalibrate_req = '1' then v_ctrl_mmi := defaults; ctrl_mmi <= defaults; end if; -- assert error codes here if curr_ctrl.command_err = '1' then v_ctrl_mmi.ctrl_err_code := curr_ctrl.command_result; elsif flag_ack_timeout = '1' then v_ctrl_mmi.ctrl_err_code := std_logic_vector(to_unsigned(c_err_ctrl_ack_timeout, v_ctrl_mmi.ctrl_err_code'length)); elsif flag_done_timeout = '1' then v_ctrl_mmi.ctrl_err_code := std_logic_vector(to_unsigned(c_err_ctrl_done_timeout, v_ctrl_mmi.ctrl_err_code'length)); elsif mtp_err = '1' then if mtp_no_valid_almt = '1' then v_ctrl_mmi.ctrl_err_code := std_logic_vector(to_unsigned(C_ERR_READ_MTP_NO_VALID_ALMT, v_ctrl_mmi.ctrl_err_code'length)); elsif mtp_both_valid_almt = '1' then v_ctrl_mmi.ctrl_err_code := std_logic_vector(to_unsigned(C_ERR_READ_MTP_BOTH_ALMT_PASS, v_ctrl_mmi.ctrl_err_code'length)); end if; end if; end if; end process; -- check if iram finished init process(iram_status) begin if GENERATE_ADDITIONAL_DBG_RTL = 0 then iram_init_complete <= '1'; else iram_init_complete <= iram_status.init_done; end if; end process; -- ----------------------------------------------------------------------------- -- master state machine -- (this controls the operation of the entire sequencer) -- the states are summarised as follows: -- s_reset -- s_phy_initialise - wait for dll lock and init done flag from iram -- s_init_dram, -- dram initialisation - reset sequence -- s_prog_cal_mr, -- dram initialisation - programming mode registers (once per chip select) -- s_write_ihi - write header information in iRAM -- s_cal - check if calibration to be executed -- s_write_btp - write burst training pattern -- s_write_mtp - write more training pattern -- s_rrp_reset - read resync phase setup - reset initial conditions -- s_rrp_sweep - read resync phase setup - sweep phases per chip select -- s_read_mtp - read training patterns to find correct alignment for 1100 burst -- (this is a special case of s_rrp_seek with no resych phase setting) -- s_rrp_seek - read resync phase setup - seek correct alignment -- s_rdv - read data valid setup -- s_poa - calibrate the postamble -- s_was - write datapath setup (ac to write data timing) -- s_adv_rd_lat - advertise read latency -- s_adv_wr_lat - advertise write latency -- s_tracking_setup - perform tracking (1st pass to setup mimic window) -- s_prep_customer_mr_setup - apply user mode register settings (in admin block) -- s_tracking - perform tracking (subsequent passes in user mode) -- s_operational - calibration successful and in user mode -- s_non_operational - calibration unsuccessful and in user mode -- ----------------------------------------------------------------------------- process(clk, rst_n) variable v_seen_ack : boolean; variable v_dis : std_logic; -- disable bit begin if rst_n = '0' then state <= s_reset; last_state <= s_reset; int_ctl_init_success <= '0'; int_ctl_init_fail <= '0'; v_seen_ack := false; hold_state <= '0'; cs_counter <= 0; mtp_almts_checked <= 0; ac_nt <= (others => '1'); ac_nt_almts_checked <= 0; reissue_cmd_req <= '0'; dis_state <= '0'; elsif rising_edge(clk) then last_state <= state; -- check if state_tx required if curr_ctrl.command_ack = '1' then v_seen_ack := true; end if; -- find disable bit for current state (do once to avoid exit mid-state) if state /= last_state then dis_state <= find_dis_bit(state, mmi_ctrl); end if; -- Set special conditions: if state = s_reset or state = s_operational or state = s_non_operational then dis_state <= '1'; end if; -- override to ensure execution of next state logic if (state = s_cal) then dis_state <= '1'; end if; -- if header writing in iram check finished if (state = s_write_ihi) then if iram_push_done = '1' or mmi_ctrl.hl_css.write_ihi_dis = '1' then dis_state <= '1'; else dis_state <= '0'; end if; end if; -- Special condition for initialisation if (state = s_phy_initialise) then if ((dll_lock_counter = 0) and (iram_init_complete = '1')) or (mmi_ctrl.hl_css.phy_initialise_dis = '1') then dis_state <= '1'; else dis_state <= '0'; end if; end if; if dis_state = '1' then v_seen_ack := false; elsif curr_ctrl.command_done = '1' then if v_seen_ack = false then report ctrl_report_prefix & "have not seen ack but have seen command done from " & t_ctrl_active_block'image(curr_active_block(master_ctrl_op_rec.command)) & "_block in state " & t_master_sm_state'image(state) severity warning; end if; v_seen_ack := false; end if; -- default do not reissue command request reissue_cmd_req <= '0'; if (hold_state = '1') then hold_state <= '0'; else if ((dis_state = '1') or (curr_ctrl.command_done = '1') or ((cal_cs_enabled(cs_counter) = '0') and (mcs_rw_state(state) = True))) then -- current chip select is disabled and read/write hold_state <= '1'; -- Only reset the below if making state change int_ctl_init_success <= '0'; int_ctl_init_fail <= '0'; -- default chip select counter gets reset to zero cs_counter <= 0; case state is when s_reset => state <= s_phy_initialise; ac_nt <= (others => '1'); mtp_almts_checked <= 0; ac_nt_almts_checked <= 0; when s_phy_initialise => state <= s_init_dram; when s_init_dram => state <= s_prog_cal_mr; when s_prog_cal_mr => if cs_counter = MEM_IF_NUM_RANKS - 1 then -- if no debug interface don't write iram header if GENERATE_ADDITIONAL_DBG_RTL = 1 then state <= s_write_ihi; else state <= s_cal; end if; else cs_counter <= cs_counter + 1; reissue_cmd_req <= '1'; end if; when s_write_ihi => state <= s_cal; when s_cal => if mmi_ctrl.hl_css.cal_dis = '0' then state <= s_write_btp; else state <= s_tracking_setup; end if; -- always enter s_cal before calibration so reset some variables here mtp_almts_checked <= 0; ac_nt_almts_checked <= 0; when s_write_btp => if cs_counter = MEM_IF_NUM_RANKS-1 or SIM_TIME_REDUCTIONS = 2 then state <= s_write_mtp; else cs_counter <= cs_counter + 1; -- only reissue command if current chip select enabled if cal_cs_enabled(cs_counter + 1) = '1' then reissue_cmd_req <= '1'; end if; end if; when s_write_mtp => if cs_counter = MEM_IF_NUM_RANKS - 1 or SIM_TIME_REDUCTIONS = 2 then if SIM_TIME_REDUCTIONS = 1 then state <= s_rdv; else state <= s_rrp_reset; end if; else cs_counter <= cs_counter + 1; -- only reissue command if current chip select enabled if cal_cs_enabled(cs_counter + 1) = '1' then reissue_cmd_req <= '1'; end if; end if; when s_rrp_reset => state <= s_rrp_sweep; when s_rrp_sweep => if cs_counter = MEM_IF_NUM_RANKS - 1 or mtp_almts_checked /= 2 or SIM_TIME_REDUCTIONS = 2 then if mtp_almts_checked /= 2 then state <= s_read_mtp; else state <= s_rrp_seek; end if; else cs_counter <= cs_counter + 1; -- only reissue command if current chip select enabled if cal_cs_enabled(cs_counter + 1) = '1' then reissue_cmd_req <= '1'; end if; end if; when s_read_mtp => if mtp_almts_checked /= 2 then mtp_almts_checked <= mtp_almts_checked + 1; end if; state <= s_rrp_reset; when s_rrp_seek => state <= s_rdv; when s_rdv => state <= s_was; when s_was => state <= s_adv_rd_lat; when s_adv_rd_lat => state <= s_adv_wr_lat; when s_adv_wr_lat => if dgrb_ctrl_ac_nt_good = '1' then state <= s_poa; else if ac_nt_almts_checked = (DWIDTH_RATIO/2 - 1) then state <= s_non_operational; else -- switch alignment and restart calibration ac_nt <= std_logic_vector(unsigned(ac_nt) + 1); ac_nt_almts_checked <= ac_nt_almts_checked + 1; if SIM_TIME_REDUCTIONS = 1 then state <= s_rdv; else state <= s_rrp_reset; end if; mtp_almts_checked <= 0; end if; end if; when s_poa => state <= s_tracking_setup; when s_tracking_setup => state <= s_prep_customer_mr_setup; when s_prep_customer_mr_setup => if cs_counter = MEM_IF_NUM_RANKS - 1 then -- s_prep_customer_mr_setup is always performed over all cs state <= s_operational; else cs_counter <= cs_counter + 1; reissue_cmd_req <= '1'; end if; when s_tracking => state <= s_operational; int_ctl_init_success <= int_ctl_init_success; int_ctl_init_fail <= int_ctl_init_fail; when s_operational => int_ctl_init_success <= '1'; int_ctl_init_fail <= '0'; hold_state <= '0'; if tracking_update_due = '1' and mmi_ctrl.hl_css.tracking_dis = '0' then state <= s_tracking; hold_state <= '1'; end if; when s_non_operational => int_ctl_init_success <= '0'; int_ctl_init_fail <= '1'; hold_state <= '0'; if last_state /= s_non_operational then -- print a warning on entering this state report ctrl_report_prefix & "memory calibration has failed (output from ctrl block)" severity WARNING; end if; when others => state <= t_master_sm_state'succ(state); end case; end if; end if; if flag_done_timeout = '1' -- no done signal from current active block or flag_ack_timeout = '1' -- or no ack signal from current active block or curr_ctrl.command_err = '1' -- or an error from current active block or mtp_err = '1' then -- or an error due to mtp alignment state <= s_non_operational; end if; if mmi_ctrl.calibration_start = '1' then -- restart calibration process state <= s_cal; end if; if ctl_recalibrate_req = '1' then -- restart all incl. initialisation state <= s_reset; end if; end if; end process; -- generate output calibration fail/success signals process(clk, rst_n) begin if rst_n = '0' then ctl_init_fail <= '0'; ctl_init_success <= '0'; elsif rising_edge(clk) then ctl_init_fail <= int_ctl_init_fail; ctl_init_success <= int_ctl_init_success; end if; end process; -- assign ac_nt to the output int_ac_nt process(ac_nt) begin int_ac_nt <= ac_nt; end process; -- ------------------------------------------------------------------------------ -- find correct mtp_almt from returned data -- ------------------------------------------------------------------------------ mtp_almt: block signal dvw_size_a0 : natural range 0 to 255; -- maximum size of command result signal dvw_size_a1 : natural range 0 to 255; begin process (clk, rst_n) variable v_dvw_a0_small : boolean; variable v_dvw_a1_small : boolean; begin if rst_n = '0' then mtp_correct_almt <= 0; dvw_size_a0 <= 0; dvw_size_a1 <= 0; mtp_no_valid_almt <= '0'; mtp_both_valid_almt <= '0'; mtp_err <= '0'; elsif rising_edge(clk) then -- update the dvw sizes if state = s_read_mtp then if curr_ctrl.command_done = '1' then if mtp_almts_checked = 0 then dvw_size_a0 <= to_integer(unsigned(curr_ctrl.command_result)); else dvw_size_a1 <= to_integer(unsigned(curr_ctrl.command_result)); end if; end if; end if; -- check dvw size and set mtp almt if dvw_size_a0 < dvw_size_a1 then mtp_correct_almt <= 1; else mtp_correct_almt <= 0; end if; -- error conditions if mtp_almts_checked = 2 and GENERATE_ADDITIONAL_DBG_RTL = 1 then -- if finished alignment checking (and GENERATE_ADDITIONAL_DBG_RTL set) -- perform size checks once per dvw if dvw_size_a0 < 3 then v_dvw_a0_small := true; else v_dvw_a0_small := false; end if; if dvw_size_a1 < 3 then v_dvw_a1_small := true; else v_dvw_a1_small := false; end if; if v_dvw_a0_small = true and v_dvw_a1_small = true then mtp_no_valid_almt <= '1'; mtp_err <= '1'; end if; if v_dvw_a0_small = false and v_dvw_a1_small = false then mtp_both_valid_almt <= '1'; mtp_err <= '1'; end if; else mtp_no_valid_almt <= '0'; mtp_both_valid_almt <= '0'; mtp_err <= '0'; end if; end if; end process; end block; -- ------------------------------------------------------------------------------ -- process to generate command outputs, based on state, last_state and mmi_ctrl. -- asynchronously -- ------------------------------------------------------------------------------ process (state, last_state, mmi_ctrl, reissue_cmd_req, cs_counter, mtp_almts_checked, mtp_correct_almt) begin master_ctrl_op_rec <= defaults; master_ctrl_iram_push <= defaults; case state is -- special condition states when s_reset | s_phy_initialise | s_cal => null; when s_write_ihi => if mmi_ctrl.hl_css.write_ihi_dis = '0' then master_ctrl_op_rec.command <= find_cmd(state); if state /= last_state then master_ctrl_op_rec.command_req <= '1'; end if; end if; when s_operational | s_non_operational => master_ctrl_op_rec.command <= find_cmd(state); when others => -- default condition for most states if find_dis_bit(state, mmi_ctrl) = '0' then master_ctrl_op_rec.command <= find_cmd(state); if state /= last_state or reissue_cmd_req = '1' then master_ctrl_op_rec.command_req <= '1'; end if; else if state = last_state then -- safe state exit if state disabled mid-calibration master_ctrl_op_rec.command <= find_cmd(state); end if; end if; end case; -- for multiple chip select commands assign operand to cs_counter master_ctrl_op_rec.command_op <= defaults; master_ctrl_op_rec.command_op.current_cs <= cs_counter; if state = s_rrp_sweep or state = s_read_mtp or state = s_poa then if mtp_almts_checked /= 2 or SIM_TIME_REDUCTIONS = 2 then master_ctrl_op_rec.command_op.single_bit <= '1'; end if; if mtp_almts_checked /= 2 then master_ctrl_op_rec.command_op.mtp_almt <= mtp_almts_checked; else master_ctrl_op_rec.command_op.mtp_almt <= mtp_correct_almt; end if; end if; -- set write mode and packing mode for iram if GENERATE_ADDITIONAL_DBG_RTL = 1 then case state is when s_rrp_sweep => master_ctrl_iram_push.write_mode <= overwrite_ram; master_ctrl_iram_push.packing_mode <= dq_bitwise; when s_rrp_seek | s_read_mtp => master_ctrl_iram_push.write_mode <= overwrite_ram; master_ctrl_iram_push.packing_mode <= dq_wordwise; when others => null; end case; end if; -- set current active block master_ctrl_iram_push.active_block <= curr_active_block(find_cmd(state)); end process; -- some concurc_read_burst_trent assignments to outputs process (master_ctrl_iram_push, master_ctrl_op_rec) begin ctrl_iram_push <= master_ctrl_iram_push; ctrl_op_rec <= master_ctrl_op_rec; cmd_req_asserted <= master_ctrl_op_rec.command_req; end process; -- ----------------------------------------------------------------------------- -- tracking interval counter -- ----------------------------------------------------------------------------- process(clk, rst_n) begin if rst_n = '0' then milisecond_tick_gen_count <= c_ticks_per_ms -1; tracking_ms_counter <= 0; tracking_update_due <= '0'; elsif rising_edge(clk) then if state = s_operational and last_state/= s_operational then if mmi_ctrl.tracking_orvd_to_10ms = '1' then milisecond_tick_gen_count <= c_ticks_per_10us -1; else milisecond_tick_gen_count <= c_ticks_per_ms -1; end if; tracking_ms_counter <= mmi_ctrl.tracking_period_ms; elsif state = s_operational then if milisecond_tick_gen_count = 0 and tracking_update_due /= '1' then if tracking_ms_counter = 0 then tracking_update_due <= '1'; else tracking_ms_counter <= tracking_ms_counter -1; end if; if mmi_ctrl.tracking_orvd_to_10ms = '1' then milisecond_tick_gen_count <= c_ticks_per_10us -1; else milisecond_tick_gen_count <= c_ticks_per_ms -1; end if; elsif milisecond_tick_gen_count /= 0 then milisecond_tick_gen_count <= milisecond_tick_gen_count -1; end if; else tracking_update_due <= '0'; end if; end if; end process; end architecture struct; -- -- ----------------------------------------------------------------------------- -- Abstract : top level for the non-levelling AFI PHY sequencer -- The top level instances the sub-blocks of the AFI PHY -- sequencer. In addition a number of multiplexing and high- -- level control operations are performed. This includes the -- multiplexing and generation of control signals for: the -- address and command DRAM interface and pll, oct and datapath -- latency control signals. -- ----------------------------------------------------------------------------- --altera message_off 10036 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- entity nios_altmemddr_0_phy_alt_mem_phy_seq IS generic ( -- choice of FPGA device family and DRAM type FAMILY : string; MEM_IF_MEMTYPE : string; SPEED_GRADE : string; FAMILYGROUP_ID : natural; -- physical interface width definitions MEM_IF_DQS_WIDTH : natural; MEM_IF_DWIDTH : natural; MEM_IF_DM_WIDTH : natural; MEM_IF_DQ_PER_DQS : natural; DWIDTH_RATIO : natural; CLOCK_INDEX_WIDTH : natural; MEM_IF_CLK_PAIR_COUNT : natural; MEM_IF_ADDR_WIDTH : natural; MEM_IF_BANKADDR_WIDTH : natural; MEM_IF_CS_WIDTH : natural; MEM_IF_NUM_RANKS : natural; MEM_IF_RANKS_PER_SLOT : natural; ADV_LAT_WIDTH : natural; RESYNCHRONISE_AVALON_DBG : natural; -- 0 = false, 1 = true AV_IF_ADDR_WIDTH : natural; -- Not used for non-levelled seq CHIP_OR_DIMM : string; RDIMM_CONFIG_BITS : string; -- setup / algorithm information NOM_DQS_PHASE_SETTING : natural; SCAN_CLK_DIVIDE_BY : natural; RDP_ADDR_WIDTH : natural; PLL_STEPS_PER_CYCLE : natural; IOE_PHASES_PER_TCK : natural; IOE_DELAYS_PER_PHS : natural; MEM_IF_CLK_PS : natural; WRITE_DESKEW_T10 : natural; WRITE_DESKEW_HC_T10 : natural; WRITE_DESKEW_T9NI : natural; WRITE_DESKEW_HC_T9NI : natural; WRITE_DESKEW_T9I : natural; WRITE_DESKEW_HC_T9I : natural; WRITE_DESKEW_RANGE : natural; -- initial mode register settings PHY_DEF_MR_1ST : natural; PHY_DEF_MR_2ND : natural; PHY_DEF_MR_3RD : natural; PHY_DEF_MR_4TH : natural; MEM_IF_DQSN_EN : natural; -- default off for Cyclone-III MEM_IF_DQS_CAPTURE_EN : natural; GENERATE_ADDITIONAL_DBG_RTL : natural; -- 1 signals to include iram and mmi blocks and 0 not to include SINGLE_DQS_DELAY_CONTROL_CODE : natural; -- reserved for future use PRESET_RLAT : natural; -- reserved for future use EN_OCT : natural; -- Does the sequencer use OCT during calibration. OCT_LAT_WIDTH : natural; SIM_TIME_REDUCTIONS : natural; -- if 0 null, if 2 rrp for 1 dqs group and 1 cs FORCE_HC : natural; -- Use to force HardCopy in simulation. CAPABILITIES : natural; -- advertise capabilities i.e. which ctrl block states to execute (default all on) TINIT_TCK : natural; TINIT_RST : natural; GENERATE_TRACKING_PHASE_STORE : natural; -- reserved for future use IP_BUILDNUM : natural ); port ( -- clk / reset clk : in std_logic; rst_n : in std_logic; -- calibration status and prompt ctl_init_success : out std_logic; ctl_init_fail : out std_logic; ctl_init_warning : out std_logic; -- unused ctl_recalibrate_req : in std_logic; -- the following two signals are reserved for future use mem_ac_swapped_ranks : in std_logic_vector(MEM_IF_NUM_RANKS - 1 downto 0); ctl_cal_byte_lanes : in std_logic_vector(MEM_IF_NUM_RANKS * MEM_IF_DQS_WIDTH - 1 downto 0); -- pll reconfiguration seq_pll_inc_dec_n : out std_logic; seq_pll_start_reconfig : out std_logic; seq_pll_select : out std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); seq_pll_phs_shift_busy : in std_logic; pll_resync_clk_index : in std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); -- PLL phase used to select resync clock pll_measure_clk_index : in std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); -- PLL phase used to select mimic/measure clock -- scanchain associated signals (reserved for future use) seq_scan_clk : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_enable_dqs_config : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_update : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_din : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_enable_ck : out std_logic_vector(MEM_IF_CLK_PAIR_COUNT - 1 downto 0); seq_scan_enable_dqs : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_enable_dqsn : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_scan_enable_dq : out std_logic_vector(MEM_IF_DWIDTH - 1 downto 0); seq_scan_enable_dm : out std_logic_vector(MEM_IF_DM_WIDTH - 1 downto 0); hr_rsc_clk : in std_logic; -- address / command interface (note these are mapped internally to the seq_ac record) seq_ac_addr : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_ADDR_WIDTH - 1 downto 0); seq_ac_ba : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_BANKADDR_WIDTH - 1 downto 0); seq_ac_cas_n : out std_logic_vector((DWIDTH_RATIO/2) - 1 downto 0); seq_ac_ras_n : out std_logic_vector((DWIDTH_RATIO/2) - 1 downto 0); seq_ac_we_n : out std_logic_vector((DWIDTH_RATIO/2) - 1 downto 0); seq_ac_cke : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS - 1 downto 0); seq_ac_cs_n : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS - 1 downto 0); seq_ac_odt : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_NUM_RANKS - 1 downto 0); seq_ac_rst_n : out std_logic_vector((DWIDTH_RATIO/2) - 1 downto 0); seq_ac_sel : out std_logic; seq_mem_clk_disable : out std_logic; -- additional datapath latency (reserved for future use) seq_ac_add_1t_ac_lat_internal : out std_logic; seq_ac_add_1t_odt_lat_internal : out std_logic; seq_ac_add_2t : out std_logic; -- read datapath interface seq_rdp_reset_req_n : out std_logic; seq_rdp_inc_read_lat_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_rdp_dec_read_lat_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); rdata : in std_logic_vector( DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0); -- read data valid (associated signals) interface seq_rdv_doing_rd : out std_logic_vector(MEM_IF_DQS_WIDTH * DWIDTH_RATIO/2 - 1 downto 0); rdata_valid : in std_logic_vector( DWIDTH_RATIO/2 - 1 downto 0); seq_rdata_valid_lat_inc : out std_logic; seq_rdata_valid_lat_dec : out std_logic; seq_ctl_rlat : out std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); -- postamble interface (unused for Cyclone-III) seq_poa_lat_dec_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_poa_lat_inc_1x : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_poa_protection_override_1x : out std_logic; -- OCT path control seq_oct_oct_delay : out std_logic_vector(OCT_LAT_WIDTH - 1 downto 0); seq_oct_oct_extend : out std_logic_vector(OCT_LAT_WIDTH - 1 downto 0); seq_oct_value : out std_logic; -- write data path interface seq_wdp_dqs_burst : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_DQS_WIDTH - 1 downto 0); seq_wdp_wdata_valid : out std_logic_vector((DWIDTH_RATIO/2) * MEM_IF_DQS_WIDTH - 1 downto 0); seq_wdp_wdata : out std_logic_vector( DWIDTH_RATIO * MEM_IF_DWIDTH - 1 downto 0); seq_wdp_dm : out std_logic_vector( DWIDTH_RATIO * MEM_IF_DM_WIDTH - 1 downto 0); seq_wdp_dqs : out std_logic_vector( DWIDTH_RATIO - 1 downto 0); seq_wdp_ovride : out std_logic; seq_dqs_add_2t_delay : out std_logic_vector(MEM_IF_DQS_WIDTH - 1 downto 0); seq_ctl_wlat : out std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); -- mimic path interface seq_mmc_start : out std_logic; mmc_seq_done : in std_logic; mmc_seq_value : in std_logic; -- parity signals (not used for non-levelled PHY) mem_err_out_n : in std_logic; parity_error_n : out std_logic; --synchronous Avalon debug interface (internally re-synchronised to input clock (a generic option)) dbg_seq_clk : in std_logic; dbg_seq_rst_n : in std_logic; dbg_seq_addr : in std_logic_vector(AV_IF_ADDR_WIDTH - 1 downto 0); dbg_seq_wr : in std_logic; dbg_seq_rd : in std_logic; dbg_seq_cs : in std_logic; dbg_seq_wr_data : in std_logic_vector(31 downto 0); seq_dbg_rd_data : out std_logic_vector(31 downto 0); seq_dbg_waitrequest : out std_logic ); end entity; library work; -- The record package (alt_mem_phy_record_pkg) is used to combine command and status signals -- (into records) to be passed between sequencer blocks. It also contains type and record definitions -- for the stages of DRAM memory calibration. -- use work.nios_altmemddr_0_phy_alt_mem_phy_record_pkg.all; -- The registers package (alt_mem_phy_regs_pkg) is used to combine the definition of the -- registers for the mmi status registers and functions/procedures applied to the registers -- use work.nios_altmemddr_0_phy_alt_mem_phy_regs_pkg.all; -- The constant package (alt_mem_phy_constants_pkg) contains global 'constants' which are fixed -- thoughout the sequencer and will not change (for constants which may change between sequencer -- instances generics are used) -- use work.nios_altmemddr_0_phy_alt_mem_phy_constants_pkg.all; -- The iram address package (alt_mem_phy_iram_addr_pkg) is used to define the base addresses used -- for iram writes during calibration -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram_addr_pkg.all; -- The address and command package (alt_mem_phy_addr_cmd_pkg) is used to combine DRAM address -- and command signals in one record and unify the functions operating on this record. -- use work.nios_altmemddr_0_phy_alt_mem_phy_addr_cmd_pkg.all; -- Individually include each of library files for the sub-blocks of the sequencer: -- use work.nios_altmemddr_0_phy_alt_mem_phy_admin; -- use work.nios_altmemddr_0_phy_alt_mem_phy_mmi; -- use work.nios_altmemddr_0_phy_alt_mem_phy_iram; -- use work.nios_altmemddr_0_phy_alt_mem_phy_dgrb; -- use work.nios_altmemddr_0_phy_alt_mem_phy_dgwb; -- use work.nios_altmemddr_0_phy_alt_mem_phy_ctrl; -- architecture struct of nios_altmemddr_0_phy_alt_mem_phy_seq IS attribute altera_attribute : string; attribute altera_attribute of struct : architecture is "-name MESSAGE_DISABLE 18010"; -- debug signals (similar to those seen in the Quartus v8.0 DDR/DDR2 sequencer) signal rsu_multiple_valid_latencies_err : std_logic; -- true if >2 valid latency values are detected signal rsu_grt_one_dvw_err : std_logic; -- true if >1 data valid window is detected signal rsu_no_dvw_err : std_logic; -- true if no data valid window is detected signal rsu_codvw_phase : std_logic_vector(11 downto 0); -- set to the phase of the DVW detected if calibration is successful signal rsu_codvw_size : std_logic_vector(11 downto 0); -- set to the phase of the DVW detected if calibration is successful signal rsu_read_latency : std_logic_vector(ADV_LAT_WIDTH - 1 downto 0); -- set to the correct read latency if calibration is successful -- outputs from the dgrb to generate the above rsu_codvw_* signals and report status to the mmi signal dgrb_mmi : t_dgrb_mmi; -- admin to mmi interface signal regs_admin_ctrl_rec : t_admin_ctrl; -- mmi register settings information signal admin_regs_status_rec : t_admin_stat; -- admin status information -- odt enable from the admin block based on mr settings signal enable_odt : std_logic; -- iram status information (sent to the ctrl block) signal iram_status : t_iram_stat; -- dgrb iram write interface signal dgrb_iram : t_iram_push; -- ctrl to iram interface signal ctrl_idib_top : natural; -- current write location in the iram signal ctrl_active_block : t_ctrl_active_block; signal ctrl_iram_push : t_ctrl_iram; signal iram_push_done : std_logic; signal ctrl_iram_ihi_write : std_logic; -- local copies of calibration status signal ctl_init_success_int : std_logic; signal ctl_init_fail_int : std_logic; -- refresh period failure flag signal trefi_failure : std_logic; -- unified ctrl signal broadcast to all blocks from the ctrl block signal ctrl_broadcast : t_ctrl_command; -- standardised status report per block to control block signal admin_ctrl : t_ctrl_stat; signal dgwb_ctrl : t_ctrl_stat; signal dgrb_ctrl : t_ctrl_stat; -- mmi and ctrl block interface signal mmi_ctrl : t_mmi_ctrl; signal ctrl_mmi : t_ctrl_mmi; -- write datapath override signals signal dgwb_wdp_override : std_logic; signal dgrb_wdp_override : std_logic; -- address/command access request and grant between the dgrb/dgwb blocks and the admin block signal dgb_ac_access_gnt : std_logic; signal dgb_ac_access_gnt_r : std_logic; signal dgb_ac_access_req : std_logic; signal dgwb_ac_access_req : std_logic; signal dgrb_ac_access_req : std_logic; -- per block address/command record (multiplexed in this entity) signal admin_ac : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); signal dgwb_ac : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); signal dgrb_ac : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); -- doing read signal signal seq_rdv_doing_rd_int : std_logic_vector(seq_rdv_doing_rd'range); -- local copy of interface to inc/dec latency on rdata_valid and postamble signal seq_rdata_valid_lat_dec_int : std_logic; signal seq_rdata_valid_lat_inc_int : std_logic; signal seq_poa_lat_inc_1x_int : std_logic_vector(MEM_IF_DQS_WIDTH -1 downto 0); signal seq_poa_lat_dec_1x_int : std_logic_vector(MEM_IF_DQS_WIDTH -1 downto 0); -- local copy of write/read latency signal seq_ctl_wlat_int : std_logic_vector(seq_ctl_wlat'range); signal seq_ctl_rlat_int : std_logic_vector(seq_ctl_rlat'range); -- parameterisation of dgrb / dgwb / admin blocks from mmi register settings signal parameterisation_rec : t_algm_paramaterisation; -- PLL reconfig signal seq_pll_phs_shift_busy_r : std_logic; signal seq_pll_phs_shift_busy_ccd : std_logic; signal dgrb_pll_inc_dec_n : std_logic; signal dgrb_pll_start_reconfig : std_logic; signal dgrb_pll_select : std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); signal dgrb_phs_shft_busy : std_logic; signal mmi_pll_inc_dec_n : std_logic; signal mmi_pll_start_reconfig : std_logic; signal mmi_pll_select : std_logic_vector(CLOCK_INDEX_WIDTH - 1 downto 0); signal pll_mmi : t_pll_mmi; signal mmi_pll : t_mmi_pll_reconfig; -- address and command 1t setting (unused for Full Rate) signal int_ac_nt : std_logic_vector(((DWIDTH_RATIO+2)/4) - 1 downto 0); signal dgrb_ctrl_ac_nt_good : std_logic; -- the following signals are reserved for future use signal ctl_cal_byte_lanes_r : std_logic_vector(ctl_cal_byte_lanes'range); signal mmi_setup : t_ctrl_cmd_id; signal dgwb_iram : t_iram_push; -- track number of poa / rdv adjustments (reporting only) signal poa_adjustments : natural; signal rdv_adjustments : natural; -- convert input generics from natural to std_logic_vector constant c_phy_def_mr_1st_sl_vector : std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(PHY_DEF_MR_1ST, 16)); constant c_phy_def_mr_2nd_sl_vector : std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(PHY_DEF_MR_2ND, 16)); constant c_phy_def_mr_3rd_sl_vector : std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(PHY_DEF_MR_3RD, 16)); constant c_phy_def_mr_4th_sl_vector : std_logic_vector(15 downto 0) := std_logic_vector(to_unsigned(PHY_DEF_MR_4TH, 16)); -- overrride on capabilities to speed up simulation time function capabilities_override(capabilities : natural; sim_time_reductions : natural) return natural is begin if sim_time_reductions = 1 then return 2**c_hl_css_reg_cal_dis_bit; -- disable calibration completely else return capabilities; end if; end function; -- set sequencer capabilities constant c_capabilities_override : natural := capabilities_override(CAPABILITIES, SIM_TIME_REDUCTIONS); constant c_capabilities : std_logic_vector(31 downto 0) := std_logic_vector(to_unsigned(c_capabilities_override,32)); -- setup for address/command interface constant c_seq_addr_cmd_config : t_addr_cmd_config_rec := set_config_rec(MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS, DWIDTH_RATIO, MEM_IF_MEMTYPE); -- setup for odt signals -- odt setting as implemented in the altera high-performance controller for ddrx memories constant c_odt_settings : t_odt_array(0 to MEM_IF_NUM_RANKS-1) := set_odt_values(MEM_IF_NUM_RANKS, MEM_IF_RANKS_PER_SLOT, MEM_IF_MEMTYPE); -- a prefix for all report signals to identify phy and sequencer block -- constant seq_report_prefix : string := "nios_altmemddr_0_phy_alt_mem_phy_seq (top) : "; -- setup iram configuration constant c_iram_addresses : t_base_hdr_addresses := calc_iram_addresses(DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, MEM_IF_DWIDTH, MEM_IF_NUM_RANKS, MEM_IF_DQS_CAPTURE_EN); constant c_int_iram_awidth : natural := c_iram_addresses.required_addr_bits; constant c_preset_cal_setup : t_preset_cal := setup_instant_on(SIM_TIME_REDUCTIONS, FAMILYGROUP_ID, MEM_IF_MEMTYPE, DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, c_phy_def_mr_1st_sl_vector, c_phy_def_mr_2nd_sl_vector, c_phy_def_mr_3rd_sl_vector); constant c_preset_codvw_phase : natural := c_preset_cal_setup.codvw_phase; constant c_preset_codvw_size : natural := c_preset_cal_setup.codvw_size; constant c_tracking_interval_in_ms : natural := 128; constant c_mem_if_cal_bank : natural := 0; -- location to calibrate to constant c_mem_if_cal_base_col : natural := 0; -- default all zeros constant c_mem_if_cal_base_row : natural := 0; constant c_non_op_eval_md : string := "PIN_FINDER"; -- non_operational evaluation mode (used when GENERATE_ADDITIONAL_DBG_RTL = 1) begin -- architecture struct -- --------------------------------------------------------------- -- tie off unused signals to default values -- --------------------------------------------------------------- -- scan chain associated signals seq_scan_clk <= (others => '0'); seq_scan_enable_dqs_config <= (others => '0'); seq_scan_update <= (others => '0'); seq_scan_din <= (others => '0'); seq_scan_enable_ck <= (others => '0'); seq_scan_enable_dqs <= (others => '0'); seq_scan_enable_dqsn <= (others => '0'); seq_scan_enable_dq <= (others => '0'); seq_scan_enable_dm <= (others => '0'); seq_dqs_add_2t_delay <= (others => '0'); seq_rdp_inc_read_lat_1x <= (others => '0'); seq_rdp_dec_read_lat_1x <= (others => '0'); -- warning flag (not used in non-levelled sequencer) ctl_init_warning <= '0'; -- parity error flag (not used in non-levelled sequencer) parity_error_n <= '1'; -- admin: entity nios_altmemddr_0_phy_alt_mem_phy_admin generic map ( MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, MEM_IF_DWIDTH => MEM_IF_DWIDTH, MEM_IF_DM_WIDTH => MEM_IF_DM_WIDTH, MEM_IF_DQ_PER_DQS => MEM_IF_DQ_PER_DQS, DWIDTH_RATIO => DWIDTH_RATIO, CLOCK_INDEX_WIDTH => CLOCK_INDEX_WIDTH, MEM_IF_CLK_PAIR_COUNT => MEM_IF_CLK_PAIR_COUNT, MEM_IF_ADDR_WIDTH => MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH => MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, ADV_LAT_WIDTH => ADV_LAT_WIDTH, MEM_IF_DQSN_EN => MEM_IF_DQSN_EN, MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, MEM_IF_CAL_BANK => c_mem_if_cal_bank, MEM_IF_CAL_BASE_ROW => c_mem_if_cal_base_row, GENERATE_ADDITIONAL_DBG_RTL => GENERATE_ADDITIONAL_DBG_RTL, NON_OP_EVAL_MD => c_non_op_eval_md, MEM_IF_CLK_PS => MEM_IF_CLK_PS, TINIT_TCK => TINIT_TCK, TINIT_RST => TINIT_RST ) port map ( clk => clk, rst_n => rst_n, mem_ac_swapped_ranks => mem_ac_swapped_ranks, ctl_cal_byte_lanes => ctl_cal_byte_lanes_r, seq_ac => admin_ac, seq_ac_sel => seq_ac_sel, enable_odt => enable_odt, regs_admin_ctrl_rec => regs_admin_ctrl_rec, admin_regs_status_rec => admin_regs_status_rec, trefi_failure => trefi_failure, ctrl_admin => ctrl_broadcast, admin_ctrl => admin_ctrl, ac_access_req => dgb_ac_access_req, ac_access_gnt => dgb_ac_access_gnt, cal_fail => ctl_init_fail_int, cal_success => ctl_init_success_int, ctl_recalibrate_req => ctl_recalibrate_req ); -- selectively include the debug i/f (iram and mmi blocks) with_debug_if : if GENERATE_ADDITIONAL_DBG_RTL = 1 generate signal mmi_iram : t_iram_ctrl; signal mmi_iram_enable_writes : std_logic; signal rrp_mem_loc : natural range 0 to 2 ** c_int_iram_awidth - 1; signal command_req_r : std_logic; signal ctrl_broadcast_r : t_ctrl_command; begin -- register ctrl_broadcast locally process (clk, rst_n) begin if rst_n = '0' then ctrl_broadcast_r <= defaults; elsif rising_edge(clk) then ctrl_broadcast_r <= ctrl_broadcast; end if; end process; -- mmi : entity nios_altmemddr_0_phy_alt_mem_phy_mmi generic map ( MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, MEM_IF_DWIDTH => MEM_IF_DWIDTH, MEM_IF_DM_WIDTH => MEM_IF_DM_WIDTH, MEM_IF_DQ_PER_DQS => MEM_IF_DQ_PER_DQS, DWIDTH_RATIO => DWIDTH_RATIO, CLOCK_INDEX_WIDTH => CLOCK_INDEX_WIDTH, MEM_IF_CLK_PAIR_COUNT => MEM_IF_CLK_PAIR_COUNT, MEM_IF_ADDR_WIDTH => MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH => MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, MEM_IF_DQS_CAPTURE => MEM_IF_DQS_CAPTURE_EN, ADV_LAT_WIDTH => ADV_LAT_WIDTH, RESYNCHRONISE_AVALON_DBG => RESYNCHRONISE_AVALON_DBG, AV_IF_ADDR_WIDTH => AV_IF_ADDR_WIDTH, NOM_DQS_PHASE_SETTING => NOM_DQS_PHASE_SETTING, SCAN_CLK_DIVIDE_BY => SCAN_CLK_DIVIDE_BY, RDP_ADDR_WIDTH => RDP_ADDR_WIDTH, PLL_STEPS_PER_CYCLE => PLL_STEPS_PER_CYCLE, IOE_PHASES_PER_TCK => IOE_PHASES_PER_TCK, IOE_DELAYS_PER_PHS => IOE_DELAYS_PER_PHS, MEM_IF_CLK_PS => MEM_IF_CLK_PS, PHY_DEF_MR_1ST => c_phy_def_mr_1st_sl_vector, PHY_DEF_MR_2ND => c_phy_def_mr_2nd_sl_vector, PHY_DEF_MR_3RD => c_phy_def_mr_3rd_sl_vector, PHY_DEF_MR_4TH => c_phy_def_mr_4th_sl_vector, MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, PRESET_RLAT => PRESET_RLAT, CAPABILITIES => c_capabilities_override, USE_IRAM => '1', -- always use iram (generic is rfu) IRAM_AWIDTH => c_int_iram_awidth, TRACKING_INTERVAL_IN_MS => c_tracking_interval_in_ms, READ_LAT_WIDTH => ADV_LAT_WIDTH ) port map( clk => clk, rst_n => rst_n, dbg_seq_clk => dbg_seq_clk, dbg_seq_rst_n => dbg_seq_rst_n, dbg_seq_addr => dbg_seq_addr, dbg_seq_wr => dbg_seq_wr, dbg_seq_rd => dbg_seq_rd, dbg_seq_cs => dbg_seq_cs, dbg_seq_wr_data => dbg_seq_wr_data, seq_dbg_rd_data => seq_dbg_rd_data, seq_dbg_waitrequest => seq_dbg_waitrequest, regs_admin_ctrl => regs_admin_ctrl_rec, admin_regs_status => admin_regs_status_rec, mmi_iram => mmi_iram, mmi_iram_enable_writes => mmi_iram_enable_writes, iram_status => iram_status, mmi_ctrl => mmi_ctrl, ctrl_mmi => ctrl_mmi, int_ac_1t => int_ac_nt(0), invert_ac_1t => open, trefi_failure => trefi_failure, parameterisation_rec => parameterisation_rec, pll_mmi => pll_mmi, mmi_pll => mmi_pll, dgrb_mmi => dgrb_mmi ); -- iram : entity nios_altmemddr_0_phy_alt_mem_phy_iram generic map( MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, FAMILYGROUP_ID => FAMILYGROUP_ID, MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, MEM_IF_DQ_PER_DQS => MEM_IF_DQ_PER_DQS, MEM_IF_DWIDTH => MEM_IF_DWIDTH, MEM_IF_DM_WIDTH => MEM_IF_DM_WIDTH, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, IRAM_AWIDTH => c_int_iram_awidth, REFRESH_COUNT_INIT => 12, PRESET_RLAT => PRESET_RLAT, PLL_STEPS_PER_CYCLE => PLL_STEPS_PER_CYCLE, CAPABILITIES => c_capabilities_override, IP_BUILDNUM => IP_BUILDNUM ) port map( clk => clk, rst_n => rst_n, mmi_iram => mmi_iram, mmi_iram_enable_writes => mmi_iram_enable_writes, iram_status => iram_status, iram_push_done => iram_push_done, ctrl_iram => ctrl_broadcast_r, dgrb_iram => dgrb_iram, admin_regs_status_rec => admin_regs_status_rec, ctrl_idib_top => ctrl_idib_top, ctrl_iram_push => ctrl_iram_push, dgwb_iram => dgwb_iram ); -- calculate where current data should go in the iram process (clk, rst_n) variable v_words_req : natural range 0 to 2 * MEM_IF_DWIDTH * PLL_STEPS_PER_CYCLE * DWIDTH_RATIO - 1; -- how many words are required begin if rst_n = '0' then ctrl_idib_top <= 0; command_req_r <= '0'; rrp_mem_loc <= 0; elsif rising_edge(clk) then if command_req_r = '0' and ctrl_broadcast_r.command_req = '1' then -- execute once on each command_req assertion -- default a 'safe location' ctrl_idib_top <= c_iram_addresses.safe_dummy; case ctrl_broadcast_r.command is when cmd_write_ihi => -- reset pointers rrp_mem_loc <= c_iram_addresses.rrp; ctrl_idib_top <= 0; -- write header to zero location always when cmd_rrp_sweep => -- add previous space requirement onto the current address ctrl_idib_top <= rrp_mem_loc; -- add the current space requirement to v_rrp_mem_loc -- there are (DWIDTH_RATIO/2) * PLL_STEPS_PER_CYCLE phases swept packed into 32 bit words per pin -- note: special case for single_bit calibration stages (e.g. read_mtp alignment) if ctrl_broadcast_r.command_op.single_bit = '1' then v_words_req := iram_wd_for_one_pin_rrp(DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, MEM_IF_DWIDTH, MEM_IF_DQS_CAPTURE_EN); else v_words_req := iram_wd_for_full_rrp(DWIDTH_RATIO, PLL_STEPS_PER_CYCLE, MEM_IF_DWIDTH, MEM_IF_DQS_CAPTURE_EN); end if; v_words_req := v_words_req + 2; -- add 1 word location for header / footer information rrp_mem_loc <= rrp_mem_loc + v_words_req; when cmd_rrp_seek | cmd_read_mtp => -- add previous space requirement onto the current address ctrl_idib_top <= rrp_mem_loc; -- require 3 words - header, result and footer v_words_req := 3; rrp_mem_loc <= rrp_mem_loc + v_words_req; when others => null; end case; end if; command_req_r <= ctrl_broadcast_r.command_req; -- if recalibration request then reset iram address if ctl_recalibrate_req = '1' or mmi_ctrl.calibration_start = '1' then rrp_mem_loc <= c_iram_addresses.rrp; end if; end if; end process; end generate; -- with debug interface -- if no debug interface (iram/mmi block) tie off relevant signals without_debug_if : if GENERATE_ADDITIONAL_DBG_RTL = 0 generate constant c_slv_hl_stage_enable : std_logic_vector(31 downto 0) := std_logic_vector(to_unsigned(c_capabilities_override, 32)); constant c_hl_stage_enable : std_logic_vector(c_hl_ccs_num_stages-1 downto 0) := c_slv_hl_stage_enable(c_hl_ccs_num_stages-1 downto 0); constant c_pll_360_sweeps : natural := rrp_pll_phase_mult(DWIDTH_RATIO, MEM_IF_DQS_CAPTURE_EN); signal mmi_regs : t_mmi_regs := defaults; begin -- avalon interface signals seq_dbg_rd_data <= (others => '0'); seq_dbg_waitrequest <= '0'; -- The following registers are generated to simplify the assignments which follow -- but will be optimised away in synthesis mmi_regs.rw_regs <= defaults(c_phy_def_mr_1st_sl_vector, c_phy_def_mr_2nd_sl_vector, c_phy_def_mr_3rd_sl_vector, c_phy_def_mr_4th_sl_vector, NOM_DQS_PHASE_SETTING, PLL_STEPS_PER_CYCLE, c_pll_360_sweeps, c_tracking_interval_in_ms, c_hl_stage_enable); mmi_regs.ro_regs <= defaults(dgrb_mmi, ctrl_mmi, pll_mmi, mmi_regs.rw_regs.rw_if_test, '0', -- do not use iram MEM_IF_DQS_CAPTURE_EN, int_ac_nt(0), trefi_failure, iram_status, c_int_iram_awidth); process(mmi_regs) begin -- debug parameterisation signals regs_admin_ctrl_rec <= pack_record(mmi_regs.rw_regs); parameterisation_rec <= pack_record(mmi_regs.rw_regs); mmi_pll <= pack_record(mmi_regs.rw_regs); mmi_ctrl <= pack_record(mmi_regs.rw_regs); end process; -- from the iram iram_status <= defaults; iram_push_done <= '0'; end generate; -- without debug interface -- dgrb : entity nios_altmemddr_0_phy_alt_mem_phy_dgrb generic map( MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, MEM_IF_DQ_PER_DQS => MEM_IF_DQ_PER_DQS, MEM_IF_DWIDTH => MEM_IF_DWIDTH, MEM_IF_DM_WIDTH => MEM_IF_DM_WIDTH, MEM_IF_DQS_CAPTURE => MEM_IF_DQS_CAPTURE_EN, DWIDTH_RATIO => DWIDTH_RATIO, CLOCK_INDEX_WIDTH => CLOCK_INDEX_WIDTH, MEM_IF_ADDR_WIDTH => MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH => MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, ADV_LAT_WIDTH => ADV_LAT_WIDTH, PRESET_RLAT => PRESET_RLAT, PLL_STEPS_PER_CYCLE => PLL_STEPS_PER_CYCLE, SIM_TIME_REDUCTIONS => SIM_TIME_REDUCTIONS, GENERATE_ADDITIONAL_DBG_RTL => GENERATE_ADDITIONAL_DBG_RTL, PRESET_CODVW_PHASE => c_preset_codvw_phase, PRESET_CODVW_SIZE => c_preset_codvw_size, MEM_IF_CAL_BANK => c_mem_if_cal_bank, MEM_IF_CAL_BASE_COL => c_mem_if_cal_base_col, EN_OCT => EN_OCT ) port map( clk => clk, rst_n => rst_n, dgrb_ctrl => dgrb_ctrl, ctrl_dgrb => ctrl_broadcast, parameterisation_rec => parameterisation_rec, phs_shft_busy => dgrb_phs_shft_busy, seq_pll_inc_dec_n => dgrb_pll_inc_dec_n, seq_pll_select => dgrb_pll_select, seq_pll_start_reconfig => dgrb_pll_start_reconfig, pll_resync_clk_index => pll_resync_clk_index, pll_measure_clk_index => pll_measure_clk_index, dgrb_iram => dgrb_iram, iram_push_done => iram_push_done, dgrb_ac => dgrb_ac, dgrb_ac_access_req => dgrb_ac_access_req, dgrb_ac_access_gnt => dgb_ac_access_gnt_r, seq_rdata_valid_lat_inc => seq_rdata_valid_lat_inc_int, seq_rdata_valid_lat_dec => seq_rdata_valid_lat_dec_int, seq_poa_lat_dec_1x => seq_poa_lat_dec_1x_int, seq_poa_lat_inc_1x => seq_poa_lat_inc_1x_int, rdata_valid => rdata_valid, rdata => rdata, doing_rd => seq_rdv_doing_rd_int, rd_lat => seq_ctl_rlat_int, wd_lat => seq_ctl_wlat_int, dgrb_wdp_ovride => dgrb_wdp_override, seq_oct_value => seq_oct_value, seq_mmc_start => seq_mmc_start, mmc_seq_done => mmc_seq_done, mmc_seq_value => mmc_seq_value, ctl_cal_byte_lanes => ctl_cal_byte_lanes_r, odt_settings => c_odt_settings, dgrb_ctrl_ac_nt_good => dgrb_ctrl_ac_nt_good, dgrb_mmi => dgrb_mmi ); -- dgwb : entity nios_altmemddr_0_phy_alt_mem_phy_dgwb generic map( -- Physical IF width definitions MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, MEM_IF_DQ_PER_DQS => MEM_IF_DQ_PER_DQS, MEM_IF_DWIDTH => MEM_IF_DWIDTH, MEM_IF_DM_WIDTH => MEM_IF_DM_WIDTH, DWIDTH_RATIO => DWIDTH_RATIO, MEM_IF_ADDR_WIDTH => MEM_IF_ADDR_WIDTH, MEM_IF_BANKADDR_WIDTH => MEM_IF_BANKADDR_WIDTH, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, ADV_LAT_WIDTH => ADV_LAT_WIDTH, MEM_IF_CAL_BANK => c_mem_if_cal_bank, MEM_IF_CAL_BASE_COL => c_mem_if_cal_base_col ) port map( clk => clk, rst_n => rst_n, parameterisation_rec => parameterisation_rec, dgwb_ctrl => dgwb_ctrl, ctrl_dgwb => ctrl_broadcast, dgwb_iram => dgwb_iram, iram_push_done => iram_push_done, dgwb_ac_access_req => dgwb_ac_access_req, dgwb_ac_access_gnt => dgb_ac_access_gnt_r, dgwb_dqs_burst => seq_wdp_dqs_burst, dgwb_wdata_valid => seq_wdp_wdata_valid, dgwb_wdata => seq_wdp_wdata, dgwb_dm => seq_wdp_dm, dgwb_dqs => seq_wdp_dqs, dgwb_wdp_ovride => dgwb_wdp_override, dgwb_ac => dgwb_ac, bypassed_rdata => rdata(DWIDTH_RATIO * MEM_IF_DWIDTH -1 downto (DWIDTH_RATIO-1) * MEM_IF_DWIDTH), odt_settings => c_odt_settings ); -- ctrl: entity nios_altmemddr_0_phy_alt_mem_phy_ctrl generic map( FAMILYGROUP_ID => FAMILYGROUP_ID, MEM_IF_DLL_LOCK_COUNT => 1280/(DWIDTH_RATIO/2), MEM_IF_MEMTYPE => MEM_IF_MEMTYPE, DWIDTH_RATIO => DWIDTH_RATIO, IRAM_ADDRESSING => c_iram_addresses, MEM_IF_CLK_PS => MEM_IF_CLK_PS, TRACKING_INTERVAL_IN_MS => c_tracking_interval_in_ms, GENERATE_ADDITIONAL_DBG_RTL => GENERATE_ADDITIONAL_DBG_RTL, MEM_IF_NUM_RANKS => MEM_IF_NUM_RANKS, MEM_IF_DQS_WIDTH => MEM_IF_DQS_WIDTH, SIM_TIME_REDUCTIONS => SIM_TIME_REDUCTIONS, ACK_SEVERITY => warning ) port map( clk => clk, rst_n => rst_n, ctl_init_success => ctl_init_success_int, ctl_init_fail => ctl_init_fail_int, ctl_recalibrate_req => ctl_recalibrate_req, iram_status => iram_status, iram_push_done => iram_push_done, ctrl_op_rec => ctrl_broadcast, admin_ctrl => admin_ctrl, dgrb_ctrl => dgrb_ctrl, dgwb_ctrl => dgwb_ctrl, ctrl_iram_push => ctrl_iram_push, ctl_cal_byte_lanes => ctl_cal_byte_lanes_r, dgrb_ctrl_ac_nt_good => dgrb_ctrl_ac_nt_good, int_ac_nt => int_ac_nt, mmi_ctrl => mmi_ctrl, ctrl_mmi => ctrl_mmi ); -- ------------------------------------------------------------------ -- generate legacy rsu signals -- ------------------------------------------------------------------ process(rst_n, clk) begin if rst_n = '0' then rsu_multiple_valid_latencies_err <= '0'; rsu_grt_one_dvw_err <= '0'; rsu_no_dvw_err <= '0'; rsu_codvw_phase <= (others => '0'); rsu_codvw_size <= (others => '0'); rsu_read_latency <= (others => '0'); elsif rising_edge(clk) then if dgrb_ctrl.command_err = '1' then case to_integer(unsigned(dgrb_ctrl.command_result)) is when C_ERR_RESYNC_NO_VALID_PHASES => rsu_no_dvw_err <= '1'; when C_ERR_RESYNC_MULTIPLE_EQUAL_WINDOWS => rsu_multiple_valid_latencies_err <= '1'; when others => null; end case; end if; rsu_codvw_phase(dgrb_mmi.cal_codvw_phase'range) <= dgrb_mmi.cal_codvw_phase; rsu_codvw_size(dgrb_mmi.cal_codvw_size'range) <= dgrb_mmi.cal_codvw_size; rsu_read_latency <= seq_ctl_rlat_int; rsu_grt_one_dvw_err <= dgrb_mmi.codvw_grt_one_dvw; -- Reset the flag on a recal request : if ( ctl_recalibrate_req = '1') then rsu_grt_one_dvw_err <= '0'; rsu_no_dvw_err <= '0'; rsu_multiple_valid_latencies_err <= '0'; end if; end if; end process; -- --------------------------------------------------------------- -- top level multiplexing and ctrl functionality -- --------------------------------------------------------------- oct_delay_block : block constant DEFAULT_OCT_DELAY_CONST : integer := - 2; -- higher increases delay by one mem_clk cycle, lower decreases delay by one mem_clk cycle. constant DEFAULT_OCT_EXTEND : natural := 3; -- Returns additive latency extracted from mr0 as a natural number. function decode_cl(mr0 : in std_logic_vector(12 downto 0)) return natural is variable v_cl : natural range 0 to 2**4 - 1; begin if MEM_IF_MEMTYPE = "DDR" or MEM_IF_MEMTYPE = "DDR2" then v_cl := to_integer(unsigned(mr0(6 downto 4))); elsif MEM_IF_MEMTYPE = "DDR3" then v_cl := to_integer(unsigned(mr0(6 downto 4))) + 4; else report "Unsupported memory type " & MEM_IF_MEMTYPE severity failure; end if; return v_cl; end function; -- Returns additive latency extracted from mr1 as a natural number. function decode_al(mr1 : in std_logic_vector(12 downto 0)) return natural is variable v_al : natural range 0 to 2**4 - 1; begin if MEM_IF_MEMTYPE = "DDR" or MEM_IF_MEMTYPE = "DDR2" then v_al := to_integer(unsigned(mr1(5 downto 3))); elsif MEM_IF_MEMTYPE = "DDR3" then v_al := to_integer(unsigned(mr1(4 downto 3))); else report "Unsupported memory type " & MEM_IF_MEMTYPE severity failure; end if; return v_al; end function; -- Returns cas write latency extracted from mr2 as a natural number. function decode_cwl( mr0 : in std_logic_vector(12 downto 0); mr2 : in std_logic_vector(12 downto 0) ) return natural is variable v_cwl : natural range 0 to 2**4 - 1; begin if MEM_IF_MEMTYPE = "DDR" then v_cwl := 1; elsif MEM_IF_MEMTYPE = "DDR2" then v_cwl := decode_cl(mr0) - 1; elsif MEM_IF_MEMTYPE = "DDR3" then v_cwl := to_integer(unsigned(mr2(4 downto 3))) + 5; else report "Unsupported memory type " & MEM_IF_MEMTYPE severity failure; end if; return v_cwl; end function; begin -- Process to work out timings for OCT extension and delay with respect to doing_read. NOTE that it is calculated on the basis of CL, CWL, ctl_wlat oct_delay_proc : process(clk, rst_n) variable v_cl : natural range 0 to 2**4 - 1; -- Total read latency. variable v_cwl : natural range 0 to 2**4 - 1; -- Total write latency variable oct_delay : natural range 0 to 2**OCT_LAT_WIDTH - 1; variable v_wlat : natural range 0 to 2**ADV_LAT_WIDTH - 1; begin if rst_n = '0' then seq_oct_oct_delay <= (others => '0'); seq_oct_oct_extend <= std_logic_vector(to_unsigned(DEFAULT_OCT_EXTEND, OCT_LAT_WIDTH)); elsif rising_edge(clk) then if ctl_init_success_int = '1' then seq_oct_oct_extend <= std_logic_vector(to_unsigned(DEFAULT_OCT_EXTEND, OCT_LAT_WIDTH)); v_cl := decode_cl(admin_regs_status_rec.mr0); v_cwl := decode_cwl(admin_regs_status_rec.mr0, admin_regs_status_rec.mr2); if SIM_TIME_REDUCTIONS = 1 then v_wlat := c_preset_cal_setup.wlat; else v_wlat := to_integer(unsigned(seq_ctl_wlat_int)); end if; oct_delay := DWIDTH_RATIO * v_wlat / 2 + (v_cl - v_cwl) + DEFAULT_OCT_DELAY_CONST; if not (FAMILYGROUP_ID = 2) then -- CIII doesn't support OCT seq_oct_oct_delay <= std_logic_vector(to_unsigned(oct_delay, OCT_LAT_WIDTH)); end if; else seq_oct_oct_delay <= (others => '0'); seq_oct_oct_extend <= std_logic_vector(to_unsigned(DEFAULT_OCT_EXTEND, OCT_LAT_WIDTH)); end if; end if; end process; end block; -- control postamble protection override signal (seq_poa_protection_override_1x) process(clk, rst_n) variable v_warning_given : std_logic; begin if rst_n = '0' then seq_poa_protection_override_1x <= '0'; v_warning_given := '0'; elsif rising_edge(clk) then case ctrl_broadcast.command is when cmd_rdv | cmd_rrp_sweep | cmd_rrp_seek | cmd_prep_adv_rd_lat | cmd_prep_adv_wr_lat => seq_poa_protection_override_1x <= '1'; when others => seq_poa_protection_override_1x <= '0'; end case; end if; end process; ac_mux : block constant c_mem_clk_disable_pipe_len : natural := 3; signal seen_phy_init_complete : std_logic; signal mem_clk_disable : std_logic_vector(c_mem_clk_disable_pipe_len - 1 downto 0); signal ctrl_broadcast_r : t_ctrl_command; begin -- register ctrl_broadcast locally -- #for speed and to reduce fan out process (clk, rst_n) begin if rst_n = '0' then ctrl_broadcast_r <= defaults; elsif rising_edge(clk) then ctrl_broadcast_r <= ctrl_broadcast; end if; end process; -- multiplex mem interface control between admin, dgrb and dgwb process(clk, rst_n) variable v_seq_ac_mux : t_addr_cmd_vector(0 to (DWIDTH_RATIO/2)-1); begin if rst_n = '0' then seq_rdv_doing_rd <= (others => '0'); seq_mem_clk_disable <= '1'; mem_clk_disable <= (others => '1'); seen_phy_init_complete <= '0'; seq_ac_addr <= (others => '0'); seq_ac_ba <= (others => '0'); seq_ac_cas_n <= (others => '1'); seq_ac_ras_n <= (others => '1'); seq_ac_we_n <= (others => '1'); seq_ac_cke <= (others => '0'); seq_ac_cs_n <= (others => '1'); seq_ac_odt <= (others => '0'); seq_ac_rst_n <= (others => '0'); elsif rising_edge(clk) then seq_rdv_doing_rd <= seq_rdv_doing_rd_int; seq_mem_clk_disable <= mem_clk_disable(c_mem_clk_disable_pipe_len-1); mem_clk_disable(c_mem_clk_disable_pipe_len-1 downto 1) <= mem_clk_disable(c_mem_clk_disable_pipe_len-2 downto 0); if dgwb_ac_access_req = '1' and dgb_ac_access_gnt = '1' then v_seq_ac_mux := dgwb_ac; elsif dgrb_ac_access_req = '1' and dgb_ac_access_gnt = '1' then v_seq_ac_mux := dgrb_ac; else v_seq_ac_mux := admin_ac; end if; if ctl_recalibrate_req = '1' then mem_clk_disable(0) <= '1'; seen_phy_init_complete <= '0'; elsif ctrl_broadcast_r.command = cmd_init_dram and ctrl_broadcast_r.command_req = '1' then mem_clk_disable(0) <= '0'; seen_phy_init_complete <= '1'; end if; if seen_phy_init_complete /= '1' then -- if not initialised the phy hold in reset seq_ac_addr <= (others => '0'); seq_ac_ba <= (others => '0'); seq_ac_cas_n <= (others => '1'); seq_ac_ras_n <= (others => '1'); seq_ac_we_n <= (others => '1'); seq_ac_cke <= (others => '0'); seq_ac_cs_n <= (others => '1'); seq_ac_odt <= (others => '0'); seq_ac_rst_n <= (others => '0'); else if enable_odt = '0' then v_seq_ac_mux := mask(c_seq_addr_cmd_config, v_seq_ac_mux, odt, '0'); end if; unpack_addr_cmd_vector ( c_seq_addr_cmd_config, v_seq_ac_mux, seq_ac_addr, seq_ac_ba, seq_ac_cas_n, seq_ac_ras_n, seq_ac_we_n, seq_ac_cke, seq_ac_cs_n, seq_ac_odt, seq_ac_rst_n); end if; end if; end process; end block; -- register dgb_ac_access_gnt signal to ensure ODT set correctly in dgrb and dgwb prior to a read or write operation process(clk, rst_n) begin if rst_n = '0' then dgb_ac_access_gnt_r <= '0'; elsif rising_edge(clk) then dgb_ac_access_gnt_r <= dgb_ac_access_gnt; end if; end process; -- multiplex access request from dgrb/dgwb to admin block with checking for multiple accesses process (dgrb_ac_access_req, dgwb_ac_access_req) begin dgb_ac_access_req <= '0'; if dgwb_ac_access_req = '1' and dgrb_ac_access_req = '1' then report seq_report_prefix & "multiple accesses attempted from DGRB and DGWB to admin block via signals dg.b_ac_access_reg " severity failure; elsif dgwb_ac_access_req = '1' or dgrb_ac_access_req = '1' then dgb_ac_access_req <= '1'; end if; end process; rdv_poa_blk : block -- signals to control static setup of ctl_rdata_valid signal for instant on mode: constant c_static_rdv_offset : integer := c_preset_cal_setup.rdv_lat; -- required change in RDV latency (should always be > 0) signal static_rdv_offset : natural range 0 to abs(c_static_rdv_offset); -- signal to count # RDV shifts constant c_dly_rdv_set : natural := 7; -- delay between RDV shifts signal dly_rdv_inc_dec : std_logic; -- 1 = inc, 0 = dec signal rdv_set_delay : natural range 0 to c_dly_rdv_set; -- signal to delay RDV shifts -- same for poa protection constant c_static_poa_offset : integer := c_preset_cal_setup.poa_lat; signal static_poa_offset : natural range 0 to abs(c_static_poa_offset); constant c_dly_poa_set : natural := 7; signal dly_poa_inc_dec : std_logic; signal poa_set_delay : natural range 0 to c_dly_poa_set; -- function to abstract increment or decrement checking function set_inc_dec(offset : integer) return std_logic is begin if offset < 0 then return '1'; else return '0'; end if; end function; begin -- register postamble and rdata_valid latencies -- note: postamble unused for Cyclone-III -- RDV process(clk, rst_n) begin if rst_n = '0' then if SIM_TIME_REDUCTIONS = 1 then -- setup offset calc static_rdv_offset <= abs(c_static_rdv_offset); dly_rdv_inc_dec <= set_inc_dec(c_static_rdv_offset); rdv_set_delay <= c_dly_rdv_set; end if; seq_rdata_valid_lat_dec <= '0'; seq_rdata_valid_lat_inc <= '0'; elsif rising_edge(clk) then if SIM_TIME_REDUCTIONS = 1 then -- perform static setup of RDV signal if ctl_recalibrate_req = '1' then -- second reset condition -- setup offset calc static_rdv_offset <= abs(c_static_rdv_offset); dly_rdv_inc_dec <= set_inc_dec(c_static_rdv_offset); rdv_set_delay <= c_dly_rdv_set; else if static_rdv_offset /= 0 and rdv_set_delay = 0 then seq_rdata_valid_lat_dec <= not dly_rdv_inc_dec; seq_rdata_valid_lat_inc <= dly_rdv_inc_dec; static_rdv_offset <= static_rdv_offset - 1; rdv_set_delay <= c_dly_rdv_set; else -- once conplete pass through internal signals seq_rdata_valid_lat_dec <= seq_rdata_valid_lat_dec_int; seq_rdata_valid_lat_inc <= seq_rdata_valid_lat_inc_int; end if; if rdv_set_delay /= 0 then rdv_set_delay <= rdv_set_delay - 1; end if; end if; else -- no static setup seq_rdata_valid_lat_dec <= seq_rdata_valid_lat_dec_int; seq_rdata_valid_lat_inc <= seq_rdata_valid_lat_inc_int; end if; end if; end process; -- count number of RDV adjustments for debug process(clk, rst_n) begin if rst_n = '0' then rdv_adjustments <= 0; elsif rising_edge(clk) then if seq_rdata_valid_lat_dec_int = '1' then rdv_adjustments <= rdv_adjustments + 1; end if; if seq_rdata_valid_lat_inc_int = '1' then if rdv_adjustments = 0 then report seq_report_prefix & " read data valid adjustment wrap around detected - more increments than decrements" severity failure; else rdv_adjustments <= rdv_adjustments - 1; end if; end if; end if; end process; -- POA protection process(clk, rst_n) begin if rst_n = '0' then if SIM_TIME_REDUCTIONS = 1 then -- setup offset calc static_poa_offset <= abs(c_static_poa_offset); dly_poa_inc_dec <= set_inc_dec(c_static_poa_offset); poa_set_delay <= c_dly_poa_set; end if; seq_poa_lat_dec_1x <= (others => '0'); seq_poa_lat_inc_1x <= (others => '0'); elsif rising_edge(clk) then if SIM_TIME_REDUCTIONS = 1 then -- static setup if ctl_recalibrate_req = '1' then -- second reset condition -- setup offset calc static_poa_offset <= abs(c_static_poa_offset); dly_poa_inc_dec <= set_inc_dec(c_static_poa_offset); poa_set_delay <= c_dly_poa_set; else if static_poa_offset /= 0 and poa_set_delay = 0 then seq_poa_lat_dec_1x <= (others => not(dly_poa_inc_dec)); seq_poa_lat_inc_1x <= (others => dly_poa_inc_dec); static_poa_offset <= static_poa_offset - 1; poa_set_delay <= c_dly_poa_set; else seq_poa_lat_inc_1x <= seq_poa_lat_inc_1x_int; seq_poa_lat_dec_1x <= seq_poa_lat_dec_1x_int; end if; if poa_set_delay /= 0 then poa_set_delay <= poa_set_delay - 1; end if; end if; else -- no static setup seq_poa_lat_inc_1x <= seq_poa_lat_inc_1x_int; seq_poa_lat_dec_1x <= seq_poa_lat_dec_1x_int; end if; end if; end process; -- count POA protection adjustments for debug process(clk, rst_n) begin if rst_n = '0' then poa_adjustments <= 0; elsif rising_edge(clk) then if seq_poa_lat_dec_1x_int(0) = '1' then poa_adjustments <= poa_adjustments + 1; end if; if seq_poa_lat_inc_1x_int(0) = '1' then if poa_adjustments = 0 then report seq_report_prefix & " postamble adjustment wrap around detected - more increments than decrements" severity failure; else poa_adjustments <= poa_adjustments - 1; end if; end if; end if; end process; end block; -- register output fail/success signals - avoiding optimisation out process(clk, rst_n) begin if rst_n = '0' then ctl_init_fail <= '0'; ctl_init_success <= '0'; elsif rising_edge(clk) then ctl_init_fail <= ctl_init_fail_int; ctl_init_success <= ctl_init_success_int; end if; end process; -- ctl_cal_byte_lanes register -- seq_rdp_reset_req_n - when ctl_recalibrate_req issued process(clk,rst_n) begin if rst_n = '0' then seq_rdp_reset_req_n <= '0'; ctl_cal_byte_lanes_r <= (others => '1'); elsif rising_edge(clk) then ctl_cal_byte_lanes_r <= not ctl_cal_byte_lanes; if ctl_recalibrate_req = '1' then seq_rdp_reset_req_n <= '0'; else if ctrl_broadcast.command = cmd_rrp_sweep or SIM_TIME_REDUCTIONS = 1 then seq_rdp_reset_req_n <= '1'; end if; end if; end if; end process; -- register 1t addr/cmd and odt latency outputs process(clk, rst_n) begin if rst_n = '0' then seq_ac_add_1t_ac_lat_internal <= '0'; seq_ac_add_1t_odt_lat_internal <= '0'; seq_ac_add_2t <= '0'; elsif rising_edge(clk) then if SIM_TIME_REDUCTIONS = 1 then seq_ac_add_1t_ac_lat_internal <= c_preset_cal_setup.ac_1t; seq_ac_add_1t_odt_lat_internal <= c_preset_cal_setup.ac_1t; else seq_ac_add_1t_ac_lat_internal <= int_ac_nt(0); seq_ac_add_1t_odt_lat_internal <= int_ac_nt(0); end if; seq_ac_add_2t <= '0'; end if; end process; -- override write datapath signal generation process(dgwb_wdp_override, dgrb_wdp_override, ctl_init_success_int, ctl_init_fail_int) begin if ctl_init_success_int = '0' and ctl_init_fail_int = '0' then -- if calibrating seq_wdp_ovride <= dgwb_wdp_override or dgrb_wdp_override; else seq_wdp_ovride <= '0'; end if; end process; -- output write/read latency (override with preset values when sim time reductions equals 1 seq_ctl_wlat <= std_logic_vector(to_unsigned(c_preset_cal_setup.wlat,ADV_LAT_WIDTH)) when SIM_TIME_REDUCTIONS = 1 else seq_ctl_wlat_int; seq_ctl_rlat <= std_logic_vector(to_unsigned(c_preset_cal_setup.rlat,ADV_LAT_WIDTH)) when SIM_TIME_REDUCTIONS = 1 else seq_ctl_rlat_int; process (clk, rst_n) begin if rst_n = '0' then seq_pll_phs_shift_busy_r <= '0'; seq_pll_phs_shift_busy_ccd <= '0'; elsif rising_edge(clk) then seq_pll_phs_shift_busy_r <= seq_pll_phs_shift_busy; seq_pll_phs_shift_busy_ccd <= seq_pll_phs_shift_busy_r; end if; end process; pll_ctrl: block -- static resync setup variables for sim time reductions signal static_rst_offset : natural range 0 to 2*PLL_STEPS_PER_CYCLE; signal phs_shft_busy_1r : std_logic; signal pll_set_delay : natural range 100 downto 0; -- wait 100 clock cycles for clk to be stable before setting resync phase -- pll signal generation signal mmi_pll_active : boolean; signal seq_pll_phs_shift_busy_ccd_1t : std_logic; begin -- multiplex ppl interface between dgrb and mmi blocks -- plus static setup of rsc phase to a known 'good' condition process(clk,rst_n) begin if rst_n = '0' then seq_pll_inc_dec_n <= '0'; seq_pll_start_reconfig <= '0'; seq_pll_select <= (others => '0'); dgrb_phs_shft_busy <= '0'; -- static resync setup variables for sim time reductions if SIM_TIME_REDUCTIONS = 1 then static_rst_offset <= c_preset_codvw_phase; else static_rst_offset <= 0; end if; phs_shft_busy_1r <= '0'; pll_set_delay <= 100; elsif rising_edge(clk) then dgrb_phs_shft_busy <= '0'; if static_rst_offset /= 0 and -- not finished decrementing pll_set_delay = 0 and -- initial reset period over SIM_TIME_REDUCTIONS = 1 then -- in reduce sim time mode (optimse logic away when not in this mode) seq_pll_inc_dec_n <= '1'; seq_pll_start_reconfig <= '1'; seq_pll_select <= pll_resync_clk_index; if seq_pll_phs_shift_busy_ccd = '1' then -- no metastability hardening needed in simulation -- PLL phase shift started - so stop requesting a shift seq_pll_start_reconfig <= '0'; end if; if seq_pll_phs_shift_busy_ccd = '0' and phs_shft_busy_1r = '1' then -- PLL phase shift finished - so proceed to flush the datapath static_rst_offset <= static_rst_offset - 1; seq_pll_start_reconfig <= '0'; end if; phs_shft_busy_1r <= seq_pll_phs_shift_busy_ccd; else if ctrl_iram_push.active_block = ret_dgrb then seq_pll_inc_dec_n <= dgrb_pll_inc_dec_n; seq_pll_start_reconfig <= dgrb_pll_start_reconfig; seq_pll_select <= dgrb_pll_select; dgrb_phs_shft_busy <= seq_pll_phs_shift_busy_ccd; else seq_pll_inc_dec_n <= mmi_pll_inc_dec_n; seq_pll_start_reconfig <= mmi_pll_start_reconfig; seq_pll_select <= mmi_pll_select; end if; end if; if pll_set_delay /= 0 then pll_set_delay <= pll_set_delay - 1; end if; if ctl_recalibrate_req = '1' then pll_set_delay <= 100; end if; end if; end process; -- generate mmi pll signals process (clk, rst_n) begin if rst_n = '0' then pll_mmi.pll_busy <= '0'; pll_mmi.err <= (others => '0'); mmi_pll_inc_dec_n <= '0'; mmi_pll_start_reconfig <= '0'; mmi_pll_select <= (others => '0'); mmi_pll_active <= false; seq_pll_phs_shift_busy_ccd_1t <= '0'; elsif rising_edge(clk) then if mmi_pll_active = true then pll_mmi.pll_busy <= '1'; else pll_mmi.pll_busy <= mmi_pll.pll_phs_shft_up_wc or mmi_pll.pll_phs_shft_dn_wc; end if; if pll_mmi.err = "00" and dgrb_pll_start_reconfig = '1' then pll_mmi.err <= "01"; elsif pll_mmi.err = "00" and mmi_pll_active = true then pll_mmi.err <= "10"; elsif pll_mmi.err = "00" and dgrb_pll_start_reconfig = '1' and mmi_pll_active = true then pll_mmi.err <= "11"; end if; if mmi_pll.pll_phs_shft_up_wc = '1' and mmi_pll_active = false then mmi_pll_inc_dec_n <= '1'; mmi_pll_select <= std_logic_vector(to_unsigned(mmi_pll.pll_phs_shft_phase_sel,mmi_pll_select'length)); mmi_pll_active <= true; elsif mmi_pll.pll_phs_shft_dn_wc = '1' and mmi_pll_active = false then mmi_pll_inc_dec_n <= '0'; mmi_pll_select <= std_logic_vector(to_unsigned(mmi_pll.pll_phs_shft_phase_sel,mmi_pll_select'length)); mmi_pll_active <= true; elsif seq_pll_phs_shift_busy_ccd_1t = '1' and seq_pll_phs_shift_busy_ccd = '0' then mmi_pll_start_reconfig <= '0'; mmi_pll_active <= false; elsif mmi_pll_active = true and mmi_pll_start_reconfig = '0' and seq_pll_phs_shift_busy_ccd = '0' then mmi_pll_start_reconfig <= '1'; elsif seq_pll_phs_shift_busy_ccd_1t = '0' and seq_pll_phs_shift_busy_ccd = '1' then mmi_pll_start_reconfig <= '0'; end if; seq_pll_phs_shift_busy_ccd_1t <= seq_pll_phs_shift_busy_ccd; end if; end process; end block; -- pll_ctrl --synopsys synthesis_off reporting : block function pass_or_fail_report( cal_success : in std_logic; cal_fail : in std_logic ) return string is begin if cal_success = '1' and cal_fail = '1' then return "unknown state cal_fail and cal_success both high"; end if; if cal_success = '1' then return "PASSED"; end if; if cal_fail = '1' then return "FAILED"; end if; return "calibration report run whilst sequencer is still calibrating"; end function; function is_stage_disabled ( stage_name : in string; stage_dis : in std_logic ) return string is begin if stage_dis = '0' then return ""; else return stage_name & " stage is disabled" & LF; end if; end function; function disabled_stages ( capabilities : in std_logic_vector ) return string is begin return is_stage_disabled("all calibration", c_capabilities(c_hl_css_reg_cal_dis_bit)) & is_stage_disabled("initialisation", c_capabilities(c_hl_css_reg_phy_initialise_dis_bit)) & is_stage_disabled("DRAM initialisation", c_capabilities(c_hl_css_reg_init_dram_dis_bit)) & is_stage_disabled("iram header write", c_capabilities(c_hl_css_reg_write_ihi_dis_bit)) & is_stage_disabled("burst training pattern write", c_capabilities(c_hl_css_reg_write_btp_dis_bit)) & is_stage_disabled("more training pattern (MTP) write", c_capabilities(c_hl_css_reg_write_mtp_dis_bit)) & is_stage_disabled("check MTP pattern alignment calculation", c_capabilities(c_hl_css_reg_read_mtp_dis_bit)) & is_stage_disabled("read resynch phase reset stage", c_capabilities(c_hl_css_reg_rrp_reset_dis_bit)) & is_stage_disabled("read resynch phase sweep stage", c_capabilities(c_hl_css_reg_rrp_sweep_dis_bit)) & is_stage_disabled("read resynch phase seek stage (set phase)", c_capabilities(c_hl_css_reg_rrp_seek_dis_bit)) & is_stage_disabled("read data valid window setup", c_capabilities(c_hl_css_reg_rdv_dis_bit)) & is_stage_disabled("postamble calibration", c_capabilities(c_hl_css_reg_poa_dis_bit)) & is_stage_disabled("write latency timing calc", c_capabilities(c_hl_css_reg_was_dis_bit)) & is_stage_disabled("advertise read latency", c_capabilities(c_hl_css_reg_adv_rd_lat_dis_bit)) & is_stage_disabled("advertise write latency", c_capabilities(c_hl_css_reg_adv_wr_lat_dis_bit)) & is_stage_disabled("write customer mode register settings", c_capabilities(c_hl_css_reg_prep_customer_mr_setup_dis_bit)) & is_stage_disabled("tracking", c_capabilities(c_hl_css_reg_tracking_dis_bit)); end function; function ac_nt_report( ac_nt : in std_logic_vector; dgrb_ctrl_ac_nt_good : in std_logic; preset_cal_setup : in t_preset_cal) return string is variable v_ac_nt : std_logic_vector(0 downto 0); begin if SIM_TIME_REDUCTIONS = 1 then v_ac_nt(0) := preset_cal_setup.ac_1t; if v_ac_nt(0) = '1' then return "-- statically set address and command 1T delay: add 1T delay" & LF; else return "-- statically set address and command 1T delay: no 1T delay" & LF; end if; else v_ac_nt(0) := ac_nt(0); if dgrb_ctrl_ac_nt_good = '1' then if v_ac_nt(0) = '1' then return "-- chosen address and command 1T delay: add 1T delay" & LF; else return "-- chosen address and command 1T delay: no 1T delay" & LF; end if; else return "-- no valid address and command phase chosen (calibration FAILED)" & LF; end if; end if; end function; function read_resync_report ( codvw_phase : in std_logic_vector; codvw_size : in std_logic_vector; ctl_rlat : in std_logic_vector; ctl_wlat : in std_logic_vector; preset_cal_setup : in t_preset_cal) return string is begin if SIM_TIME_REDUCTIONS = 1 then return "-- read resynch phase static setup (no calibration run) report:" & LF & " -- statically set centre of data valid window phase : " & natural'image(preset_cal_setup.codvw_phase) & LF & " -- statically set centre of data valid window size : " & natural'image(preset_cal_setup.codvw_size) & LF & " -- statically set read latency (ctl_rlat) : " & natural'image(preset_cal_setup.rlat) & LF & " -- statically set write latency (ctl_wlat) : " & natural'image(preset_cal_setup.wlat) & LF & " -- note: this mode only works for simulation and sets resync phase" & LF & " to a known good operating condition for no test bench" & LF & " delays on mem_dq signal" & LF; else return "-- PHY read latency (ctl_rlat) is : " & natural'image(to_integer(unsigned(ctl_rlat))) & LF & "-- address/command to PHY write latency (ctl_wlat) is : " & natural'image(to_integer(unsigned(ctl_wlat))) & LF & "-- read resynch phase calibration report:" & LF & " -- calibrated centre of data valid window phase : " & natural'image(to_integer(unsigned(codvw_phase))) & LF & " -- calibrated centre of data valid window size : " & natural'image(to_integer(unsigned(codvw_size))) & LF; end if; end function; function poa_rdv_adjust_report( poa_adjust : in natural; rdv_adjust : in natural; preset_cal_setup : in t_preset_cal) return string is begin if SIM_TIME_REDUCTIONS = 1 then return "Statically set poa and rdv (adjustments from reset value):" & LF & "poa 'dec' adjustments = " & natural'image(preset_cal_setup.poa_lat) & LF & "rdv 'dec' adjustments = " & natural'image(preset_cal_setup.rdv_lat) & LF; else return "poa 'dec' adjustments = " & natural'image(poa_adjust) & LF & "rdv 'dec' adjustments = " & natural'image(rdv_adjust) & LF; end if; end function; function calibration_report ( capabilities : in std_logic_vector; cal_success : in std_logic; cal_fail : in std_logic; ctl_rlat : in std_logic_vector; ctl_wlat : in std_logic_vector; codvw_phase : in std_logic_vector; codvw_size : in std_logic_vector; ac_nt : in std_logic_vector; dgrb_ctrl_ac_nt_good : in std_logic; preset_cal_setup : in t_preset_cal; poa_adjust : in natural; rdv_adjust : in natural) return string is begin return seq_report_prefix & " report..." & LF & "-----------------------------------------------------------------------" & LF & "-- **** ALTMEMPHY CALIBRATION has completed ****" & LF & "-- Status:" & LF & "-- calibration has : " & pass_or_fail_report(cal_success, cal_fail) & LF & read_resync_report(codvw_phase, codvw_size, ctl_rlat, ctl_wlat, preset_cal_setup) & ac_nt_report(ac_nt, dgrb_ctrl_ac_nt_good, preset_cal_setup) & poa_rdv_adjust_report(poa_adjust, rdv_adjust, preset_cal_setup) & disabled_stages(capabilities) & "-----------------------------------------------------------------------"; end function; begin -- ------------------------------------------------------- -- calibration result reporting -- ------------------------------------------------------- process(rst_n, clk) variable v_reports_written : std_logic; variable v_cal_request_r : std_logic; variable v_rewrite_report : std_logic; begin if rst_n = '0' then v_reports_written := '0'; v_cal_request_r := '0'; v_rewrite_report := '0'; elsif Rising_Edge(clk) then if v_reports_written = '0' then if ctl_init_success_int = '1' or ctl_init_fail_int = '1' then v_reports_written := '1'; report calibration_report(c_capabilities, ctl_init_success_int, ctl_init_fail_int, seq_ctl_rlat_int, seq_ctl_wlat_int, dgrb_mmi.cal_codvw_phase, dgrb_mmi.cal_codvw_size, int_ac_nt, dgrb_ctrl_ac_nt_good, c_preset_cal_setup, poa_adjustments, rdv_adjustments ) severity note; end if; end if; -- if recalibrate request triggered watch for cal success / fail going low and re-trigger report writing if ctl_recalibrate_req = '1' and v_cal_request_r = '0' then v_rewrite_report := '1'; end if; if v_rewrite_report = '1' and ctl_init_success_int = '0' and ctl_init_fail_int = '0' then v_reports_written := '0'; v_rewrite_report := '0'; end if; v_cal_request_r := ctl_recalibrate_req; end if; end process; -- ------------------------------------------------------- -- capabilities vector reporting and coarse PHY setup sanity checks -- ------------------------------------------------------- process(rst_n, clk) variable reports_written : std_logic; begin if rst_n = '0' then reports_written := '0'; elsif Rising_Edge(clk) then if reports_written = '0' then reports_written := '1'; if MEM_IF_MEMTYPE="DDR" or MEM_IF_MEMTYPE="DDR2" or MEM_IF_MEMTYPE="DDR3" then if DWIDTH_RATIO = 2 or DWIDTH_RATIO = 4 then report disabled_stages(c_capabilities) severity note; else report seq_report_prefix & "unsupported rate for non-levelling AFI PHY sequencer - only full- or half-rate supported" severity warning; end if; else report seq_report_prefix & "memory type " & MEM_IF_MEMTYPE & " is not supported in non-levelling AFI PHY sequencer" severity failure; end if; end if; end if; end process; end block; -- reporting --synopsys synthesis_on end architecture struct;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Fri May 16 15:25:24 2014 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_NUM_REG -- Number of software accessible registers -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Resetn -- Bus to IP reset -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_NUM_REG : integer := 32; C_SLV_DWIDTH : integer := 32 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ --USER ports added here faultify_clk_fast : in std_logic; -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Resetn : in std_logic; Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0); Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0); Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0); Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0); IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Resetn : signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic component faultify_top generic ( numInj : integer; numIn : integer; numOut : integer); port ( aclk : in std_logic; arst_n : in std_logic; clk : in std_logic; clk_x32 : in std_logic; awvalid : in std_logic; awaddr : in std_logic_vector(31 downto 0); wvalid : in std_logic; wdata : in std_logic_vector(31 downto 0); arvalid : in std_logic; araddr : in std_logic_vector(31 downto 0); rvalid : out std_logic; rdata : out std_logic_vector(31 downto 0)); end component; ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal register_write_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal register_read_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal register_write_address : std_logic_vector(C_NUM_REG-1 downto 0); signal register_read_address : std_logic_vector(C_NUM_REG-1 downto 0); signal slv_reg_write_sel : std_logic_vector(31 downto 0); signal slv_reg_read_sel : std_logic_vector(31 downto 0); signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; signal faultify_read_valid : std_logic; signal faultify_read_address_valid : std_logic; signal faultify_read_address : std_logic_vector(31 downto 0); signal faultify_write_valid : std_logic; signal counter, divide : integer := 0; signal faultify_clk_slow_i : std_logic; begin slv_reg_write_sel <= Bus2IP_WrCE(31 downto 0); slv_reg_read_sel <= Bus2IP_RdCE(31 downto 0); slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7) or Bus2IP_WrCE(8) or Bus2IP_WrCE(9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15) or Bus2IP_WrCE(16) or Bus2IP_WrCE(17) or Bus2IP_WrCE(18) or Bus2IP_WrCE(19) or Bus2IP_WrCE(20) or Bus2IP_WrCE(21) or Bus2IP_WrCE(22) or Bus2IP_WrCE(23) or Bus2IP_WrCE(24) or Bus2IP_WrCE(25) or Bus2IP_WrCE(26) or Bus2IP_WrCE(27) or Bus2IP_WrCE(28) or Bus2IP_WrCE(29) or Bus2IP_WrCE(30) or Bus2IP_WrCE(31); slv_read_ack <= faultify_read_valid; -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process(Bus2IP_Clk) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Resetn = '0' then register_write_data <= (others => '0'); register_write_address <= (others => '0'); faultify_write_valid <= '0'; else faultify_write_valid <= slv_write_ack; case slv_reg_write_sel is when "10000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(0, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "01000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(1, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00100000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(2, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00010000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(3, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00001000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(4, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000100000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(5, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000010000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(6, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000001000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(7, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000100000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(8, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000010000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(9, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000001000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(10, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000100000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(11, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000010000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(12, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000001000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(13, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000100000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(14, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000010000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(15, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000001000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(16, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000100000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(17, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000010000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(18, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000001000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(19, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000100000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(20, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000010000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(21, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000001000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(22, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000100000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(23, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000010000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(24, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000001000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(25, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000000100000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(26, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000000010000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(27, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000000001000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(28, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000000000100" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(29, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000000000010" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(30, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000000000001" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if (Bus2IP_BE(byte_index) = '1') then register_write_address <= std_logic_vector(to_unsigned(31, 32)); register_write_data(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when others => null; end case; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process(slv_reg_read_sel, faultify_read_valid) is begin faultify_read_address_valid <= '1'; case slv_reg_read_sel is when "10000000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(0, 32)); when "01000000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(1, 32)); when "00100000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(2, 32)); when "00010000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(3, 32)); when "00001000000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(4, 32)); when "00000100000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(5, 32)); when "00000010000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(6, 32)); when "00000001000000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(7, 32)); when "00000000100000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(8, 32)); when "00000000010000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(9, 32)); when "00000000001000000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(10, 32)); when "00000000000100000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(11, 32)); when "00000000000010000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(12, 32)); when "00000000000001000000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(13, 32)); when "00000000000000100000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(14, 32)); when "00000000000000010000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(15, 32)); when "00000000000000001000000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(16, 32)); when "00000000000000000100000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(17, 32)); when "00000000000000000010000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(18, 32)); when "00000000000000000001000000000000" => faultify_read_address <= std_logic_vector(to_unsigned(19, 32)); when "00000000000000000000100000000000" => faultify_read_address <= std_logic_vector(to_unsigned(20, 32)); when "00000000000000000000010000000000" => faultify_read_address <= std_logic_vector(to_unsigned(21, 32)); when "00000000000000000000001000000000" => faultify_read_address <= std_logic_vector(to_unsigned(22, 32)); when "00000000000000000000000100000000" => faultify_read_address <= std_logic_vector(to_unsigned(23, 32)); when "00000000000000000000000010000000" => faultify_read_address <= std_logic_vector(to_unsigned(24, 32)); when "00000000000000000000000001000000" => faultify_read_address <= std_logic_vector(to_unsigned(25, 32)); when "00000000000000000000000000100000" => faultify_read_address <= std_logic_vector(to_unsigned(26, 32)); when "00000000000000000000000000010000" => faultify_read_address <= std_logic_vector(to_unsigned(27, 32)); when "00000000000000000000000000001000" => faultify_read_address <= std_logic_vector(to_unsigned(28, 32)); when "00000000000000000000000000000100" => faultify_read_address <= std_logic_vector(to_unsigned(29, 32)); when "00000000000000000000000000000010" => faultify_read_address <= std_logic_vector(to_unsigned(30, 32)); when "00000000000000000000000000000001" => faultify_read_address <= std_logic_vector(to_unsigned(31, 32)); when others => faultify_read_address <= (others => '0'); faultify_read_address_valid <= '0'; end case; end process SLAVE_REG_READ_PROC; ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= register_read_data when faultify_read_valid = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack; IP2Bus_RdAck <= slv_read_ack; IP2Bus_Error <= '0'; ----------------------------------------------------------------------------- -- clock divider 32 -> 1 ----------------------------------------------------------------------------- divide <= 32; process(Bus2IP_Clk, Bus2IP_Resetn) begin if Bus2IP_Resetn = '0' then counter <= 0; faultify_clk_slow_i <= '0'; elsif(rising_edge(Bus2IP_Clk)) then if(counter < divide/2-1) then counter <= counter + 1; faultify_clk_slow_i <= '0'; elsif(counter < divide-1) then counter <= counter + 1; faultify_clk_slow_i <= '1'; else faultify_clk_slow_i <= '0'; counter <= 0; end if; end if; end process; faultify_top_1 : faultify_top generic map ( numInj => 142, numIn => 70, numOut => 41) port map ( aclk => Bus2IP_Clk, arst_n => Bus2IP_Resetn, clk => faultify_clk_slow_i, clk_x32 => Bus2IP_Clk, awvalid => faultify_write_valid, awaddr => register_write_address, wvalid => faultify_write_valid, wdata => register_write_data, arvalid => faultify_read_address_valid, araddr => faultify_read_address, rvalid => faultify_read_valid, rdata => register_read_data); end IMP;
-- NEED RESULT: ARCH00435: Bit string literals passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00435 -- -- AUTHOR: -- -- D. Hyman -- -- TEST OBJECTIVES: -- -- 13.7 (1) -- 13.7 (2) -- 13.7 (3) -- -- DESIGN UNIT ORDERING: -- -- E00000(ARCH00435) -- ENT00435_Test_Bench(ARCH00435_Test_Bench) -- -- REVISION HISTORY: -- -- 4-AUG-1987 - initial revision -- -- NOTES: -- -- self-checking -- -- use WORK.STANDARD_TYPES.all ; architecture ARCH00435 of E00000 is begin process begin test_report ( "ARCH00435" , "Bit string literals" , (B"010" = b"010") and (O"76543210" = o"76543210") and (X"0123456789ABCDEF" = x"0123456789abcdef") and (O"0" = B"0_0_0") and (O"1" = B"0_01") and (O"2" = B"01_0") and (O"3" = B"011") and (O"4" = B"100") and (O"5" = B"1_0_1") and (O"6" = B"1_10") and (O"7" = B"11_1") and (O"0123_456_7" = B"000_001_010_011_100_101_110_111") and (X"A" = B"1010") and (X"B" = B"1_011") and (X"C" = B"11_00") and (X"D" = B"110_1") and (X"E" = B"1_1_10") and (X"F" = B"11_1_1") and (X"a" = B"1_01_0") and (X"b" = B"1_0_1_1") and (X"c" = B"1100") and (X"d" = B"1101") and (X"e" = B"1110") and (X"f" = B"1111") and (X"abc_def" = X"AB_CD_EF") ) ; wait ; end process ; end ARCH00435 ; entity ENT00435_Test_Bench is end ENT00435_Test_Bench ; architecture ARCH00435_Test_Bench of ENT00435_Test_Bench is begin L1: block component UUT end component ; for CIS1 : UUT use entity WORK.E00000 ( ARCH00435 ) ; begin CIS1 : UUT ; end block L1 ; end ARCH00435_Test_Bench ;