content
stringlengths 1
1.04M
⌀ |
---|
-- megafunction wizard: %LPM_CONSTANT%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: LPM_CONSTANT
-- ============================================================
-- File Name: lpm_constant0.vhd
-- Megafunction Name(s):
-- LPM_CONSTANT
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 11.1 Build 259 01/25/2012 SP 2 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2011 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_constant0 IS
PORT
(
result : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
);
END lpm_constant0;
ARCHITECTURE SYN OF lpm_constant0 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT lpm_constant
GENERIC (
lpm_cvalue : NATURAL;
lpm_hint : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
result : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
);
END COMPONENT;
BEGIN
result <= sub_wire0(0 DOWNTO 0);
LPM_CONSTANT_component : LPM_CONSTANT
GENERIC MAP (
lpm_cvalue => 0,
lpm_hint => "ENABLE_RUNTIME_MOD=YES, INSTANCE_NAME=I3",
lpm_type => "LPM_CONSTANT",
lpm_width => 1
)
PORT MAP (
result => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "1"
-- Retrieval info: PRIVATE: JTAG_ID STRING "I3"
-- Retrieval info: PRIVATE: Radix NUMERIC "2"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: Value NUMERIC "0"
-- Retrieval info: PRIVATE: nBit NUMERIC "1"
-- Retrieval info: PRIVATE: new_diagram STRING "1"
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: CONSTANT: LPM_CVALUE NUMERIC "0"
-- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=YES, INSTANCE_NAME=I3"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_CONSTANT"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "1"
-- Retrieval info: USED_PORT: result 0 0 1 0 OUTPUT NODEFVAL "result[0..0]"
-- Retrieval info: CONNECT: result 0 0 1 0 @result 0 0 1 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant0.bsf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_constant0_inst.vhd FALSE
-- Retrieval info: LIB_FILE: lpm
|
-- Courtesy of Brian Padalino
--
library ieee ;
use ieee.std_logic_1164.all ;
entity memory is
generic (
DEPTH : positive
) ;
port (
clock : in std_logic ;
write_addr : in natural range 0 to DEPTH-1 ;
write_data : in std_logic_vector ;
write_valid : in std_logic ;
read_addr : in natural range 0 to DEPTH-1 ;
read_data : out std_logic_vector ;
read_valid : in std_logic
) ;
end entity ;
architecture arch of memory is
type mem_t is array(natural range 0 to DEPTH-1) of std_logic_vector(write_data'range) ;
signal mem : mem_t := (others =>(others =>'0')) ;
begin
process(all)
begin
if( rising_edge(clock) ) then
if( write_valid = '1' ) then
mem(write_addr) <= write_data ;
end if ;
if( read_valid = '1' ) then
read_data <= mem(read_addr) ;
end if ;
end if ;
end process ;
end architecture ;
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.math_real.all ;
entity traffic_gen is
generic (
WIDTH : positive ;
DEPTH : positive ;
SEED : positive
) ;
port (
clock : in std_logic ;
write_addr : out natural range 0 to DEPTH-1 ;
write_data : out std_logic_vector(WIDTH-1 downto 0) ;
write_valid : out std_logic ;
read_addr : out natural range 0 to DEPTH-1 ;
read_valid : out std_logic
) ;
end entity ;
architecture arch of traffic_gen is
type rng_t is record
s1 : positive ;
s2 : positive ;
end record ;
procedure rand_std_logic(variable rng : inout rng_t ; signal y : out std_logic) is
variable r : real ;
begin
uniform(rng.s1, rng.s2, r) ;
y <= '1' when r > 0.5 else '0' ;
end procedure ;
procedure rand_slv(variable rng : inout rng_t ; signal y : out std_logic_vector) is
variable r : real ;
begin
for i in y'range loop
uniform(rng.s1, rng.s2, r) ;
y(i) <= '1' when r > 0.5 else '0' ;
end loop ;
end procedure ;
procedure rand_natural(variable rng : inout rng_t ; max : in positive ; signal y : out natural) is
variable r : real ;
begin
uniform(rng.s1, rng.s2, r) ;
y <= natural(real(max) * r) ;
end procedure ;
procedure randomize_write(variable rng : inout rng_t ; signal addr : out natural range 0 to DEPTH-1 ; signal data : out std_logic_vector(WIDTH-1 downto 0) ; signal valid : out std_logic ) is
begin
rand_natural(rng, DEPTH-1, addr) ;
rand_slv(rng, data) ;
rand_std_logic(rng, valid) ;
end procedure ;
procedure randomize_read(variable rng : inout rng_t ; signal addr : out natural range 0 to DEPTH-1 ; signal valid : out std_logic ) is
begin
rand_natural(rng, DEPTH-1, addr) ;
rand_std_logic(rng, valid) ;
end procedure ;
function create_rng(s1, s2 : in positive) return rng_t is
variable rv : rng_t ;
begin
rv.s1 := s1 ;
rv.s2 := s2 ;
return rv ;
end function ;
begin
process(all)
variable write_rng : rng_t := create_rng(SEED+WIDTH+DEPTH, SEED*WIDTH*DEPTH) ;
variable read_rng : rng_t := create_rng(SEED+WIDTH*DEPTH, SEED*WIDTH+DEPTH) ;
begin
if( rising_edge(clock) ) then
randomize_write(write_rng, write_addr, write_data, write_valid) ;
randomize_read(read_rng, read_addr, read_valid) ;
end if ;
end process ;
end architecture ;
library ieee ;
use ieee.std_logic_1164.all ;
entity grind is
generic (
MEMORY_WIDTH : positive := 256 ;
MEMORY_DEPTH : positive := 32768 ;
NUM_INSTANCES : positive := 128
) ;
end entity ;
architecture arch of grind is
subtype data_t is std_logic_vector(MEMORY_WIDTH-1 downto 0) ;
subtype addr_t is natural range 0 to MEMORY_DEPTH-1 ;
type datas_t is array(natural range 0 to NUM_INSTANCES-1) of data_t ;
type addrs_t is array(natural range 0 to NUM_INSTANCES-1) of addr_t ;
signal clock : std_logic := '0' ;
signal write_addrs : addrs_t ;
signal write_datas : datas_t ;
signal write_valids : std_logic_vector(0 to NUM_INSTANCES-1) ;
signal read_addrs : addrs_t ;
signal read_datas : datas_t ;
signal read_valids : std_logic_vector(0 to NUM_INSTANCES-1) ;
begin
clock <= not clock after 1 ns ;
create_memories : for i in write_addrs'range generate
U_traffic_gen : entity work.traffic_gen
generic map (
WIDTH => data_t'length,
DEPTH => MEMORY_DEPTH,
SEED => i+1
) port map (
clock => clock,
write_addr => write_addrs(i),
write_data => write_datas(i),
write_valid => write_valids(i),
read_addr => read_addrs(i),
read_valid => read_valids(i)
) ;
U_mem : entity work.memory
generic map (
DEPTH => MEMORY_DEPTH
) port map (
clock => clock,
write_addr => write_addrs(i),
write_data => write_datas(i),
write_valid => write_valids(i),
read_addr => read_addrs(i),
read_data => read_datas(i),
read_valid => read_valids(i)
) ;
end generate ;
tb : process
variable t : time := 35 us ;
begin
report "Starting testbench for " & time'image(t) ;
wait for t ;
report "Finished" ;
std.env.stop ;
end process ;
end architecture ;
|
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity keyb_jed is
port(
clock: in std_logic;
input: in std_logic_vector(6 downto 0);
output: out std_logic_vector(1 downto 0)
);
end keyb_jed;
architecture behaviour of keyb_jed is
constant st0: std_logic_vector(4 downto 0) := "10100";
constant st1: std_logic_vector(4 downto 0) := "10111";
constant st2: std_logic_vector(4 downto 0) := "10110";
constant st3: std_logic_vector(4 downto 0) := "10010";
constant st4: std_logic_vector(4 downto 0) := "11000";
constant st5: std_logic_vector(4 downto 0) := "10000";
constant st6: std_logic_vector(4 downto 0) := "00000";
constant st7: std_logic_vector(4 downto 0) := "11110";
constant st8: std_logic_vector(4 downto 0) := "11100";
constant st9: std_logic_vector(4 downto 0) := "11001";
constant st10: std_logic_vector(4 downto 0) := "11101";
constant st11: std_logic_vector(4 downto 0) := "10101";
constant st12: std_logic_vector(4 downto 0) := "10001";
constant st13: std_logic_vector(4 downto 0) := "00101";
constant st14: std_logic_vector(4 downto 0) := "00100";
constant st15: std_logic_vector(4 downto 0) := "01000";
constant st16: std_logic_vector(4 downto 0) := "01100";
constant st17: std_logic_vector(4 downto 0) := "11010";
constant st18: std_logic_vector(4 downto 0) := "00110";
signal current_state, next_state: std_logic_vector(4 downto 0);
begin
process(clock) begin
if rising_edge(clock) then current_state <= next_state;
end if;
end process;
process(input, current_state) begin
next_state <= "-----"; output <= "--";
case current_state is
when st0 =>
if std_match(input, "---0000") then next_state <= st1; output <= "1-";
elsif std_match(input, "---0100") then next_state <= st2; output <= "1-";
elsif std_match(input, "---0010") then next_state <= st2; output <= "1-";
elsif std_match(input, "---0001") then next_state <= st2; output <= "1-";
elsif std_match(input, "---1100") then next_state <= st3; output <= "1-";
elsif std_match(input, "---1000") then next_state <= st3; output <= "1-";
elsif std_match(input, "---011-") then next_state <= st0; output <= "-0";
elsif std_match(input, "---01-1") then next_state <= st0; output <= "-0";
elsif std_match(input, "---101-") then next_state <= st0; output <= "-0";
elsif std_match(input, "---10-1") then next_state <= st0; output <= "-0";
elsif std_match(input, "---111-") then next_state <= st0; output <= "-0";
elsif std_match(input, "---11-1") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----11") then next_state <= st0; output <= "-0";
end if;
when st1 =>
if std_match(input, "0000000") then next_state <= st4; output <= "1-";
elsif std_match(input, "1000000") then next_state <= st5; output <= "0-";
elsif std_match(input, "0100000") then next_state <= st5; output <= "0-";
elsif std_match(input, "0010000") then next_state <= st5; output <= "0-";
elsif std_match(input, "0001000") then next_state <= st5; output <= "0-";
elsif std_match(input, "0000100") then next_state <= st5; output <= "0-";
elsif std_match(input, "0000010") then next_state <= st5; output <= "0-";
elsif std_match(input, "0000001") then next_state <= st5; output <= "0-";
elsif std_match(input, "11-----") then next_state <= st0; output <= "-0";
elsif std_match(input, "1-1----") then next_state <= st0; output <= "-0";
elsif std_match(input, "1--1---") then next_state <= st0; output <= "-0";
elsif std_match(input, "1---1--") then next_state <= st0; output <= "-0";
elsif std_match(input, "1----1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "1-----1") then next_state <= st0; output <= "-0";
elsif std_match(input, "-11----") then next_state <= st0; output <= "-0";
elsif std_match(input, "-1-1---") then next_state <= st0; output <= "-0";
elsif std_match(input, "-1--1--") then next_state <= st0; output <= "-0";
elsif std_match(input, "-1---1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "-1----1") then next_state <= st0; output <= "-0";
elsif std_match(input, "--11---") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1-1--") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1--1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1---1") then next_state <= st0; output <= "-0";
elsif std_match(input, "---11--") then next_state <= st0; output <= "-0";
elsif std_match(input, "---1-1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "---1--1") then next_state <= st0; output <= "-0";
elsif std_match(input, "----11-") then next_state <= st0; output <= "-0";
elsif std_match(input, "----1-1") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----11") then next_state <= st0; output <= "-0";
end if;
when st2 =>
if std_match(input, "0000000") then next_state <= st5; output <= "--";
elsif std_match(input, "1------") then next_state <= st0; output <= "-0";
elsif std_match(input, "-1-----") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1----") then next_state <= st0; output <= "-0";
elsif std_match(input, "---1---") then next_state <= st0; output <= "-0";
elsif std_match(input, "----1--") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "------1") then next_state <= st0; output <= "-0";
end if;
when st3 =>
if std_match(input, "0000000") then next_state <= st6; output <= "1-";
elsif std_match(input, "0011000") then next_state <= st5; output <= "0-";
elsif std_match(input, "0000100") then next_state <= st5; output <= "0-";
elsif std_match(input, "0000010") then next_state <= st5; output <= "0-";
elsif std_match(input, "0000001") then next_state <= st5; output <= "0-";
elsif std_match(input, "1------") then next_state <= st0; output <= "-0";
elsif std_match(input, "-1-----") then next_state <= st0; output <= "-0";
elsif std_match(input, "--01---") then next_state <= st0; output <= "-0";
elsif std_match(input, "--10---") then next_state <= st0; output <= "-0";
elsif std_match(input, "--111--") then next_state <= st0; output <= "-0";
elsif std_match(input, "--11-1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "--11--1") then next_state <= st0; output <= "-0";
elsif std_match(input, "----11-") then next_state <= st0; output <= "-0";
elsif std_match(input, "----1-1") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----11") then next_state <= st0; output <= "-0";
end if;
when st4 =>
if std_match(input, "-000000") then next_state <= st7; output <= "1-";
elsif std_match(input, "-100000") then next_state <= st8; output <= "0-";
elsif std_match(input, "-010000") then next_state <= st8; output <= "0-";
elsif std_match(input, "-001000") then next_state <= st8; output <= "0-";
elsif std_match(input, "-000100") then next_state <= st8; output <= "0-";
elsif std_match(input, "-000010") then next_state <= st8; output <= "0-";
elsif std_match(input, "-000001") then next_state <= st8; output <= "0-";
elsif std_match(input, "-11----") then next_state <= st0; output <= "-0";
elsif std_match(input, "-1-1---") then next_state <= st0; output <= "-0";
elsif std_match(input, "-1--1--") then next_state <= st0; output <= "-0";
elsif std_match(input, "-1---1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "-1----1") then next_state <= st0; output <= "-0";
elsif std_match(input, "--11---") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1-1--") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1--1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1---1") then next_state <= st0; output <= "-0";
elsif std_match(input, "---11--") then next_state <= st0; output <= "-0";
elsif std_match(input, "---1-1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "---1--1") then next_state <= st0; output <= "-0";
elsif std_match(input, "----11-") then next_state <= st0; output <= "-0";
elsif std_match(input, "----1-1") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----11") then next_state <= st0; output <= "-0";
end if;
when st5 =>
if std_match(input, "-000000") then next_state <= st8; output <= "0-";
elsif std_match(input, "-1-----") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1----") then next_state <= st0; output <= "-0";
elsif std_match(input, "---1---") then next_state <= st0; output <= "-0";
elsif std_match(input, "----1--") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "------1") then next_state <= st0; output <= "-0";
end if;
when st6 =>
if std_match(input, "-011000") then next_state <= st8; output <= "0-";
elsif std_match(input, "-000100") then next_state <= st8; output <= "0-";
elsif std_match(input, "-000010") then next_state <= st8; output <= "0-";
elsif std_match(input, "-000001") then next_state <= st8; output <= "0-";
elsif std_match(input, "-000000") then next_state <= st9; output <= "1-";
elsif std_match(input, "-1-----") then next_state <= st0; output <= "-0";
elsif std_match(input, "--01---") then next_state <= st0; output <= "-0";
elsif std_match(input, "--10---") then next_state <= st0; output <= "-0";
elsif std_match(input, "--111--") then next_state <= st0; output <= "-0";
elsif std_match(input, "--11-1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "--11--1") then next_state <= st0; output <= "-0";
elsif std_match(input, "----11-") then next_state <= st0; output <= "-0";
elsif std_match(input, "----1-1") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----11") then next_state <= st0; output <= "-0";
end if;
when st7 =>
if std_match(input, "--00000") then next_state <= st10; output <= "1-";
elsif std_match(input, "--10000") then next_state <= st11; output <= "0-";
elsif std_match(input, "--01000") then next_state <= st11; output <= "0-";
elsif std_match(input, "--00100") then next_state <= st11; output <= "0-";
elsif std_match(input, "--00010") then next_state <= st11; output <= "0-";
elsif std_match(input, "--00001") then next_state <= st11; output <= "0-";
elsif std_match(input, "--11---") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1-1--") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1--1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "--1---1") then next_state <= st0; output <= "-0";
elsif std_match(input, "---11--") then next_state <= st0; output <= "-0";
elsif std_match(input, "---1-1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "---1--1") then next_state <= st0; output <= "-0";
elsif std_match(input, "----11-") then next_state <= st0; output <= "-0";
elsif std_match(input, "----1-1") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----11") then next_state <= st0; output <= "-0";
end if;
when st8 =>
if std_match(input, "--00000") then next_state <= st11; output <= "0-";
elsif std_match(input, "--1----") then next_state <= st0; output <= "-0";
elsif std_match(input, "---1---") then next_state <= st0; output <= "-0";
elsif std_match(input, "----1--") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "------1") then next_state <= st0; output <= "-0";
end if;
when st9 =>
if std_match(input, "--00000") then next_state <= st12; output <= "--";
elsif std_match(input, "--11000") then next_state <= st11; output <= "0-";
elsif std_match(input, "--00100") then next_state <= st11; output <= "0-";
elsif std_match(input, "--00010") then next_state <= st11; output <= "0-";
elsif std_match(input, "--00001") then next_state <= st11; output <= "0-";
elsif std_match(input, "--01---") then next_state <= st0; output <= "-0";
elsif std_match(input, "--10---") then next_state <= st0; output <= "-0";
elsif std_match(input, "--111--") then next_state <= st0; output <= "-0";
elsif std_match(input, "--11-1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "--11--1") then next_state <= st0; output <= "-0";
elsif std_match(input, "----11-") then next_state <= st0; output <= "-0";
elsif std_match(input, "----1-1") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----11") then next_state <= st0; output <= "-0";
end if;
when st10 =>
if std_match(input, "----000") then next_state <= st13; output <= "1-";
elsif std_match(input, "----100") then next_state <= st14; output <= "0-";
elsif std_match(input, "----010") then next_state <= st14; output <= "0-";
elsif std_match(input, "----001") then next_state <= st14; output <= "0-";
elsif std_match(input, "----11-") then next_state <= st0; output <= "-0";
elsif std_match(input, "----1-1") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----11") then next_state <= st0; output <= "-0";
end if;
when st11 =>
if std_match(input, "----000") then next_state <= st14; output <= "0-";
elsif std_match(input, "----1--") then next_state <= st0; output <= "-0";
elsif std_match(input, "-----1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "------1") then next_state <= st0; output <= "-0";
end if;
when st12 =>
if std_match(input, "-----00") then next_state <= st14; output <= "--";
elsif std_match(input, "-----1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "------1") then next_state <= st0; output <= "-0";
end if;
when st13 =>
if std_match(input, "-----00") then next_state <= st15; output <= "1-";
elsif std_match(input, "-----10") then next_state <= st16; output <= "0-";
elsif std_match(input, "-----01") then next_state <= st16; output <= "0-";
elsif std_match(input, "-----11") then next_state <= st0; output <= "-0";
end if;
when st14 =>
if std_match(input, "-----00") then next_state <= st16; output <= "0-";
elsif std_match(input, "-----1-") then next_state <= st0; output <= "-0";
elsif std_match(input, "------1") then next_state <= st0; output <= "-0";
end if;
when st15 =>
if std_match(input, "------0") then next_state <= st17; output <= "--";
elsif std_match(input, "------1") then next_state <= st18; output <= "0-";
end if;
when st16 =>
if std_match(input, "------0") then next_state <= st18; output <= "0-";
elsif std_match(input, "------1") then next_state <= st0; output <= "-0";
end if;
when st17 =>
if std_match(input, "-------") then next_state <= st0; output <= "-0";
end if;
when st18 =>
if std_match(input, "-------") then next_state <= st0; output <= "-1";
end if;
when others => next_state <= "-----"; output <= "--";
end case;
end process;
end behaviour;
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_21_fg_21_04.vhd,v 1.2 2001-10-26 16:29:37 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
entity processor is
end entity processor;
-- code from book
architecture rtl of processor is
component latch is
generic ( width : positive );
port ( d : in std_ulogic_vector(0 to width - 1);
q : out std_ulogic_vector(0 to width - 1);
-- . . . );
-- not in book
other_port : in std_ulogic := '-' );
-- end not in book
end component latch;
component ROM is
port ( d_out : out std_ulogic_vector; -- . . . );
-- not in book
other_port : in std_ulogic := '-' );
-- end not in book
end component ROM;
subtype std_logic_word is std_logic_vector(0 to 31);
signal source1, source2, destination : std_logic_word;
-- . . .
begin
temp_register : component latch
generic map ( width => 32 )
port map ( d => std_ulogic_vector(destination),
std_logic_vector(q) => source1, -- . . . );
-- not in book
other_port => open );
-- end not in book
constant_ROM : component ROM
port map ( std_logic_word(d_out) => source2, -- . . . );
-- not in book
other_port => open );
-- end not in book
-- . . .
end architecture rtl;
-- end code from book
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_21_fg_21_04.vhd,v 1.2 2001-10-26 16:29:37 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
entity processor is
end entity processor;
-- code from book
architecture rtl of processor is
component latch is
generic ( width : positive );
port ( d : in std_ulogic_vector(0 to width - 1);
q : out std_ulogic_vector(0 to width - 1);
-- . . . );
-- not in book
other_port : in std_ulogic := '-' );
-- end not in book
end component latch;
component ROM is
port ( d_out : out std_ulogic_vector; -- . . . );
-- not in book
other_port : in std_ulogic := '-' );
-- end not in book
end component ROM;
subtype std_logic_word is std_logic_vector(0 to 31);
signal source1, source2, destination : std_logic_word;
-- . . .
begin
temp_register : component latch
generic map ( width => 32 )
port map ( d => std_ulogic_vector(destination),
std_logic_vector(q) => source1, -- . . . );
-- not in book
other_port => open );
-- end not in book
constant_ROM : component ROM
port map ( std_logic_word(d_out) => source2, -- . . . );
-- not in book
other_port => open );
-- end not in book
-- . . .
end architecture rtl;
-- end code from book
|
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_21_fg_21_04.vhd,v 1.2 2001-10-26 16:29:37 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
library ieee; use ieee.std_logic_1164.all;
entity processor is
end entity processor;
-- code from book
architecture rtl of processor is
component latch is
generic ( width : positive );
port ( d : in std_ulogic_vector(0 to width - 1);
q : out std_ulogic_vector(0 to width - 1);
-- . . . );
-- not in book
other_port : in std_ulogic := '-' );
-- end not in book
end component latch;
component ROM is
port ( d_out : out std_ulogic_vector; -- . . . );
-- not in book
other_port : in std_ulogic := '-' );
-- end not in book
end component ROM;
subtype std_logic_word is std_logic_vector(0 to 31);
signal source1, source2, destination : std_logic_word;
-- . . .
begin
temp_register : component latch
generic map ( width => 32 )
port map ( d => std_ulogic_vector(destination),
std_logic_vector(q) => source1, -- . . . );
-- not in book
other_port => open );
-- end not in book
constant_ROM : component ROM
port map ( std_logic_word(d_out) => source2, -- . . . );
-- not in book
other_port => open );
-- end not in book
-- . . .
end architecture rtl;
-- end code from book
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LB8OwMyatDVYbiiej79l59/glqj2v0s7DnecKZfl6zoN/El++j27sveloSbIPMxAjT0yzZYNrSnB
bLPRGmR0dQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
g0i7yBrRqdFtbhaJi3LKBEeDvXaDY1dB/rLJukJg994dtEfRsAlIFcNZoCLApS2t2ca3ritlnV5A
BX/INiVNk1A8RC1rGw9tso4z7LnREIOXSzzEXMa67ghq5vJBMtcO7orVPiYYWQ7WjNhXGOPfZ+oH
e+yUgmxp7X01Am618Xs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lSwXAdfeTfl8TfYZshyH8tEt1zD6vVHU+DSEx547OwzyoANoHFpZotXJ87dxCEHnQKJ+tPwYq38F
84PybPhxX4pW8rmaKGjQjMQ0l3aN+/QFwxkfUr9Ima2G2Kkv81MObelrQFmZHBeCe+bhaMxKt780
dDQyWraXBNjiKdS4cQGvT9i3vtPgeJi0YMWHuYLbq0VRyHvEo1b5NfsTky7ykB5RCh+NvZVkWHQg
bo30ev4Ifvy3uRNiiST2jA0tlE5e9dWfHT3nvwLkfkL8nE5OSi324ts30VH5tik5AuFuDQFOqEpf
M+n4pOhUOHaGx8TNu2ZzqGFpuc9EWImdRmVqPw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lCtWfHY+nFrN2SRPls/wrZ+n7qCHIxJ6cML2tGYFx11y/Uesr7sSY/xTXMq/urWcLpayySgeAJ0G
LIy9xioyNvYZYNgr45jvyZUeaRK0k4FlacYDu/PLOxliPmkqst38+keKkgoz48jReDYk3PgSKgE4
LtAtmsjDVJ0n8SElamk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PNWCgH4SuvdI0+mwPdzPBTfK4slEZOgx3b46v1ntCxam+jhazuVPibby5CP6NAoNM7YpFWaOq42P
qC3tJF6UMkB/rMBijtaOWImKJHX/CesG9I37KMbopWv8A2QmzX4v4e+X45WtwGXAChzee3VU8xzY
4tXhvyoOeZAthIIiZl6GaUCpLuNWSzsEZBo7+5dDqomIwfNzXJQxguXGa2bRBWO4tNvafvkc1TNZ
zNS2K4ic9fMdPUoKGdFFmEvMzjd8ZX0ADVDCUH8Ogn05yzjHPAN2Yi2nbSn+H0VMAZyFp3ghVqoj
M7BkK0zST/Bwp53XpoWdmzh3MMHpt4Z7THyW5Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 85072)
`protect data_block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`protect end_protected
|
---------------------------------------------------------------------------------------------------
--
-- Title : ethrx_task
-- Design : eth_new
-- Author : dove
-- Company : google
--
---------------------------------------------------------------------------------------------------
--
-- File : ethrx_task.vhd
-- Generated : Sun Sep 3 10:52:10 2006
-- From : interface description file
-- By : Itf2Vhdl ver. 1.20
--
---------------------------------------------------------------------------------------------------
--
-- Description :
--
---------------------------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {ethrx_task} architecture {arch_ethrx_task}}
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity ethrx_task is
generic(
TASKFIFO_DWIDTH : natural := 8;
TASKFIFO_BLOCK_DEPTH : natural := 16;
TASKFIFO_BLOCK_AWIDTH : natural := 4;
TASKFIFO_DEPTH : natural := 16;
TASKFIFO_AWIDTH : natural := 4;
TASKFIFO_RAM_TYPE : string := "DIS_RAM"
);
port(
reset : in std_logic;
-- Task Input
RxFIFO_R_Clk : in std_logic;
RxFIFO_R_Block : in std_logic;
RxFIFO_RAddr : in std_logic_vector( TASKFIFO_BLOCK_AWIDTH - 1 downto 0 );
RxFIFO_RData : out std_logic_vector( TASKFIFO_DWIDTH - 1 downto 0 );
RxFIFO_Full : out std_logic;
RxFIFO_Empty : out std_logic;
fifo_wr_block : in std_logic;
-- zcpsm
zcpsm_clk : in std_logic;
zcpsm_ce : in std_logic;
zcpsm_port_id : in std_logic_vector(3 downto 0);
zcpsm_write_strobe : in std_logic;
zcpsm_out_port : in std_logic_vector(7 downto 0);
zcpsm_read_strobe : in std_logic;
zcpsm_in_port : out std_logic_vector(7 downto 0)
);
end ethrx_task;
--}} End of automatically maintained section
architecture arch_ethrx_task of ethrx_task is
component fifo_block
generic(
DWIDTH : INTEGER;
BLOCK_AWIDTH : INTEGER;
FIFO_AWIDTH : INTEGER;
RAM_TYPE : STRING
);
port(
clk : in std_logic;
reset : in std_logic;
clr : in std_logic;
wr_block : in std_logic;
wr_clk : in std_logic;
wren : in std_logic;
waddr : in std_logic_vector((BLOCK_AWIDTH-1) downto 0);
wdata : in std_logic_vector((DWIDTH-1) downto 0);
rd_block : in std_logic;
rd_clk : in std_logic;
raddr : in std_logic_vector((BLOCK_AWIDTH-1) downto 0);
rdata : out std_logic_vector((DWIDTH-1) downto 0);
full : out std_logic;
empty : out std_logic
);
end component;
component zcpsm2fifo
generic(
BLOCK_AWIDTH : INTEGER;
DWIDTH : INTEGER
);
port(
clk : in std_logic;
reset : in std_logic;
zcpsm_clk : in std_logic;
zcpsm_ce : in std_logic;
zcpsm_port_id : in std_logic_vector(3 downto 0);
zcpsm_write_strobe : in std_logic;
zcpsm_out_port : in std_logic_vector(7 downto 0);
zcpsm_read_strobe : in std_logic;
zcpsm_in_port : out std_logic_vector(7 downto 0);
fifo_wr_block : out std_logic;
fifo_wren : out std_logic;
fifo_waddr : out std_logic_vector((BLOCK_AWIDTH-1) downto 0);
fifo_wdata : out std_logic_vector((DWIDTH-1) downto 0);
fifo_full : in std_logic;
fifo_empty : in std_logic
);
end component;
signal fifo_full : std_logic;
signal fifo_empty : std_logic;
signal fifo_wren : std_logic;
signal fifo_waddr : std_logic_vector(TASKFIFO_BLOCK_AWIDTH - 1 downto 0);
signal fifo_wdata : std_logic_vector(TASKFIFO_DWIDTH - 1 downto 0);
signal fifo_db_wr_block : std_logic;
signal fifo_rxtask_wr_block : std_logic;
-- signal fifo_wr_block : std_logic;
begin
fifo_rxtask_wr_block <= fifo_db_wr_block or fifo_wr_block;
u_rx_task_fifo : fifo_block
generic map(
DWIDTH => TASKFIFO_DWIDTH,
BLOCK_AWIDTH => TASKFIFO_BLOCK_AWIDTH,
FIFO_AWIDTH => TASKFIFO_AWIDTH,
RAM_TYPE => TASKFIFO_RAM_TYPE
)
port map(
clk => RxFIFO_R_Clk,
reset => reset,
clr => '0',
wr_block => fifo_rxtask_wr_block,
wr_clk => zcpsm_clk,
wren => fifo_wren,
waddr => fifo_waddr,
wdata => fifo_wdata,
rd_block => RxFIFO_R_Block,
rd_clk => RxFIFO_R_Clk,
raddr => RxFIFO_RAddr,
rdata => RxFIFO_RData,
empty => fifo_empty,
full => fifo_full
);
u_rx_zcpsm_task : zcpsm2fifo
generic map(
BLOCK_AWIDTH => TASKFIFO_BLOCK_AWIDTH,
DWIDTH => TASKFIFO_DWIDTH
)
port map(
clk => RxFIFO_R_Clk,
reset => reset,
zcpsm_clk => zcpsm_clk,
zcpsm_ce => zcpsm_ce,
zcpsm_port_id => zcpsm_port_id,
zcpsm_write_strobe => zcpsm_write_strobe,
zcpsm_out_port => zcpsm_out_port,
zcpsm_read_strobe => zcpsm_read_strobe,
zcpsm_in_port => zcpsm_in_port,
-- fifo_wr_block => open,
fifo_wr_block => fifo_db_wr_block,
fifo_wren => fifo_wren,
fifo_waddr => fifo_waddr,
fifo_wdata => fifo_wdata,
fifo_full => fifo_full,
fifo_empty => fifo_empty
);
RxFIFO_Empty <= fifo_empty;
RxFIFO_Full <= fifo_full;
-- enter your statements here --
end arch_ethrx_task;
|
--
-- Author: Pawel Szostek ([email protected])
-- Date: 28.07.2011
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.all;
entity dummy is
port (
input : in std_logic_vector(7 downto 0);
output : out std_logic_vector(7 downto 0)
);
end;
architecture behaviour of dummy is
begin
L: process(input)
variable tmp : std_logic_vector(7 downto 0);
begin
tmp := input; -- use multiple assignments to the same variable
tmp := (7 => input(7), others => '1'); -- inluding slices in a process
output <= tmp;
end process;
end;
|
--
-- Author: Pawel Szostek ([email protected])
-- Date: 28.07.2011
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.all;
entity dummy is
port (
input : in std_logic_vector(7 downto 0);
output : out std_logic_vector(7 downto 0)
);
end;
architecture behaviour of dummy is
begin
L: process(input)
variable tmp : std_logic_vector(7 downto 0);
begin
tmp := input; -- use multiple assignments to the same variable
tmp := (7 => input(7), others => '1'); -- inluding slices in a process
output <= tmp;
end process;
end;
|
-------------------------------------------------------------------------------
-- $Id: upcnt_n.vhd,v 1.1.2.1 2010/03/25 15:33:04 sanjayk Exp $
-------------------------------------------------------------------------------
-- upcnt_n - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: upcnt_n.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/07/01 -- First Release
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SIZE -- Number of bits in counter
--
--
-- Definition of Ports:
-- Data -- parallel data input
-- Cnt_en -- count enable
-- Load -- Load Data
-- Clr -- reset
-- Clk -- Clock
-- Qout -- Count output
--
-------------------------------------------------------------------------------
entity upcnt_n is
generic(
C_SIZE : Integer
);
port(
Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
Cnt_en : in STD_LOGIC;
Load : in STD_LOGIC;
Clr : in STD_LOGIC;
Clk : in STD_LOGIC;
Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
);
end upcnt_n;
architecture imp of upcnt_n is
constant CLEAR : std_logic := '0';
signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1');
begin
process(Clk)
begin
if (Clk'event) and Clk = '1' then
-- Clear output register
if (Clr = CLEAR) then
q_int <= (others => '0');
-- Load in start value
elsif (Load = '1') then
q_int <= UNSIGNED(Data);
-- If count enable is high
elsif Cnt_en = '1' then
q_int <= q_int + 1;
end if;
end if;
end process;
Qout <= STD_LOGIC_VECTOR(q_int);
end imp;
|
-------------------------------------------------------------------------------
-- $Id: upcnt_n.vhd,v 1.1.2.1 2010/03/25 15:33:04 sanjayk Exp $
-------------------------------------------------------------------------------
-- upcnt_n - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: upcnt_n.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/07/01 -- First Release
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SIZE -- Number of bits in counter
--
--
-- Definition of Ports:
-- Data -- parallel data input
-- Cnt_en -- count enable
-- Load -- Load Data
-- Clr -- reset
-- Clk -- Clock
-- Qout -- Count output
--
-------------------------------------------------------------------------------
entity upcnt_n is
generic(
C_SIZE : Integer
);
port(
Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
Cnt_en : in STD_LOGIC;
Load : in STD_LOGIC;
Clr : in STD_LOGIC;
Clk : in STD_LOGIC;
Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
);
end upcnt_n;
architecture imp of upcnt_n is
constant CLEAR : std_logic := '0';
signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1');
begin
process(Clk)
begin
if (Clk'event) and Clk = '1' then
-- Clear output register
if (Clr = CLEAR) then
q_int <= (others => '0');
-- Load in start value
elsif (Load = '1') then
q_int <= UNSIGNED(Data);
-- If count enable is high
elsif Cnt_en = '1' then
q_int <= q_int + 1;
end if;
end if;
end process;
Qout <= STD_LOGIC_VECTOR(q_int);
end imp;
|
-------------------------------------------------------------------------------
-- $Id: upcnt_n.vhd,v 1.1.2.1 2010/03/25 15:33:04 sanjayk Exp $
-------------------------------------------------------------------------------
-- upcnt_n - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: upcnt_n.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/07/01 -- First Release
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SIZE -- Number of bits in counter
--
--
-- Definition of Ports:
-- Data -- parallel data input
-- Cnt_en -- count enable
-- Load -- Load Data
-- Clr -- reset
-- Clk -- Clock
-- Qout -- Count output
--
-------------------------------------------------------------------------------
entity upcnt_n is
generic(
C_SIZE : Integer
);
port(
Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
Cnt_en : in STD_LOGIC;
Load : in STD_LOGIC;
Clr : in STD_LOGIC;
Clk : in STD_LOGIC;
Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
);
end upcnt_n;
architecture imp of upcnt_n is
constant CLEAR : std_logic := '0';
signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1');
begin
process(Clk)
begin
if (Clk'event) and Clk = '1' then
-- Clear output register
if (Clr = CLEAR) then
q_int <= (others => '0');
-- Load in start value
elsif (Load = '1') then
q_int <= UNSIGNED(Data);
-- If count enable is high
elsif Cnt_en = '1' then
q_int <= q_int + 1;
end if;
end if;
end process;
Qout <= STD_LOGIC_VECTOR(q_int);
end imp;
|
-------------------------------------------------------------------------------
-- $Id: upcnt_n.vhd,v 1.1.2.1 2010/03/25 15:33:04 sanjayk Exp $
-------------------------------------------------------------------------------
-- upcnt_n - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: upcnt_n.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/07/01 -- First Release
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SIZE -- Number of bits in counter
--
--
-- Definition of Ports:
-- Data -- parallel data input
-- Cnt_en -- count enable
-- Load -- Load Data
-- Clr -- reset
-- Clk -- Clock
-- Qout -- Count output
--
-------------------------------------------------------------------------------
entity upcnt_n is
generic(
C_SIZE : Integer
);
port(
Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
Cnt_en : in STD_LOGIC;
Load : in STD_LOGIC;
Clr : in STD_LOGIC;
Clk : in STD_LOGIC;
Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
);
end upcnt_n;
architecture imp of upcnt_n is
constant CLEAR : std_logic := '0';
signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1');
begin
process(Clk)
begin
if (Clk'event) and Clk = '1' then
-- Clear output register
if (Clr = CLEAR) then
q_int <= (others => '0');
-- Load in start value
elsif (Load = '1') then
q_int <= UNSIGNED(Data);
-- If count enable is high
elsif Cnt_en = '1' then
q_int <= q_int + 1;
end if;
end if;
end process;
Qout <= STD_LOGIC_VECTOR(q_int);
end imp;
|
-------------------------------------------------------------------------------
-- $Id: upcnt_n.vhd,v 1.1.2.1 2010/03/25 15:33:04 sanjayk Exp $
-------------------------------------------------------------------------------
-- upcnt_n - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: upcnt_n.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/07/01 -- First Release
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SIZE -- Number of bits in counter
--
--
-- Definition of Ports:
-- Data -- parallel data input
-- Cnt_en -- count enable
-- Load -- Load Data
-- Clr -- reset
-- Clk -- Clock
-- Qout -- Count output
--
-------------------------------------------------------------------------------
entity upcnt_n is
generic(
C_SIZE : Integer
);
port(
Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
Cnt_en : in STD_LOGIC;
Load : in STD_LOGIC;
Clr : in STD_LOGIC;
Clk : in STD_LOGIC;
Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
);
end upcnt_n;
architecture imp of upcnt_n is
constant CLEAR : std_logic := '0';
signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1');
begin
process(Clk)
begin
if (Clk'event) and Clk = '1' then
-- Clear output register
if (Clr = CLEAR) then
q_int <= (others => '0');
-- Load in start value
elsif (Load = '1') then
q_int <= UNSIGNED(Data);
-- If count enable is high
elsif Cnt_en = '1' then
q_int <= q_int + 1;
end if;
end if;
end process;
Qout <= STD_LOGIC_VECTOR(q_int);
end imp;
|
-------------------------------------------------------------------------------
-- $Id: upcnt_n.vhd,v 1.1.2.1 2010/03/25 15:33:04 sanjayk Exp $
-------------------------------------------------------------------------------
-- upcnt_n - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: upcnt_n.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/07/01 -- First Release
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SIZE -- Number of bits in counter
--
--
-- Definition of Ports:
-- Data -- parallel data input
-- Cnt_en -- count enable
-- Load -- Load Data
-- Clr -- reset
-- Clk -- Clock
-- Qout -- Count output
--
-------------------------------------------------------------------------------
entity upcnt_n is
generic(
C_SIZE : Integer
);
port(
Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
Cnt_en : in STD_LOGIC;
Load : in STD_LOGIC;
Clr : in STD_LOGIC;
Clk : in STD_LOGIC;
Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
);
end upcnt_n;
architecture imp of upcnt_n is
constant CLEAR : std_logic := '0';
signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1');
begin
process(Clk)
begin
if (Clk'event) and Clk = '1' then
-- Clear output register
if (Clr = CLEAR) then
q_int <= (others => '0');
-- Load in start value
elsif (Load = '1') then
q_int <= UNSIGNED(Data);
-- If count enable is high
elsif Cnt_en = '1' then
q_int <= q_int + 1;
end if;
end if;
end process;
Qout <= STD_LOGIC_VECTOR(q_int);
end imp;
|
-------------------------------------------------------------------------------
-- $Id: upcnt_n.vhd,v 1.1.2.1 2010/03/25 15:33:04 sanjayk Exp $
-------------------------------------------------------------------------------
-- upcnt_n - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: upcnt_n.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/07/01 -- First Release
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SIZE -- Number of bits in counter
--
--
-- Definition of Ports:
-- Data -- parallel data input
-- Cnt_en -- count enable
-- Load -- Load Data
-- Clr -- reset
-- Clk -- Clock
-- Qout -- Count output
--
-------------------------------------------------------------------------------
entity upcnt_n is
generic(
C_SIZE : Integer
);
port(
Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
Cnt_en : in STD_LOGIC;
Load : in STD_LOGIC;
Clr : in STD_LOGIC;
Clk : in STD_LOGIC;
Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
);
end upcnt_n;
architecture imp of upcnt_n is
constant CLEAR : std_logic := '0';
signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1');
begin
process(Clk)
begin
if (Clk'event) and Clk = '1' then
-- Clear output register
if (Clr = CLEAR) then
q_int <= (others => '0');
-- Load in start value
elsif (Load = '1') then
q_int <= UNSIGNED(Data);
-- If count enable is high
elsif Cnt_en = '1' then
q_int <= q_int + 1;
end if;
end if;
end process;
Qout <= STD_LOGIC_VECTOR(q_int);
end imp;
|
library verilog;
use verilog.vl_types.all;
entity projeto is
port(
SW : in vl_logic_vector(7 downto 0);
HEX0 : out vl_logic_vector(6 downto 0);
HEX1 : out vl_logic_vector(6 downto 0)
);
end projeto;
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_bb_e
--
-- Generated
-- by: wig
-- on: Wed Jul 19 05:44:57 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../../udc.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_bb_e-rtl-a.vhd,v 1.2 2006/07/19 07:35:16 wig Exp $
-- $Date: 2006/07/19 07:35:16 $
-- $Log: inst_bb_e-rtl-a.vhd,v $
-- Revision 1.2 2006/07/19 07:35:16 wig
-- Updated testcases.
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.92 2006/07/12 15:23:40 wig Exp
--
-- Generator: mix_0.pl Revision: 1.46 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
udc: HEAD HOOK inst_bb_i
--
--
-- Start of Generated Architecture rtl of inst_bb_e
--
architecture rtl of inst_bb_e is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
udc: DECL HOOK VHDL inst_bb_i
begin
udc: BODY BOOK VHDL inst_bb_i
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
end rtl;
udc: FOOT HOOK two lines inst_bb_i
second line inst_bb_i, config here inst_bb_e_rtl_conf and description bb instance
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
--------------------------------------------------------------------------------
-- Copyright (c) 2019 David Banks
--
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ /
-- \ \ \/
-- \ \
-- / / Filename : MC6808CpuMonLX9.vhd
-- /___/ /\ Timestamp : 24/10/2019
-- \ \ / \
-- \___\/\___\
--
--Design Name: MC6808CpuMonLX9
--Device: XC3S250E/XC3S500E
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity MC6809CpuMonLX9 is
generic (
num_comparators : integer := 8; -- default value correct for LX9
avr_prog_mem_size : integer := 1024 * 9 -- default value correct for LX9
);
port (
clock : in std_logic;
-- A locally generated test clock
-- 1.8457 MHz in E Mode (6809E) so it can drive E (PIN34)
-- 7.3728 MHz in Normal Mode (6809) so it can drive EXTAL (PIN38)
clock_test : out std_logic;
-- 6809/6809E mode selection
-- Jumper is between pins B1 and D1
-- Jumper off is 6809 mode, where a 4x clock should be fed into EXTAL (PIN38)
-- Jumper on is 6909E mode, where a 1x clock should be fed into E (PIN34)
EMode_n : in std_logic;
--6809 Signals
PIN33 : inout std_logic;
PIN34 : inout std_logic;
PIN35 : inout std_logic;
PIN36 : inout std_logic;
PIN38 : inout std_logic;
PIN39 : in std_logic;
-- Signals common to both 6809 and 6809E
RES_n : in std_logic;
NMI_n : in std_logic;
IRQ_n : in std_logic;
FIRQ_n : in std_logic;
HALT_n : in std_logic;
BS : out std_logic;
BA : out std_logic;
R_W_n : out std_logic;
Addr : out std_logic_vector(15 downto 0);
Data : inout std_logic_vector(7 downto 0);
-- External trigger inputs
trig : in std_logic_vector(1 downto 0);
-- Serial Console
avr_RxD : in std_logic;
avr_TxD : out std_logic;
-- LX9 Switches
sw1 : in std_logic;
sw2 : in std_logic;
-- LX9 LEDs
led3 : out std_logic;
led6 : out std_logic;
led8 : out std_logic;
-- OHO_DY1 connected to test connector
tmosi : out std_logic;
tdin : out std_logic;
tcclk : out std_logic;
-- Debugging signals
test1 : out std_logic;
test2 : out std_logic
);
end MC6809CpuMonLX9;
architecture behavioral of MC6809CpuMonLX9 is
signal clk_count : std_logic_vector(1 downto 0);
signal quadrature : std_logic_vector(1 downto 0);
signal clock7_3728 : std_logic;
signal sw_reset_avr : std_logic;
signal sw_reset_cpu : std_logic;
signal led_bkpt : std_logic;
signal led_trig0 : std_logic;
signal led_trig1 : std_logic;
signal E : std_logic;
signal Q : std_logic;
signal DMA_n_BREQ_n : std_logic;
signal MRDY : std_logic;
signal TSC : std_logic;
signal LIC : std_logic;
signal AVMA : std_logic;
signal BUSY : std_logic;
signal XTAL : std_logic;
signal EXTAL : std_logic;
begin
sw_reset_cpu <= sw1;
sw_reset_avr <= sw2;
led3 <= led_trig0;
led6 <= led_trig1;
led8 <= led_bkpt;
wrapper : entity work.MC6809CpuMon
generic map (
ClkMult => 8,
ClkDiv => 25,
ClkPer => 20.000,
num_comparators => num_comparators,
avr_prog_mem_size => avr_prog_mem_size
)
port map (
-- Fast clock
clock => clock,
-- Quadrature clocks
E => E,
Q => Q,
--6809 Signals
DMA_n_BREQ_n => DMA_n_BREQ_n,
-- 6809E Sig
TSC => TSC,
LIC => LIC,
AVMA => AVMA,
BUSY => BUSY,
-- Signals common to both 6809 and 6809E
RES_n => RES_n,
NMI_n => NMI_n,
IRQ_n => IRQ_n,
FIRQ_n => FIRQ_n,
HALT_n => HALT_n,
BS => BS,
BA => BA,
R_W_n => R_W_n,
Addr => Addr,
Data => Data,
-- External trigger inputs
trig => trig,
-- Serial Console
avr_RxD => avr_RxD,
avr_TxD => avr_TxD,
-- Switches
sw_reset_cpu => sw_reset_cpu,
sw_reset_avr => sw_reset_avr,
-- LEDs
led_bkpt => led_bkpt,
led_trig0 => led_trig0,
led_trig1 => led_trig1,
-- OHO_DY1 connected to test connector
tmosi => tmosi,
tdin => tdin,
tcclk => tcclk,
-- Debugging signals
test1 => test1,
test2 => test2
);
-- Pins whose functions are dependent on "E" mode
PIN33 <= BUSY when EMode_n = '0' else 'Z';
DMA_n_BREQ_n <= '1' when EMode_n = '0' else PIN33;
PIN34 <= 'Z' when EMode_n = '0' else E;
E <= PIN34 when EMode_n = '0' else quadrature(1);
PIN35 <= 'Z' when EMode_n = '0' else Q;
Q <= PIN35 when EMode_n = '0' else quadrature(0);
PIN36 <= AVMA when EMode_n = '0' else 'Z';
MRDY <= '1' when EMode_n = '0' else PIN36;
PIN38 <= LIC when EMode_n = '0' else 'Z';
EXTAL <= '0' when EMode_n = '0' else PIN38;
TSC <= PIN39 when EMode_n = '0' else '0';
XTAL <= '0' when EMode_n = '0' else PIN39;
-- A locally generated test clock
-- 1.8457 MHz in E Mode (6809E) so it can drive E (PIN34)
-- 7.3728 MHz in Normal Mode (6809) so it can drive EXTAL (PIN38)
clock_test <= clk_count(1) when EMode_n = '0' else clock7_3728;
-- Quadrature clock generator, unused in 6809E mode
quadrature_gen : process(EXTAL)
begin
if rising_edge(EXTAL) then
if (MRDY = '1') then
if (quadrature = "00") then
quadrature <= "01";
elsif (quadrature = "01") then
quadrature <= "11";
elsif (quadrature = "11") then
quadrature <= "10";
else
quadrature <= "00";
end if;
end if;
end if;
end process;
-- Seperate piece of circuitry that emits a 7.3728MHz clock
inst_dcm1 : entity work.DCM1 port map(
CLKIN_IN => clock,
CLK0_OUT => clock7_3728,
CLK0_OUT1 => open,
CLK2X_OUT => open
);
clk_gen : process(clock7_3728)
begin
if rising_edge(clock7_3728) then
clk_count <= clk_count + 1;
end if;
end process;
end behavioral;
|
--------------------------------------------------------------------------------
-- Copyright (c) 2019 David Banks
--
--------------------------------------------------------------------------------
-- ____ ____
-- / /\/ /
-- /___/ \ /
-- \ \ \/
-- \ \
-- / / Filename : MC6808CpuMonLX9.vhd
-- /___/ /\ Timestamp : 24/10/2019
-- \ \ / \
-- \___\/\___\
--
--Design Name: MC6808CpuMonLX9
--Device: XC3S250E/XC3S500E
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity MC6809CpuMonLX9 is
generic (
num_comparators : integer := 8; -- default value correct for LX9
avr_prog_mem_size : integer := 1024 * 9 -- default value correct for LX9
);
port (
clock : in std_logic;
-- A locally generated test clock
-- 1.8457 MHz in E Mode (6809E) so it can drive E (PIN34)
-- 7.3728 MHz in Normal Mode (6809) so it can drive EXTAL (PIN38)
clock_test : out std_logic;
-- 6809/6809E mode selection
-- Jumper is between pins B1 and D1
-- Jumper off is 6809 mode, where a 4x clock should be fed into EXTAL (PIN38)
-- Jumper on is 6909E mode, where a 1x clock should be fed into E (PIN34)
EMode_n : in std_logic;
--6809 Signals
PIN33 : inout std_logic;
PIN34 : inout std_logic;
PIN35 : inout std_logic;
PIN36 : inout std_logic;
PIN38 : inout std_logic;
PIN39 : in std_logic;
-- Signals common to both 6809 and 6809E
RES_n : in std_logic;
NMI_n : in std_logic;
IRQ_n : in std_logic;
FIRQ_n : in std_logic;
HALT_n : in std_logic;
BS : out std_logic;
BA : out std_logic;
R_W_n : out std_logic;
Addr : out std_logic_vector(15 downto 0);
Data : inout std_logic_vector(7 downto 0);
-- External trigger inputs
trig : in std_logic_vector(1 downto 0);
-- Serial Console
avr_RxD : in std_logic;
avr_TxD : out std_logic;
-- LX9 Switches
sw1 : in std_logic;
sw2 : in std_logic;
-- LX9 LEDs
led3 : out std_logic;
led6 : out std_logic;
led8 : out std_logic;
-- OHO_DY1 connected to test connector
tmosi : out std_logic;
tdin : out std_logic;
tcclk : out std_logic;
-- Debugging signals
test1 : out std_logic;
test2 : out std_logic
);
end MC6809CpuMonLX9;
architecture behavioral of MC6809CpuMonLX9 is
signal clk_count : std_logic_vector(1 downto 0);
signal quadrature : std_logic_vector(1 downto 0);
signal clock7_3728 : std_logic;
signal sw_reset_avr : std_logic;
signal sw_reset_cpu : std_logic;
signal led_bkpt : std_logic;
signal led_trig0 : std_logic;
signal led_trig1 : std_logic;
signal E : std_logic;
signal Q : std_logic;
signal DMA_n_BREQ_n : std_logic;
signal MRDY : std_logic;
signal TSC : std_logic;
signal LIC : std_logic;
signal AVMA : std_logic;
signal BUSY : std_logic;
signal XTAL : std_logic;
signal EXTAL : std_logic;
begin
sw_reset_cpu <= sw1;
sw_reset_avr <= sw2;
led3 <= led_trig0;
led6 <= led_trig1;
led8 <= led_bkpt;
wrapper : entity work.MC6809CpuMon
generic map (
ClkMult => 8,
ClkDiv => 25,
ClkPer => 20.000,
num_comparators => num_comparators,
avr_prog_mem_size => avr_prog_mem_size
)
port map (
-- Fast clock
clock => clock,
-- Quadrature clocks
E => E,
Q => Q,
--6809 Signals
DMA_n_BREQ_n => DMA_n_BREQ_n,
-- 6809E Sig
TSC => TSC,
LIC => LIC,
AVMA => AVMA,
BUSY => BUSY,
-- Signals common to both 6809 and 6809E
RES_n => RES_n,
NMI_n => NMI_n,
IRQ_n => IRQ_n,
FIRQ_n => FIRQ_n,
HALT_n => HALT_n,
BS => BS,
BA => BA,
R_W_n => R_W_n,
Addr => Addr,
Data => Data,
-- External trigger inputs
trig => trig,
-- Serial Console
avr_RxD => avr_RxD,
avr_TxD => avr_TxD,
-- Switches
sw_reset_cpu => sw_reset_cpu,
sw_reset_avr => sw_reset_avr,
-- LEDs
led_bkpt => led_bkpt,
led_trig0 => led_trig0,
led_trig1 => led_trig1,
-- OHO_DY1 connected to test connector
tmosi => tmosi,
tdin => tdin,
tcclk => tcclk,
-- Debugging signals
test1 => test1,
test2 => test2
);
-- Pins whose functions are dependent on "E" mode
PIN33 <= BUSY when EMode_n = '0' else 'Z';
DMA_n_BREQ_n <= '1' when EMode_n = '0' else PIN33;
PIN34 <= 'Z' when EMode_n = '0' else E;
E <= PIN34 when EMode_n = '0' else quadrature(1);
PIN35 <= 'Z' when EMode_n = '0' else Q;
Q <= PIN35 when EMode_n = '0' else quadrature(0);
PIN36 <= AVMA when EMode_n = '0' else 'Z';
MRDY <= '1' when EMode_n = '0' else PIN36;
PIN38 <= LIC when EMode_n = '0' else 'Z';
EXTAL <= '0' when EMode_n = '0' else PIN38;
TSC <= PIN39 when EMode_n = '0' else '0';
XTAL <= '0' when EMode_n = '0' else PIN39;
-- A locally generated test clock
-- 1.8457 MHz in E Mode (6809E) so it can drive E (PIN34)
-- 7.3728 MHz in Normal Mode (6809) so it can drive EXTAL (PIN38)
clock_test <= clk_count(1) when EMode_n = '0' else clock7_3728;
-- Quadrature clock generator, unused in 6809E mode
quadrature_gen : process(EXTAL)
begin
if rising_edge(EXTAL) then
if (MRDY = '1') then
if (quadrature = "00") then
quadrature <= "01";
elsif (quadrature = "01") then
quadrature <= "11";
elsif (quadrature = "11") then
quadrature <= "10";
else
quadrature <= "00";
end if;
end if;
end if;
end process;
-- Seperate piece of circuitry that emits a 7.3728MHz clock
inst_dcm1 : entity work.DCM1 port map(
CLKIN_IN => clock,
CLK0_OUT => clock7_3728,
CLK0_OUT1 => open,
CLK2X_OUT => open
);
clk_gen : process(clock7_3728)
begin
if rising_edge(clock7_3728) then
clk_count <= clk_count + 1;
end if;
end process;
end behavioral;
|
library verilog;
use verilog.vl_types.all;
entity counter_max10 is
port(
clk : in vl_logic;
reset : in vl_logic;
limit : in vl_logic;
carry : out vl_logic;
CountedNumber : out vl_logic_vector(3 downto 0)
);
end counter_max10;
|
-- Copyright (c) 2014 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for expression concatenation in VHDL.
library ieee;
use ieee.std_logic_1164.all;
entity concat is
end concat;
architecture test of concat is
signal concat1 : std_logic_vector(1 downto 0);
signal concat2 : std_logic_vector(0 to 4);
begin
concat1 <= '1' & '0';
concat2 <= '1' & "10" & concat1;
end test;
|
-- Copyright (c) 2014 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for expression concatenation in VHDL.
library ieee;
use ieee.std_logic_1164.all;
entity concat is
end concat;
architecture test of concat is
signal concat1 : std_logic_vector(1 downto 0);
signal concat2 : std_logic_vector(0 to 4);
begin
concat1 <= '1' & '0';
concat2 <= '1' & "10" & concat1;
end test;
|
-- Copyright (c) 2014 CERN
-- Maciej Suminski <[email protected]>
--
-- This source code is free software; you can redistribute it
-- and/or modify it in source code form under the terms of the GNU
-- General Public License as published by the Free Software
-- Foundation; either version 2 of the License, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
-- Test for expression concatenation in VHDL.
library ieee;
use ieee.std_logic_1164.all;
entity concat is
end concat;
architecture test of concat is
signal concat1 : std_logic_vector(1 downto 0);
signal concat2 : std_logic_vector(0 to 4);
begin
concat1 <= '1' & '0';
concat2 <= '1' & "10" & concat1;
end test;
|
-------------------------------------------------------------------------------
-- axi_lite.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
-------------------------------------------------------------------------------
-- Filename: axi_lite.vhd
--
-- Description: This file is the top level module for the AXI-Lite
-- instantiation of the BRAM controller interface.
--
-- Responsible for shared address pipelining between the
-- write address (AW) and read address (AR) channels.
-- Controls (seperately) the data flows for the write data
-- (W), write response (B), and read data (R) channels.
--
-- Creates a shared port to BRAM (for all read and write
-- transactions) or dual BRAM port utilization based on a
-- generic parameter setting.
--
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- | -- ecc_gen.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- | -- ecc_gen.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- ecc_gen.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/1/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Remove library version # dependency. Replace with work library.
-- ^^^^^^
-- JLJ 2/22/2011 v1.03a
-- ~~~~~~
-- Update BRAM address mapping to lite_ecc_reg module. Corrected
-- signal size for XST detected unused bits in vector.
-- Plus minor code cleanup.
--
-- Add top level parameter, C_ECC_TYPE for Hsiao ECC algorithm.
-- ^^^^^^
-- JLJ 2/23/2011 v1.03a
-- ~~~~~~
-- Add Hsiao ECC algorithm logic (similar to full_axi module HDL).
-- ^^^^^^
-- JLJ 2/24/2011 v1.03a
-- ~~~~~~
-- Move REG_RDATA register process out from C_ECC_TYPE generate block
-- to C_ECC generate block.
-- ^^^^^^
-- JLJ 3/22/2011 v1.03a
-- ~~~~~~
-- Add LUT level with reset signal to combinatorial outputs, AWREADY
-- and WREADY. This will ensure that the output remains LOW during reset,
-- regardless of AWVALID or WVALID input signals.
-- ^^^^^^
-- JLJ 3/28/2011 v1.03a
-- ~~~~~~
-- Remove combinatorial output paths on AWREADY and WREADY.
-- Combine AWREADY and WREADY registers.
-- Remove combinatorial output path on ARREADY. Can pre-assert ARREADY
-- (but only for non ECC configurations).
-- Create 3-bit counter for BVALID response, seperate from AW/W channels.
--
-- Delay assertion of WREADY in ECC configurations to minimize register
-- resource utilization.
-- No pre-assertion of ARREADY in ECC configurations (due to write latency
-- with ECC enabled).
--
-- ^^^^^^
-- JLJ 3/30/2011 v1.03a
-- ~~~~~~
-- Update Sl_CE and Sl_UE flag assertions to a single clock cycle.
-- Clean up comments.
-- ^^^^^^
-- JLJ 4/19/2011 v1.03a
-- ~~~~~~
-- Update BVALID assertion when ECC is enabled to match the implementation
-- when C_ECC = 0. Optimize back to back write performance when C_ECC = 1.
-- ^^^^^^
-- JLJ 4/22/2011 v1.03a
-- ~~~~~~
-- Modify FaultInjectClr signal assertion. With BVALID counter, delay
-- when fault inject register gets cleared.
-- ^^^^^^
-- JLJ 4/22/2011 v1.03a
-- ~~~~~~
-- Code clean up.
-- ^^^^^^
-- JLJ 5/6/2011 v1.03a
-- ~~~~~~
-- Remove usage of C_FAMILY.
-- Hard code C_USE_LUT6 constant.
-- ^^^^^^
-- JLJ 7/7/2011 v1.03a
-- ~~~~~~
-- Fix DV regression failure with reset.
-- Hold off BRAM enable output with active reset signal.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Library declarations
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library work;
use work.lite_ecc_reg;
use work.parity;
use work.checkbit_handler;
use work.correct_one_bit;
use work.ecc_gen;
use work.axi_bram_ctrl_funcs.all;
------------------------------------------------------------------------------
entity axi_lite is
generic (
C_S_AXI_PROTOCOL : string := "AXI4LITE";
-- Set to AXI4LITE to optimize out burst transaction support
C_S_AXI_ADDR_WIDTH : integer := 32;
-- Width of AXI address bus (in bits)
C_S_AXI_DATA_WIDTH : integer := 32;
-- Width of AXI data bus (in bits)
C_SINGLE_PORT_BRAM : integer := 1;
-- Enable single port usage of BRAM
-- C_FAMILY : string := "virtex6";
-- Specify the target architecture type
-- AXI-Lite Register Parameters
C_S_AXI_CTRL_ADDR_WIDTH : integer := 32;
-- Width of AXI-Lite address bus (in bits)
C_S_AXI_CTRL_DATA_WIDTH : integer := 32;
-- Width of AXI-Lite data bus (in bits)
-- ECC Parameters
C_ECC : integer := 0;
-- Enables or disables ECC functionality
C_ECC_TYPE : integer := 0; -- v1.03a
-- ECC algorithm format, 0 = Hamming code, 1 = Hsiao code
C_ECC_WIDTH : integer := 8;
-- Width of ECC data vector
C_FAULT_INJECT : integer := 0;
-- Enable fault injection registers
C_ECC_ONOFF_RESET_VALUE : integer := 1;
-- By default, ECC checking is on (can disable ECC @ reset by setting this to 0)
-- Hard coded parameters at top level.
-- Note: Kept in design for future enhancement.
C_ENABLE_AXI_CTRL_REG_IF : integer := 0;
-- By default the ECC AXI-Lite register interface is enabled
C_CE_FAILING_REGISTERS : integer := 0;
-- Enable CE (correctable error) failing registers
C_UE_FAILING_REGISTERS : integer := 0;
-- Enable UE (uncorrectable error) failing registers
C_ECC_STATUS_REGISTERS : integer := 0;
-- Enable ECC status registers
C_ECC_ONOFF_REGISTER : integer := 0;
-- Enable ECC on/off control register
C_CE_COUNTER_WIDTH : integer := 0
-- Selects CE counter width/threshold to assert ECC_Interrupt
);
port (
-- AXI Interface Signals
-- AXI Clock and Reset
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
ECC_Interrupt : out std_logic := '0';
ECC_UE : out std_logic := '0';
-- *** AXI Write Address Channel Signals (AW) ***
AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
AXI_AWVALID : in std_logic;
AXI_AWREADY : out std_logic;
-- Unused AW AXI-Lite Signals
-- AXI_AWID : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0);
-- AXI_AWLEN : in std_logic_vector(7 downto 0);
-- AXI_AWSIZE : in std_logic_vector(2 downto 0);
-- AXI_AWBURST : in std_logic_vector(1 downto 0);
-- AXI_AWLOCK : in std_logic; -- Currently unused
-- AXI_AWCACHE : in std_logic_vector(3 downto 0); -- Currently unused
-- AXI_AWPROT : in std_logic_vector(2 downto 0); -- Currently unused
-- *** AXI Write Data Channel Signals (W) ***
AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
AXI_WSTRB : in std_logic_vector(C_S_AXI_DATA_WIDTH/8-1 downto 0);
AXI_WVALID : in std_logic;
AXI_WREADY : out std_logic;
-- Unused W AXI-Lite Signals
-- AXI_WLAST : in std_logic;
-- *** AXI Write Data Response Channel Signals (B) ***
AXI_BRESP : out std_logic_vector(1 downto 0);
AXI_BVALID : out std_logic;
AXI_BREADY : in std_logic;
-- Unused B AXI-Lite Signals
-- AXI_BID : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0);
-- *** AXI Read Address Channel Signals (AR) ***
AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
AXI_ARVALID : in std_logic;
AXI_ARREADY : out std_logic;
-- *** AXI Read Data Channel Signals (R) ***
AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
AXI_RRESP : out std_logic_vector(1 downto 0);
AXI_RLAST : out std_logic;
AXI_RVALID : out std_logic;
AXI_RREADY : in std_logic;
-- *** AXI-Lite ECC Register Interface Signals ***
-- AXI-Lite Clock and Reset
-- Note: AXI-Lite Control IF and AXI IF share the same clock.
-- S_AXI_CTRL_AClk : in std_logic;
-- S_AXI_CTRL_AResetn : in std_logic;
-- AXI-Lite Write Address Channel Signals (AW)
AXI_CTRL_AWVALID : in std_logic;
AXI_CTRL_AWREADY : out std_logic;
AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0);
-- AXI-Lite Write Data Channel Signals (W)
AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0);
AXI_CTRL_WVALID : in std_logic;
AXI_CTRL_WREADY : out std_logic;
-- AXI-Lite Write Data Response Channel Signals (B)
AXI_CTRL_BRESP : out std_logic_vector(1 downto 0);
AXI_CTRL_BVALID : out std_logic;
AXI_CTRL_BREADY : in std_logic;
-- AXI-Lite Read Address Channel Signals (AR)
AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0);
AXI_CTRL_ARVALID : in std_logic;
AXI_CTRL_ARREADY : out std_logic;
-- AXI-Lite Read Data Channel Signals (R)
AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0);
AXI_CTRL_RRESP : out std_logic_vector(1 downto 0);
AXI_CTRL_RVALID : out std_logic;
AXI_CTRL_RREADY : in std_logic;
-- *** BRAM Port A Interface Signals ***
-- Note: Clock handled at top level (axi_bram_ctrl module)
BRAM_En_A : out std_logic;
BRAM_WE_A : out std_logic_vector (C_S_AXI_DATA_WIDTH/8+(C_ECC_WIDTH+7)/8-1 downto 0);
BRAM_Addr_A : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0);
BRAM_WrData_A : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0); -- @ port level = 8-bits wide ECC
BRAM_RdData_A : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0); -- @ port level = 8-bits wide ECC
-- Note: Remove BRAM_RdData_A port (unused in dual port mode)
-- Platgen will keep port open on BRAM block
-- *** BRAM Port B Interface Signals ***
-- Note: Clock handled at top level (axi_bram_ctrl module)
BRAM_En_B : out std_logic;
BRAM_WE_B : out std_logic_vector (C_S_AXI_DATA_WIDTH/8+(C_ECC_WIDTH+7)/8-1 downto 0);
BRAM_Addr_B : out std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0);
BRAM_WrData_B : out std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0); -- @ port level = 8-bits wide ECC
BRAM_RdData_B : in std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) -- @ port level = 8-bits wide ECC
);
end entity axi_lite;
-------------------------------------------------------------------------------
architecture implementation of axi_lite is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- All functions defined in axi_bram_ctrl_funcs package.
-------------------------------------------------------------------------------
-- Constants
-------------------------------------------------------------------------------
constant C_RESET_ACTIVE : std_logic := '0';
constant RESP_OKAY : std_logic_vector (1 downto 0) := "00"; -- Normal access OK response
constant RESP_SLVERR : std_logic_vector (1 downto 0) := "10"; -- Slave error
-- For future implementation.
-- constant RESP_EXOKAY : std_logic_vector (1 downto 0) := "01"; -- Exclusive access OK response
-- constant RESP_DECERR : std_logic_vector (1 downto 0) := "11"; -- Decode error
-- Modify C_BRAM_ADDR_SIZE to be adjusted for BRAM data width
-- When BRAM data width = 32 bits, BRAM_Addr (1:0) = "00"
-- When BRAM data width = 64 bits, BRAM_Addr (2:0) = "000"
-- When BRAM data width = 128 bits, BRAM_Addr (3:0) = "0000"
-- When BRAM data width = 256 bits, BRAM_Addr (4:0) = "00000"
constant C_BRAM_ADDR_ADJUST_FACTOR : integer := log2 (C_S_AXI_DATA_WIDTH/8);
constant C_BRAM_ADDR_ADJUST : integer := C_S_AXI_ADDR_WIDTH - C_BRAM_ADDR_ADJUST_FACTOR;
constant C_AXI_DATA_WIDTH_BYTES : integer := C_S_AXI_DATA_WIDTH/8;
-- Internal data width based on C_S_AXI_DATA_WIDTH.
constant C_INT_ECC_WIDTH : integer := Int_ECC_Size (C_S_AXI_DATA_WIDTH);
-- constant C_USE_LUT6 : boolean := Family_To_LUT_Size (String_To_Family (C_FAMILY,false)) = 6;
-- Remove usage of C_FAMILY.
-- All architectures supporting AXI will support a LUT6.
-- Hard code this internal constant used in ECC algorithm.
-- constant C_USE_LUT6 : boolean := Family_To_LUT_Size (String_To_Family (C_FAMILY,false)) = 6;
constant C_USE_LUT6 : boolean := TRUE;
-------------------------------------------------------------------------------
-- Signals
-------------------------------------------------------------------------------
signal axi_aresetn_d1 : std_logic := '0';
signal axi_aresetn_re : std_logic := '0';
-------------------------------------------------------------------------------
-- AXI Write & Read Address Channel Signals
-------------------------------------------------------------------------------
-- State machine type declarations
type LITE_SM_TYPE is ( IDLE,
SNG_WR_DATA,
RD_DATA,
RMW_RD_DATA,
RMW_MOD_DATA,
RMW_WR_DATA
);
signal lite_sm_cs, lite_sm_ns : LITE_SM_TYPE;
signal axi_arready_cmb : std_logic := '0';
signal axi_arready_reg : std_logic := '0';
signal axi_arready_int : std_logic := '0';
-------------------------------------------------------------------------------
-- AXI Write Data Channel Signals
-------------------------------------------------------------------------------
signal axi_wready_cmb : std_logic := '0';
signal axi_wready_int : std_logic := '0';
-------------------------------------------------------------------------------
-- AXI Write Response Channel Signals
-------------------------------------------------------------------------------
signal axi_bresp_int : std_logic_vector (1 downto 0) := (others => '0');
signal axi_bvalid_int : std_logic := '0';
signal bvalid_cnt_inc : std_logic := '0';
signal bvalid_cnt_inc_d1 : std_logic := '0';
signal bvalid_cnt_dec : std_logic := '0';
signal bvalid_cnt : std_logic_vector (2 downto 0) := (others => '0');
-------------------------------------------------------------------------------
-- AXI Read Data Channel Signals
-------------------------------------------------------------------------------
signal axi_rresp_int : std_logic_vector (1 downto 0) := (others => '0');
signal axi_rvalid_set : std_logic := '0';
signal axi_rvalid_set_r : std_logic := '0';
signal axi_rvalid_int : std_logic := '0';
signal axi_rlast_set : std_logic := '0';
signal axi_rlast_set_r : std_logic := '0';
signal axi_rlast_int : std_logic := '0';
signal axi_rdata_int : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
signal axi_rdata_int_corr : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
-------------------------------------------------------------------------------
-- Internal BRAM Signals
-------------------------------------------------------------------------------
signal bram_we_a_int : std_logic_vector (C_S_AXI_DATA_WIDTH/8+(C_ECC_WIDTH+7)/8-1 downto 0) := (others => '0');
signal bram_en_a_cmb : std_logic := '0';
signal bram_en_b_cmb : std_logic := '0';
signal bram_en_a_int : std_logic := '0';
signal bram_en_b_int : std_logic := '0';
signal bram_addr_a_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR)
:= (others => '0');
signal bram_addr_a_int_q : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR)
:= (others => '0');
signal bram_addr_b_int : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR)
:= (others => '0');
signal BRAM_Addr_A_i : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
signal BRAM_Addr_B_i : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
signal bram_wrdata_a_int : std_logic_vector (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0) := (others => '0'); -- Port level signal, 8-bits ECC
-------------------------------------------------------------------------------
-- Internal ECC Signals
-------------------------------------------------------------------------------
signal FaultInjectClr : std_logic := '0'; -- Clear for Fault Inject Registers
signal CE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers
signal UE_Failing_We : std_logic := '0'; -- WE for CE Failing Registers
signal CE_CounterReg_Inc : std_logic := '0'; -- Increment CE Counter Register
signal Sl_CE : std_logic := '0'; -- Correctable Error Flag
signal Sl_UE : std_logic := '0'; -- Uncorrectable Error Flag
signal Sl_CE_i : std_logic := '0';
signal Sl_UE_i : std_logic := '0';
signal FaultInjectData : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
signal FaultInjectECC : std_logic_vector (C_INT_ECC_WIDTH-1 downto 0) := (others => '0'); -- Specific to BRAM data width
signal CorrectedRdData : std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1) := (others => '0');
signal UnCorrectedRdData : std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1) := (others => '0');
signal CE_Q : std_logic := '0';
signal UE_Q : std_logic := '0';
signal Enable_ECC : std_logic := '0';
signal RdModifyWr_Read : std_logic := '0'; -- Read cycle in read modify write sequence
signal RdModifyWr_Check : std_logic := '0'; -- Read cycle in read modify write sequence
signal RdModifyWr_Modify : std_logic := '0'; -- Modify cycle in read modify write sequence
signal RdModifyWr_Write : std_logic := '0'; -- Write cycle in read modify write sequence
signal WrData : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
signal WrData_cmb : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
signal Active_Wr : std_logic := '0';
signal BRAM_Addr_En : std_logic := '0';
signal Syndrome : std_logic_vector(0 to C_INT_ECC_WIDTH-1); -- Specific to BRAM data width
signal Syndrome_4 : std_logic_vector (0 to 1) := (others => '0'); -- Specific to 32-bit ECC
signal Syndrome_6 : std_logic_vector (0 to 5) := (others => '0'); -- Specific to 32-bit ECC
signal syndrome_reg : std_logic_vector(0 to C_INT_ECC_WIDTH-1); -- Specific to BRAM data width
signal syndrome_4_reg : std_logic_vector (0 to 1) := (others => '0'); -- Specific for 32-bit ECC
signal syndrome_6_reg : std_logic_vector (0 to 5) := (others => '0'); -- Specific for 32-bit ECC
signal syndrome_reg_i : std_logic_vector(0 to C_INT_ECC_WIDTH-1) := (others => '0'); -- Specific to BRAM data width
-------------------------------------------------------------------------------
-- Architecture Body
-------------------------------------------------------------------------------
begin
---------------------------------------------------------------------------
-- *** AXI-Lite ECC Register Output Signals ***
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- Generate: GEN_NO_REGS
-- Purpose: Generate default values if ECC registers are disabled (or when
-- ECC is disabled).
-- Include both AXI-Lite default signal values & internal
-- core signal values.
---------------------------------------------------------------------------
-- For future implementation.
-- GEN_NO_REGS: if (C_ECC = 1 and C_ENABLE_AXI_CTRL_REG_IF = 0) or (C_ECC = 0) generate
GEN_NO_REGS: if (C_ECC = 0) generate
begin
AXI_CTRL_AWREADY <= '0';
AXI_CTRL_WREADY <= '0';
AXI_CTRL_BRESP <= (others => '0');
AXI_CTRL_BVALID <= '0';
AXI_CTRL_ARREADY <= '0';
AXI_CTRL_RDATA <= (others => '0');
AXI_CTRL_RRESP <= (others => '0');
AXI_CTRL_RVALID <= '0';
-- No fault injection
FaultInjectData <= (others => '0');
FaultInjectECC <= (others => '0');
-- Interrupt only enabled when ECC status/interrupt registers enabled
ECC_Interrupt <= '0';
ECC_UE <= '0';
BRAM_Addr_En <= '0';
-----------------------------------------------------------------------
-- Generate: GEN_DIS_ECC
-- Purpose: Disable ECC in read path when ECC is disabled in core.
-----------------------------------------------------------------------
GEN_DIS_ECC: if C_ECC = 0 generate
Enable_ECC <= '0';
end generate GEN_DIS_ECC;
-- For future implementation.
--
-- -----------------------------------------------------------------------
-- -- Generate: GEN_EN_ECC
-- -- Purpose: Enable ECC when C_ECC = 1 and no ECC registers are available.
-- -- ECC on/off control register is not accessible (so ECC is always
-- -- enabled in this configuraiton).
-- -----------------------------------------------------------------------
-- GEN_EN_ECC: if (C_ECC = 1 and C_ENABLE_AXI_CTRL_REG_IF = 0) generate
-- Enable_ECC <= '1'; -- ECC ON/OFF register can not be enabled (as no ECC
-- -- ECC registers are available. Therefore, ECC
-- -- is always enabled.
-- end generate GEN_EN_ECC;
end generate GEN_NO_REGS;
---------------------------------------------------------------------------
-- Generate: GEN_REGS
-- Purpose: Generate ECC register module when ECC is enabled and
-- ECC registers are enabled.
---------------------------------------------------------------------------
-- For future implementation.
-- GEN_REGS: if (C_ECC = 1 and C_ENABLE_AXI_CTRL_REG_IF = 1) generate
GEN_REGS: if (C_ECC = 1) generate
begin
---------------------------------------------------------------------------
-- Instance: I_LITE_ECC_REG
-- Description: This module is for the AXI-Lite ECC registers.
--
-- Responsible for all AXI-Lite communication to the
-- ECC register bank. Provides user interface signals
-- to rest of AXI BRAM controller IP core for ECC functionality
-- and control.
-- Manages AXI-Lite write address (AW) and read address (AR),
-- write data (W), write response (B), and read data (R) channels.
---------------------------------------------------------------------------
I_LITE_ECC_REG : entity work.lite_ecc_reg
generic map (
C_S_AXI_PROTOCOL => C_S_AXI_PROTOCOL ,
C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH ,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH ,
C_SINGLE_PORT_BRAM => C_SINGLE_PORT_BRAM ,
C_S_AXI_CTRL_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH ,
C_S_AXI_CTRL_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH ,
C_ECC_WIDTH => C_INT_ECC_WIDTH , -- ECC width specific to data width
C_FAULT_INJECT => C_FAULT_INJECT ,
C_CE_FAILING_REGISTERS => C_CE_FAILING_REGISTERS ,
C_UE_FAILING_REGISTERS => C_UE_FAILING_REGISTERS ,
C_ECC_STATUS_REGISTERS => C_ECC_STATUS_REGISTERS ,
C_ECC_ONOFF_REGISTER => C_ECC_ONOFF_REGISTER ,
C_ECC_ONOFF_RESET_VALUE => C_ECC_ONOFF_RESET_VALUE ,
C_CE_COUNTER_WIDTH => C_CE_COUNTER_WIDTH
)
port map (
S_AXI_AClk => S_AXI_AClk , -- AXI clock
S_AXI_AResetn => S_AXI_AResetn ,
-- Note: AXI-Lite Control IF and AXI IF share the same clock.
-- S_AXI_CTRL_AClk => S_AXI_CTRL_AClk , -- AXI-Lite clock
-- S_AXI_CTRL_AResetn => S_AXI_CTRL_AResetn ,
Interrupt => ECC_Interrupt ,
ECC_UE => ECC_UE ,
AXI_CTRL_AWVALID => AXI_CTRL_AWVALID ,
AXI_CTRL_AWREADY => AXI_CTRL_AWREADY ,
AXI_CTRL_AWADDR => AXI_CTRL_AWADDR ,
AXI_CTRL_WDATA => AXI_CTRL_WDATA ,
AXI_CTRL_WVALID => AXI_CTRL_WVALID ,
AXI_CTRL_WREADY => AXI_CTRL_WREADY ,
AXI_CTRL_BRESP => AXI_CTRL_BRESP ,
AXI_CTRL_BVALID => AXI_CTRL_BVALID ,
AXI_CTRL_BREADY => AXI_CTRL_BREADY ,
AXI_CTRL_ARADDR => AXI_CTRL_ARADDR ,
AXI_CTRL_ARVALID => AXI_CTRL_ARVALID ,
AXI_CTRL_ARREADY => AXI_CTRL_ARREADY ,
AXI_CTRL_RDATA => AXI_CTRL_RDATA ,
AXI_CTRL_RRESP => AXI_CTRL_RRESP ,
AXI_CTRL_RVALID => AXI_CTRL_RVALID ,
AXI_CTRL_RREADY => AXI_CTRL_RREADY ,
Enable_ECC => Enable_ECC ,
FaultInjectClr => FaultInjectClr ,
CE_Failing_We => CE_Failing_We ,
CE_CounterReg_Inc => CE_Failing_We ,
Sl_CE => Sl_CE ,
Sl_UE => Sl_UE ,
BRAM_Addr_A => BRAM_Addr_A_i (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) , -- v1.03a
BRAM_Addr_B => BRAM_Addr_B_i (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) , -- v1.03a
BRAM_Addr_En => BRAM_Addr_En ,
Active_Wr => Active_Wr ,
FaultInjectData => FaultInjectData ,
FaultInjectECC => FaultInjectECC
);
FaultInjectClr <= '1' when (bvalid_cnt_inc_d1 = '1') else '0';
CE_Failing_We <= '1' when Enable_ECC = '1' and CE_Q = '1' else '0';
Active_Wr <= '1' when (RdModifyWr_Read = '1' or RdModifyWr_Check = '1' or RdModifyWr_Modify = '1' or RdModifyWr_Write = '1') else '0';
-----------------------------------------------------------------------
-- Add register delay on BVALID counter increment
-- Used to clear fault inject register.
REG_BVALID_CNT: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1') then
if (S_AXI_AResetn = C_RESET_ACTIVE) then
bvalid_cnt_inc_d1 <= '0';
else
bvalid_cnt_inc_d1 <= bvalid_cnt_inc;
end if;
end if;
end process REG_BVALID_CNT;
-----------------------------------------------------------------------
end generate GEN_REGS;
---------------------------------------------------------------------------
-- *** AXI Output Signals ***
---------------------------------------------------------------------------
-- AXI Write Address Channel Output Signals
-- AXI_AWREADY <= axi_awready_cmb;
-- AXI_AWREADY <= '0' when (S_AXI_AResetn = '0') else axi_awready_cmb; -- v1.03a
AXI_AWREADY <= axi_wready_int; -- v1.03a
-- AXI Write Data Channel Output Signals
-- AXI_WREADY <= axi_wready_cmb;
-- AXI_WREADY <= '0' when (S_AXI_AResetn = '0') else axi_wready_cmb; -- v1.03a
AXI_WREADY <= axi_wready_int; -- v1.03a
-- AXI Write Response Channel Output Signals
AXI_BRESP <= axi_bresp_int;
AXI_BVALID <= axi_bvalid_int;
-- AXI Read Address Channel Output Signals
-- AXI_ARREADY <= axi_arready_cmb; -- v1.03a
AXI_ARREADY <= axi_arready_int; -- v1.03a
-- AXI Read Data Channel Output Signals
-- AXI_RRESP <= axi_rresp_int;
AXI_RRESP <= RESP_SLVERR when (C_ECC = 1 and Sl_UE_i = '1') else axi_rresp_int;
-- AXI_RDATA <= axi_rdata_int;
-- Move assignment of RDATA to generate statements based on C_ECC.
AXI_RVALID <= axi_rvalid_int;
AXI_RLAST <= axi_rlast_int;
----------------------------------------------------------------------------
-- Need to detect end of reset cycle to assert AWREADY on AXI bus
REG_ARESETN: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1') then
axi_aresetn_d1 <= S_AXI_AResetn;
end if;
end process REG_ARESETN;
-- Create combinatorial RE detect of S_AXI_AResetn
axi_aresetn_re <= '1' when (S_AXI_AResetn = '1' and axi_aresetn_d1 = '0') else '0';
----------------------------------------------------------------------------
---------------------------------------------------------------------------
-- *** AXI Write Address Channel Interface ***
---------------------------------------------------------------------------
-- Notes:
-- No address pipelining for AXI-Lite.
-- PDR feedback.
-- Remove address register stage to BRAM.
-- Rely on registers in AXI Interconnect.
---------------------------------------------------------------------------
-- Generate: GEN_ADDR
-- Purpose: Generate all valid bits in the address(es) to BRAM.
-- If dual port, generate Port B address signal.
---------------------------------------------------------------------------
GEN_ADDR: for i in C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR generate
begin
---------------------------------------------------------------------------
-- Generate: GEN_ADDR_SNG_PORT
-- Purpose: Generate BRAM address when a single port to BRAM.
-- Mux read and write addresses from AXI AW and AR channels.
---------------------------------------------------------------------------
GEN_ADDR_SNG_PORT: if (C_SINGLE_PORT_BRAM = 1) generate
begin
-- Read takes priority over AWADDR
-- bram_addr_a_int (i) <= AXI_ARADDR (i) when (AXI_ARVALID = '1') else AXI_AWADDR (i);
-- ISE should optimize away this mux when connected to the AXI Interconnect
-- as the AXI Interconnect duplicates the write or read address on both channels.
-- v1.03a
-- ARVALID may get asserted while handling ECC read-modify-write.
-- With the delay in assertion of AWREADY/WREADY, must add some logic to the
-- control on this mux select.
bram_addr_a_int (i) <= AXI_ARADDR (i) when ((AXI_ARVALID = '1' and
(lite_sm_cs = IDLE or lite_sm_cs = SNG_WR_DATA)) or
(lite_sm_cs = RD_DATA))
else AXI_AWADDR (i);
end generate GEN_ADDR_SNG_PORT;
---------------------------------------------------------------------------
-- Generate: GEN_ADDR_DUAL_PORT
-- Purpose: Generate BRAM address when a single port to BRAM.
-- Mux read and write addresses from AXI AW and AR channels.
---------------------------------------------------------------------------
GEN_ADDR_DUAL_PORT: if (C_SINGLE_PORT_BRAM = 0) generate
begin
bram_addr_a_int (i) <= AXI_AWADDR (i);
bram_addr_b_int (i) <= AXI_ARADDR (i);
end generate GEN_ADDR_DUAL_PORT;
end generate GEN_ADDR;
---------------------------------------------------------------------------
-- *** AXI Read Address Channel Interface ***
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- Generate: GEN_ARREADY
-- Purpose: Only pre-assert ARREADY for non ECC designs.
-- With ECC, a write requires a read-modify-write and
-- will miss the address associated with the ARVALID
-- (due to the # of clock cycles).
---------------------------------------------------------------------------
GEN_ARREADY: if (C_ECC = 0) generate
begin
REG_ARREADY: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1') then
-- ARREADY is asserted until we detect the ARVALID.
-- Check for back-to-back ARREADY assertions (add axi_arready_int).
if (S_AXI_AResetn = C_RESET_ACTIVE) or
(AXI_ARVALID = '1' and axi_arready_int = '1') then
axi_arready_int <= '0';
-- Then ARREADY is asserted again when the read operation completes.
elsif (axi_aresetn_re = '1') or
(axi_rlast_int = '1' and AXI_RREADY = '1') then
axi_arready_int <= '1';
else
axi_arready_int <= axi_arready_int;
end if;
end if;
end process REG_ARREADY;
end generate GEN_ARREADY;
---------------------------------------------------------------------------
-- Generate: GEN_ARREADY_ECC
-- Purpose: Generate ARREADY from SM logic. ARREADY is not pre-asserted
-- as in the non ECC configuration.
---------------------------------------------------------------------------
GEN_ARREADY_ECC: if (C_ECC = 1) generate
begin
axi_arready_int <= axi_arready_reg;
end generate GEN_ARREADY_ECC;
---------------------------------------------------------------------------
-- *** AXI Write Data Channel Interface ***
---------------------------------------------------------------------------
-- No AXI_WLAST
---------------------------------------------------------------------------
-- Generate: GEN_WRDATA
-- Purpose: Generate BRAM port A write data. For AXI-Lite, pass
-- through from AXI bus. If ECC is enabled, merge with fault
-- inject vector.
-- Write data bits are in lower order bit lanes.
-- (31:0) or (63:0)
---------------------------------------------------------------------------
GEN_WRDATA: for i in C_S_AXI_DATA_WIDTH-1 downto 0 generate
begin
---------------------------------------------------------------------------
-- Generate: GEN_NO_ECC
-- Purpose: Generate output write data when ECC is disabled.
-- Remove write data path register to BRAM
---------------------------------------------------------------------------
GEN_NO_ECC : if C_ECC = 0 generate
begin
bram_wrdata_a_int (i) <= AXI_WDATA (i);
end generate GEN_NO_ECC;
---------------------------------------------------------------------------
-- Generate: GEN_W_ECC
-- Purpose: Generate output write data when ECC is enable
-- (use fault vector).
-- (N:0)
---------------------------------------------------------------------------
GEN_W_ECC : if C_ECC = 1 generate
begin
bram_wrdata_a_int (i) <= WrData (i) xor FaultInjectData (i);
end generate GEN_W_ECC;
end generate GEN_WRDATA;
---------------------------------------------------------------------------
-- *** AXI Write Response Channel Interface ***
---------------------------------------------------------------------------
-- No BID support (wrap around in Interconnect)
-- In AXI-Lite, no WLAST assertion
-- Drive constant value out on BRESP
-- axi_bresp_int <= RESP_OKAY;
axi_bresp_int <= RESP_SLVERR when (C_ECC = 1 and UE_Q = '1') else RESP_OKAY;
---------------------------------------------------------------------------
-- Implement BVALID with counter regardless of IP configuration.
--
-- BVALID counter to track the # of required BVALID/BREADY handshakes
-- needed to occur on the AXI interface. Based on early and seperate
-- AWVALID/AWREADY and WVALID/WREADY handshake exchanges.
REG_BVALID_CNT: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (S_AXI_AResetn = C_RESET_ACTIVE) then
bvalid_cnt <= (others => '0');
-- Ensure we only increment counter wyhen BREADY is not asserted
elsif (bvalid_cnt_inc = '1') and (bvalid_cnt_dec = '0') then
bvalid_cnt <= std_logic_vector (unsigned (bvalid_cnt (2 downto 0)) + 1);
-- Ensure that we only decrement when SM is not incrementing
elsif (bvalid_cnt_dec = '1') and (bvalid_cnt_inc = '0') then
bvalid_cnt <= std_logic_vector (unsigned (bvalid_cnt (2 downto 0)) - 1);
else
bvalid_cnt <= bvalid_cnt;
end if;
end if;
end process REG_BVALID_CNT;
bvalid_cnt_dec <= '1' when (AXI_BREADY = '1' and axi_bvalid_int = '1' and bvalid_cnt /= "000") else '0';
-- Replace BVALID output register
-- Assert BVALID as long as BVALID counter /= zero
REG_BVALID: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (S_AXI_AResetn = C_RESET_ACTIVE) or
(bvalid_cnt = "001" and bvalid_cnt_dec = '1') then
axi_bvalid_int <= '0';
elsif (bvalid_cnt /= "000") then
axi_bvalid_int <= '1';
else
axi_bvalid_int <= '0';
end if;
end if;
end process REG_BVALID;
---------------------------------------------------------------------------
-- *** AXI Read Data Channel Interface ***
---------------------------------------------------------------------------
-- For reductions on AXI-Lite, drive constant value on RESP
axi_rresp_int <= RESP_OKAY;
---------------------------------------------------------------------------
-- Generate: GEN_R
-- Purpose: Generate AXI R channel outputs when ECC is disabled.
-- No register delay on AXI_RVALID and AXI_RLAST.
---------------------------------------------------------------------------
GEN_R: if C_ECC = 0 generate
begin
---------------------------------------------------------------------------
-- AXI_RVALID Output Register
--
-- Set AXI_RVALID when read data SM indicates.
-- Clear when AXI_RLAST is asserted on AXI bus during handshaking sequence
-- and recognized by AXI requesting master.
---------------------------------------------------------------------------
REG_RVALID: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (S_AXI_AResetn = C_RESET_ACTIVE) or
(axi_rlast_int = '1' and AXI_RREADY = '1') then
-- Code coverage is hitting this condition and axi_rvalid_int is ALWAYS = '1'
-- May be able to remove from this if clause (and simplify logic)
axi_rvalid_int <= '0';
elsif (axi_rvalid_set = '1') then
axi_rvalid_int <= '1';
else
axi_rvalid_int <= axi_rvalid_int;
end if;
end if;
end process REG_RVALID;
---------------------------------------------------------------------------
-- AXI_RLAST Output Register
--
-- Set AXI_RLAST when read data SM indicates.
-- Clear when AXI_RLAST is asserted on AXI bus during handshaking sequence
-- and recognized by AXI requesting master.
---------------------------------------------------------------------------
REG_RLAST: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (S_AXI_AResetn = C_RESET_ACTIVE) or
(axi_rlast_int = '1' and AXI_RREADY = '1') then
-- Code coverage is hitting this condition and axi_rvalid_int is ALWAYS = '1'
-- May be able to remove from this if clause (and simplify logic)
axi_rlast_int <= '0';
elsif (axi_rlast_set = '1') then
axi_rlast_int <= '1';
else
axi_rlast_int <= axi_rlast_int;
end if;
end if;
end process REG_RLAST;
end generate GEN_R;
---------------------------------------------------------------------------
-- Generate: GEN_R_ECC
-- Purpose: Generate AXI R channel outputs when ECC is enabled.
-- Must use registered delayed control signals for RLAST
-- and RVALID to align with register inclusion for corrected
-- read data in ECC logic.
---------------------------------------------------------------------------
GEN_R_ECC: if C_ECC = 1 generate
begin
---------------------------------------------------------------------------
-- AXI_RVALID Output Register
--
-- Set AXI_RVALID when read data SM indicates.
-- Clear when AXI_RLAST is asserted on AXI bus during handshaking sequence
-- and recognized by AXI requesting master.
---------------------------------------------------------------------------
REG_RVALID: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (S_AXI_AResetn = C_RESET_ACTIVE) or
(axi_rlast_int = '1' and AXI_RREADY = '1') then
-- Code coverage is hitting this condition and axi_rvalid_int is ALWAYS = '1'
-- May be able to remove from this if clause (and simplify logic)
axi_rvalid_int <= '0';
elsif (axi_rvalid_set_r = '1') then
axi_rvalid_int <= '1';
else
axi_rvalid_int <= axi_rvalid_int;
end if;
end if;
end process REG_RVALID;
---------------------------------------------------------------------------
-- AXI_RLAST Output Register
--
-- Set AXI_RLAST when read data SM indicates.
-- Clear when AXI_RLAST is asserted on AXI bus during handshaking sequence
-- and recognized by AXI requesting master.
---------------------------------------------------------------------------
REG_RLAST: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (S_AXI_AResetn = C_RESET_ACTIVE) or
(axi_rlast_int = '1' and AXI_RREADY = '1') then
-- Code coverage is hitting this condition and axi_rvalid_int is ALWAYS = '1'
-- May be able to remove from this if clause (and simplify logic)
axi_rlast_int <= '0';
elsif (axi_rlast_set_r = '1') then
axi_rlast_int <= '1';
else
axi_rlast_int <= axi_rlast_int;
end if;
end if;
end process REG_RLAST;
end generate GEN_R_ECC;
---------------------------------------------------------------------------
--
-- Generate AXI bus read data. No register. Pass through
-- read data from BRAM. Determine source on single port
-- vs. dual port configuration.
--
---------------------------------------------------------------------------
-----------------------------------------------------------------------
-- Generate: RDATA_NO_ECC
-- Purpose: Define port A/B from BRAM on AXI_RDATA when ECC disabled.
-----------------------------------------------------------------------
RDATA_NO_ECC: if (C_ECC = 0) generate
begin
AXI_RDATA <= axi_rdata_int;
-----------------------------------------------------------------------
-- Generate: GEN_RDATA_SNG_PORT
-- Purpose: Source of read data: Port A in single port configuration.
-----------------------------------------------------------------------
GEN_RDATA_SNG_PORT: if (C_SINGLE_PORT_BRAM = 1) generate
begin
axi_rdata_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= BRAM_RdData_A(C_S_AXI_DATA_WIDTH-1 downto 0);
end generate GEN_RDATA_SNG_PORT;
-----------------------------------------------------------------------
-- Generate: GEN_RDATA_DUAL_PORT
-- Purpose: Source of read data: Port B in dual port configuration.
-----------------------------------------------------------------------
GEN_RDATA_DUAL_PORT: if (C_SINGLE_PORT_BRAM = 0) generate
begin
axi_rdata_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= BRAM_RdData_B (C_S_AXI_DATA_WIDTH-1 downto 0);
end generate GEN_RDATA_DUAL_PORT;
end generate RDATA_NO_ECC;
-----------------------------------------------------------------------
-- Generate: RDATA_W_ECC
-- Purpose: Connect AXI_RDATA from ECC module when ECC enabled.
-----------------------------------------------------------------------
RDATA_W_ECC: if (C_ECC = 1) generate
subtype syndrome_bits is std_logic_vector (0 to 6);
type correct_data_table_type is array (natural range 0 to 31) of syndrome_bits;
constant correct_data_table : correct_data_table_type := (
0 => "1100001", 1 => "1010001", 2 => "0110001", 3 => "1110001",
4 => "1001001", 5 => "0101001", 6 => "1101001", 7 => "0011001",
8 => "1011001", 9 => "0111001", 10 => "1111001", 11 => "1000101",
12 => "0100101", 13 => "1100101", 14 => "0010101", 15 => "1010101",
16 => "0110101", 17 => "1110101", 18 => "0001101", 19 => "1001101",
20 => "0101101", 21 => "1101101", 22 => "0011101", 23 => "1011101",
24 => "0111101", 25 => "1111101", 26 => "1000011", 27 => "0100011",
28 => "1100011", 29 => "0010011", 30 => "1010011", 31 => "0110011"
);
begin
-- Logic common to either type of ECC encoding/decoding
-- Renove bit reversal on AXI_RDATA output.
AXI_RDATA <= axi_rdata_int when (Enable_ECC = '0' or Sl_UE_i = '1') else axi_rdata_int_corr;
CorrectedRdData (0 to C_S_AXI_DATA_WIDTH-1) <= axi_rdata_int_corr (C_S_AXI_DATA_WIDTH-1 downto 0);
-- Remove GEN_RDATA that was doing bit reversal.
-- Read back data is registered prior to any single bit error correction.
REG_RDATA: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (S_AXI_AResetn = C_RESET_ACTIVE) then
axi_rdata_int <= (others => '0');
else
axi_rdata_int (C_S_AXI_DATA_WIDTH-1 downto 0) <= UnCorrectedRdData (0 to C_S_AXI_DATA_WIDTH-1);
end if;
end if;
end process REG_RDATA;
---------------------------------------------------------------------------
-- Generate: RDATA_W_HAMMING
-- Purpose: Add generate statement for Hamming Code ECC algorithm
-- specific logic.
---------------------------------------------------------------------------
RDATA_W_HAMMING: if C_ECC_TYPE = 0 generate
begin
-- Move correct_one_bit logic to output side of AXI_RDATA output register.
-- Improves timing by balancing logic on both sides of pipeline stage.
-- Utilizing registers in AXI interconnect makes this feasible.
---------------------------------------------------------------------------
-- Register ECC syndrome value to correct any single bit errors
-- post-register on AXI read data.
REG_SYNDROME: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
syndrome_reg <= Syndrome;
syndrome_4_reg <= Syndrome_4;
syndrome_6_reg <= Syndrome_6;
end if;
end process REG_SYNDROME;
---------------------------------------------------------------------------
-- Do last XOR on select syndrome bits outside of checkbit_handler (to match rd_chnl
-- w/ balanced pipeline stage) before correct_one_bit module.
syndrome_reg_i (0 to 3) <= syndrome_reg (0 to 3);
PARITY_CHK4: entity work.parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 2)
port map (
InA => syndrome_4_reg (0 to 1), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_reg_i (4) ); -- [out std_logic]
syndrome_reg_i (5) <= syndrome_reg (5);
PARITY_CHK6: entity work.parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => syndrome_6_reg (0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_reg_i (6) ); -- [out std_logic]
---------------------------------------------------------------------------
-- Generate: GEN_CORR_32
-- Purpose: Generate corrected read data based on syndrome value.
-- All vectors oriented (0:N)
---------------------------------------------------------------------------
GEN_CORR_32: for i in 0 to C_S_AXI_DATA_WIDTH-1 generate
begin
---------------------------------------------------------------------------
-- Instance: CORR_ONE_BIT_32
-- Description: Generate ECC bits for checking data read from BRAM.
---------------------------------------------------------------------------
CORR_ONE_BIT_32: entity work.correct_one_bit
generic map (
C_USE_LUT6 => C_USE_LUT6,
Correct_Value => correct_data_table (i))
port map (
DIn => axi_rdata_int (i),
Syndrome => syndrome_reg_i,
DCorr => axi_rdata_int_corr (i));
end generate GEN_CORR_32;
end generate RDATA_W_HAMMING;
-- Hsiao ECC done in seperate generate statement (GEN_HSIAO_ECC)
end generate RDATA_W_ECC;
---------------------------------------------------------------------------
-- Main AXI-Lite State Machine
--
-- Description: Central processing unit for AXI-Lite write and read address
-- channel interface handling and handshaking.
-- Handles all arbitration between write and read channels
-- to utilize single port to BRAM
--
-- Outputs: axi_wready_int Registered
-- axi_arready_reg Registered (used in ECC configurations)
-- bvalid_cnt_inc Combinatorial
-- axi_rvalid_set Combinatorial
-- axi_rlast_set Combinatorial
-- bram_en_a_cmb Combinatorial
-- bram_en_b_cmb Combinatorial
-- bram_we_a_int Combinatorial
--
--
-- LITE_SM_CMB_PROCESS: Combinational process to determine next state.
-- LITE_SM_REG_PROCESS: Registered process of the state machine.
--
---------------------------------------------------------------------------
LITE_SM_CMB_PROCESS: process ( AXI_AWVALID,
AXI_WVALID,
AXI_WSTRB,
AXI_ARVALID,
AXI_RREADY,
bvalid_cnt,
axi_rvalid_int,
lite_sm_cs )
begin
-- assign default values for state machine outputs
lite_sm_ns <= lite_sm_cs;
axi_wready_cmb <= '0';
axi_arready_cmb <= '0';
bvalid_cnt_inc <= '0';
axi_rvalid_set <= '0';
axi_rlast_set <= '0';
bram_en_a_cmb <= '0';
bram_en_b_cmb <= '0';
bram_we_a_int <= (others => '0');
case lite_sm_cs is
---------------------------- IDLE State ---------------------------
when IDLE =>
-- AXI Interconnect will only issue AWVALID OR ARVALID
-- at a time. In the case when the core is attached
-- to another AXI master IP, arbitrate between read
-- and write operation. Read operation will always win.
if (AXI_ARVALID = '1') then
lite_sm_ns <= RD_DATA;
-- Initiate BRAM read transfer
-- For single port BRAM, use Port A
-- For dual port BRAM, use Port B
if (C_SINGLE_PORT_BRAM = 1) then
bram_en_a_cmb <= '1';
else
bram_en_b_cmb <= '1';
end if;
bram_we_a_int <= (others => '0');
-- RVALID to be asserted in next clock cycle
-- Only 1 clock cycle latency on reading data from BRAM
axi_rvalid_set <= '1';
-- Due to single data beat with AXI-Lite
-- Assert RLAST on AXI
axi_rlast_set <= '1';
-- Only in ECC configurations
-- Must assert ARREADY here (no pre-assertion)
if (C_ECC = 1) then
axi_arready_cmb <= '1';
end if;
-- Write operations are lower priority than reads
-- when an AXI master asserted both operations simultaneously.
elsif (AXI_AWVALID = '1') and (AXI_WVALID = '1') and
(bvalid_cnt /= "111") then
-- Initiate BRAM write transfer
bram_en_a_cmb <= '1';
-- Always perform a read-modify-write sequence with ECC is enabled.
if (C_ECC = 1) then
lite_sm_ns <= RMW_RD_DATA;
-- Disable Port A write enables
bram_we_a_int <= (others => '0');
else
-- Non ECC operation or an ECC full 32-bit word write
-- Assert acknowledge of data & address on AXI.
-- Wait to assert AWREADY and WREADY in ECC designs.
axi_wready_cmb <= '1';
-- Increment counter to track # of required BVALID responses.
bvalid_cnt_inc <= '1';
lite_sm_ns <= SNG_WR_DATA;
bram_we_a_int <= AXI_WSTRB;
end if;
end if;
------------------------- SNG_WR_DATA State -------------------------
when SNG_WR_DATA =>
-- With early assertion of ARREADY, the SM
-- must be able to accept a read address at any clock cycle.
-- Check here for active ARVALID and directly handle read
-- and do not proceed back to IDLE (no empty clock cycle in which
-- read address may be missed).
if (AXI_ARVALID = '1') and (C_ECC = 0) then
lite_sm_ns <= RD_DATA;
-- Initiate BRAM read transfer
-- For single port BRAM, use Port A
-- For dual port BRAM, use Port B
if (C_SINGLE_PORT_BRAM = 1) then
bram_en_a_cmb <= '1';
else
bram_en_b_cmb <= '1';
end if;
bram_we_a_int <= (others => '0');
-- RVALID to be asserted in next clock cycle
-- Only 1 clock cycle latency on reading data from BRAM
axi_rvalid_set <= '1';
-- Due to single data beat with AXI-Lite
-- Assert RLAST on AXI
axi_rlast_set <= '1';
-- Only in ECC configurations
-- Must assert ARREADY here (no pre-assertion)
-- Pre-assertion of ARREADY is only for non ECC configurations.
if (C_ECC = 1) then
axi_arready_cmb <= '1';
end if;
else
lite_sm_ns <= IDLE;
end if;
---------------------------- RD_DATA State ---------------------------
when RD_DATA =>
-- Data is presented to AXI bus
-- Wait for acknowledgment to process any next transfers
-- RVALID may not be asserted as we transition into this state.
if (AXI_RREADY = '1') and (axi_rvalid_int = '1') then
lite_sm_ns <= IDLE;
end if;
------------------------- RMW_RD_DATA State -------------------------
when RMW_RD_DATA =>
lite_sm_ns <= RMW_MOD_DATA;
------------------------- RMW_MOD_DATA State -------------------------
when RMW_MOD_DATA =>
lite_sm_ns <= RMW_WR_DATA;
-- Hold off on assertion of WREADY and AWREADY until
-- here, so no pipeline registers necessary.
-- Assert acknowledge of data & address on AXI
axi_wready_cmb <= '1';
-- Increment counter to track # of required BVALID responses.
-- Able to assert this signal early, then BVALID counter
-- will get incremented in the next clock cycle when WREADY
-- is asserted.
bvalid_cnt_inc <= '1';
------------------------- RMW_WR_DATA State -------------------------
when RMW_WR_DATA =>
-- Initiate BRAM write transfer
bram_en_a_cmb <= '1';
-- Enable all WEs to BRAM
bram_we_a_int <= (others => '1');
-- Complete write operation
lite_sm_ns <= IDLE;
--coverage off
------------------------------ Default ----------------------------
when others =>
lite_sm_ns <= IDLE;
--coverage on
end case;
end process LITE_SM_CMB_PROCESS;
---------------------------------------------------------------------------
LITE_SM_REG_PROCESS: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (S_AXI_AResetn = C_RESET_ACTIVE) then
lite_sm_cs <= IDLE;
axi_wready_int <= '0';
axi_arready_reg <= '0';
axi_rvalid_set_r <= '0';
axi_rlast_set_r <= '0';
else
lite_sm_cs <= lite_sm_ns;
axi_wready_int <= axi_wready_cmb;
axi_arready_reg <= axi_arready_cmb;
axi_rvalid_set_r <= axi_rvalid_set;
axi_rlast_set_r <= axi_rlast_set;
end if;
end if;
end process LITE_SM_REG_PROCESS;
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- *** ECC Logic ***
---------------------------------------------------------------------------
---------------------------------------------------------------------------
--
-- Generate: GEN_ECC
-- Purpose: Generate BRAM ECC write data and check ECC on read operations.
-- Create signals to update ECC registers (lite_ecc_reg module interface).
--
---------------------------------------------------------------------------
GEN_ECC: if C_ECC = 1 generate
constant null7 : std_logic_vector(0 to 6) := "0000000"; -- Specific to 32-bit data width (AXI-Lite)
signal WrECC : std_logic_vector (C_INT_ECC_WIDTH-1 downto 0); -- Specific to BRAM data width
signal WrECC_i : std_logic_vector (C_ECC_WIDTH-1 downto 0) := (others => '0');
signal wrdata_i : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0);
signal AXI_WDATA_Q : std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0);
signal AXI_WSTRB_Q : std_logic_vector ((C_S_AXI_DATA_WIDTH/8 - 1) downto 0);
signal bram_din_a_i : std_logic_vector (0 to C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1) := (others => '0'); -- Set for port data width
signal bram_rddata_in : std_logic_vector (C_S_AXI_DATA_WIDTH+C_INT_ECC_WIDTH-1 downto 0) := (others => '0');
subtype syndrome_bits is std_logic_vector (0 to 6);
type correct_data_table_type is array (natural range 0 to 31) of syndrome_bits;
constant correct_data_table : correct_data_table_type := (
0 => "1100001", 1 => "1010001", 2 => "0110001", 3 => "1110001",
4 => "1001001", 5 => "0101001", 6 => "1101001", 7 => "0011001",
8 => "1011001", 9 => "0111001", 10 => "1111001", 11 => "1000101",
12 => "0100101", 13 => "1100101", 14 => "0010101", 15 => "1010101",
16 => "0110101", 17 => "1110101", 18 => "0001101", 19 => "1001101",
20 => "0101101", 21 => "1101101", 22 => "0011101", 23 => "1011101",
24 => "0111101", 25 => "1111101", 26 => "1000011", 27 => "0100011",
28 => "1100011", 29 => "0010011", 30 => "1010011", 31 => "0110011"
);
type bool_array is array (natural range 0 to 6) of boolean;
constant inverted_bit : bool_array := (false,false,true,false,true,false,false);
begin
-- Read on Port A
-- or any operation on Port B (it will be read only).
BRAM_Addr_En <= '1' when (bram_en_a_int = '1' and bram_we_a_int = "00000") or
(bram_en_b_int = '1')
else '0';
-- BRAM_WE generated from SM
-- Remember byte write enables one clock cycle to properly mux bytes to write,
-- with read data in read/modify write operation
-- Write in Read/Write always 1 cycle after Read
REG_RMW_SIGS : process (S_AXI_AClk) is
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1') then
-- Add reset values
if (S_AXI_AResetn = C_RESET_ACTIVE) then
RdModifyWr_Check <= '0';
RdModifyWr_Modify <= '0';
RdModifyWr_Write <= '0';
else
RdModifyWr_Check <= RdModifyWr_Read;
RdModifyWr_Modify <= RdModifyWr_Check;
RdModifyWr_Write <= RdModifyWr_Modify;
end if;
end if;
end process REG_RMW_SIGS;
-- v1.03a
-- Delay assertion of WREADY to minimize registers in core.
-- Use SM transition to RMW "read" to assert this signal.
RdModifyWr_Read <= '1' when (lite_sm_ns = RMW_RD_DATA) else '0';
-- Remember write data one cycle to be available after read has been completed in a
-- read/modify write operation
STORE_WRITE_DBUS : process (S_AXI_AClk) is
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1') then
if (S_AXI_AResetn = C_RESET_ACTIVE) then
AXI_WDATA_Q <= (others => '0');
AXI_WSTRB_Q <= (others => '0');
-- v1.03a
-- With the delay assertion of WREADY, use WVALID
-- to register in WDATA and WSTRB signals.
elsif (AXI_WVALID = '1') then
AXI_WDATA_Q <= AXI_WDATA;
AXI_WSTRB_Q <= AXI_WSTRB;
end if;
end if;
end process STORE_WRITE_DBUS;
wrdata_i <= AXI_WDATA_Q when RdModifyWr_Modify = '1' else AXI_WDATA;
-- v1.03a
------------------------------------------------------------------------
-- Generate: GEN_WRDATA_CMB
-- Purpose: Replace manual signal assignment for WrData_cmb with
-- generate funtion.
--
-- Ensure correct byte swapping occurs with
-- CorrectedRdData (0 to C_S_AXI_DATA_WIDTH-1) assignment
-- to WrData_cmb (C_S_AXI_DATA_WIDTH-1 downto 0).
--
-- AXI_WSTRB_Q (C_S_AXI_DATA_WIDTH_BYTES-1 downto 0) matches
-- to WrData_cmb (C_S_AXI_DATA_WIDTH-1 downto 0).
--
------------------------------------------------------------------------
GEN_WRDATA_CMB: for i in C_AXI_DATA_WIDTH_BYTES-1 downto 0 generate
begin
WrData_cmb ( (((i+1)*8)-1) downto i*8 ) <= wrdata_i ((((i+1)*8)-1) downto i*8) when
(RdModifyWr_Modify = '1' and AXI_WSTRB_Q(i) = '1')
else CorrectedRdData ( (C_S_AXI_DATA_WIDTH - ((i+1)*8)) to
(C_S_AXI_DATA_WIDTH - (i*8) - 1) );
end generate GEN_WRDATA_CMB;
REG_WRDATA : process (S_AXI_AClk) is
begin
-- Remove reset value to minimize resources & improve timing
if (S_AXI_AClk'event and S_AXI_AClk = '1') then
WrData <= WrData_cmb;
end if;
end process REG_WRDATA;
------------------------------------------------------------------------
-- New assignment of ECC bits to BRAM write data outside generate
-- blocks. Same signal assignment regardless of ECC type.
bram_wrdata_a_int (C_S_AXI_DATA_WIDTH + C_ECC_WIDTH - 1) <= '0';
bram_wrdata_a_int ((C_S_AXI_DATA_WIDTH + C_INT_ECC_WIDTH - 1) downto C_S_AXI_DATA_WIDTH)
<= WrECC xor FaultInjectECC;
------------------------------------------------------------------------
-- No need to use RdModifyWr_Write in the data path.
-- v1.03a
------------------------------------------------------------------------
-- Generate: GEN_HAMMING_ECC
-- Purpose: Determine type of ECC encoding. Hsiao or Hamming.
-- Add parameter/generate level.
------------------------------------------------------------------------
GEN_HAMMING_ECC: if C_ECC_TYPE = 0 generate
begin
---------------------------------------------------------------------------
-- Instance: CHK_HANDLER_WR_32
-- Description: Generate ECC bits for writing into BRAM.
-- WrData (N:0)
---------------------------------------------------------------------------
CHK_HANDLER_WR_32: entity work.checkbit_handler
generic map (
C_ENCODE => true, -- [boolean]
C_USE_LUT6 => C_USE_LUT6) -- [boolean]
port map (
DataIn => WrData, -- [in std_logic_vector(0 to 31)]
CheckIn => null7, -- [in std_logic_vector(0 to 6)]
CheckOut => WrECC, -- [out std_logic_vector(0 to 6)]
Syndrome_4 => open, -- [out std_logic_vector(0 to 1)]
Syndrome_6 => open, -- [out std_logic_vector(0 to 5)]
Syndrome => open, -- [out std_logic_vector(0 to 6)]
Enable_ECC => '1', -- [in std_logic]
Syndrome_Chk => null7, -- [in std_logic_vector(0 to 6)]
UE_Q => '0', -- [in std_logic]
CE_Q => '0', -- [in std_logic]
UE => open, -- [out std_logic]
CE => open ); -- [out std_logic]
---------------------------------------------------------------------------
-- Instance: CHK_HANDLER_RD_32
-- Description: Generate ECC bits for checking data read from BRAM.
-- All vectors oriented (0:N)
---------------------------------------------------------------------------
CHK_HANDLER_RD_32: entity work.checkbit_handler
generic map (
C_ENCODE => false, -- [boolean]
C_USE_LUT6 => C_USE_LUT6) -- [boolean]
port map (
-- DataIn (8:39)
-- CheckIn (1:7)
-- Bit swapping done at port level on checkbit_handler (31:0) & (6:0)
DataIn => bram_din_a_i (C_INT_ECC_WIDTH+1 to C_INT_ECC_WIDTH+C_S_AXI_DATA_WIDTH), -- [in std_logic_vector(8 to 39)]
CheckIn => bram_din_a_i (1 to C_INT_ECC_WIDTH), -- [in std_logic_vector(1 to 7)]
CheckOut => open, -- [out std_logic_vector(0 to 6)]
Syndrome => Syndrome, -- [out std_logic_vector(0 to 6)]
Syndrome_4 => Syndrome_4, -- [out std_logic_vector(0 to 1)]
Syndrome_6 => Syndrome_6, -- [out std_logic_vector(0 to 5)]
Syndrome_Chk => syndrome_reg_i, -- [in std_logic_vector(0 to 6)]
Enable_ECC => Enable_ECC, -- [in std_logic]
UE_Q => UE_Q, -- [in std_logic]
CE_Q => CE_Q, -- [in std_logic]
UE => Sl_UE_i, -- [out std_logic]
CE => Sl_CE_i ); -- [out std_logic]
-- GEN_CORR_32 generate & correct_one_bit instantiation moved to generate
-- of AXI RDATA output register logic to use registered syndrome value.
end generate GEN_HAMMING_ECC;
-- v1.03a
------------------------------------------------------------------------
-- Generate: GEN_HSIAO_ECC
-- Purpose: Determine type of ECC encoding. Hsiao or Hamming.
-- Add parameter/generate level.
-- Derived from MIG v3.7 Hsiao HDL.
------------------------------------------------------------------------
GEN_HSIAO_ECC: if C_ECC_TYPE = 1 generate
constant CODE_WIDTH : integer := C_S_AXI_DATA_WIDTH + C_INT_ECC_WIDTH;
constant ECC_WIDTH : integer := C_INT_ECC_WIDTH;
type type_int0 is array (C_S_AXI_DATA_WIDTH - 1 downto 0) of std_logic_vector (ECC_WIDTH - 1 downto 0);
signal syndrome_ns : std_logic_vector(ECC_WIDTH - 1 downto 0);
signal syndrome_r : std_logic_vector(ECC_WIDTH - 1 downto 0);
signal ecc_rddata_r : std_logic_vector(C_S_AXI_DATA_WIDTH - 1 downto 0);
signal h_matrix : type_int0;
signal h_rows : std_logic_vector (CODE_WIDTH * ECC_WIDTH - 1 downto 0);
signal flip_bits : std_logic_vector(C_S_AXI_DATA_WIDTH - 1 downto 0);
begin
---------------------- Hsiao ECC Write Logic ----------------------
-- Instantiate ecc_gen module, generated from MIG
ECC_GEN_HSIAO: entity work.ecc_gen
generic map (
code_width => CODE_WIDTH,
ecc_width => ECC_WIDTH,
data_width => C_S_AXI_DATA_WIDTH
)
port map (
-- Output
h_rows => h_rows (CODE_WIDTH * ECC_WIDTH - 1 downto 0)
);
-- Merge muxed rd/write data to gen
HSIAO_ECC: process (h_rows, WrData)
constant DQ_WIDTH : integer := CODE_WIDTH;
variable ecc_wrdata_tmp : std_logic_vector(DQ_WIDTH-1 downto C_S_AXI_DATA_WIDTH);
begin
-- Loop to generate all ECC bits
for k in 0 to ECC_WIDTH - 1 loop
ecc_wrdata_tmp (CODE_WIDTH - k - 1) := REDUCTION_XOR ( (WrData (C_S_AXI_DATA_WIDTH - 1 downto 0)
and h_rows (k * CODE_WIDTH + C_S_AXI_DATA_WIDTH - 1 downto k * CODE_WIDTH)));
end loop;
WrECC (C_INT_ECC_WIDTH-1 downto 0) <= ecc_wrdata_tmp (DQ_WIDTH-1 downto C_S_AXI_DATA_WIDTH);
end process HSIAO_ECC;
---------------------- Hsiao ECC Read Logic -----------------------
GEN_RD_ECC: for m in 0 to ECC_WIDTH - 1 generate
begin
syndrome_ns (m) <= REDUCTION_XOR ( bram_rddata_in (CODE_WIDTH-1 downto 0)
and h_rows ((m*CODE_WIDTH)+CODE_WIDTH-1 downto (m*CODE_WIDTH)));
end generate GEN_RD_ECC;
-- Insert register stage for syndrome
REG_SYNDROME: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
syndrome_r <= syndrome_ns;
-- Replicate BRAM read back data register for Hamming ECC
ecc_rddata_r <= bram_rddata_in (C_S_AXI_DATA_WIDTH-1 downto 0);
end if;
end process REG_SYNDROME;
-- Reconstruct H-matrix
H_COL: for n in 0 to C_S_AXI_DATA_WIDTH - 1 generate
begin
H_BIT: for p in 0 to ECC_WIDTH - 1 generate
begin
h_matrix (n)(p) <= h_rows (p * CODE_WIDTH + n);
end generate H_BIT;
end generate H_COL;
GEN_FLIP_BIT: for r in 0 to C_S_AXI_DATA_WIDTH - 1 generate
begin
flip_bits (r) <= BOOLEAN_TO_STD_LOGIC (h_matrix (r) = syndrome_r);
end generate GEN_FLIP_BIT;
axi_rdata_int_corr (C_S_AXI_DATA_WIDTH-1 downto 0) <= ecc_rddata_r (C_S_AXI_DATA_WIDTH-1 downto 0) xor
flip_bits (C_S_AXI_DATA_WIDTH-1 downto 0);
Sl_CE_i <= not (REDUCTION_NOR (syndrome_r (ECC_WIDTH-1 downto 0))) and (REDUCTION_XOR (syndrome_r (ECC_WIDTH-1 downto 0)));
Sl_UE_i <= not (REDUCTION_NOR (syndrome_r (ECC_WIDTH-1 downto 0))) and not (REDUCTION_XOR (syndrome_r (ECC_WIDTH-1 downto 0)));
end generate GEN_HSIAO_ECC;
-- Capture correctable/uncorrectable error from BRAM read.
-- Either during RMW of write operation or during BRAM read.
CORR_REG: process(S_AXI_AClk) is
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1') then
if RdModifyWr_Modify = '1' or
((Enable_ECC = '1') and
(axi_rvalid_int = '1' and AXI_RREADY = '1')) then -- Capture error signals
CE_Q <= Sl_CE_i;
UE_Q <= Sl_UE_i;
else
CE_Q <= '0';
UE_Q <= '0';
end if;
end if;
end process CORR_REG;
-- Register CE and UE flags to register block.
Sl_CE <= CE_Q;
Sl_UE <= UE_Q;
---------------------------------------------------------------------------
-- Generate: GEN_DIN_A
-- Purpose: Generate BRAM read data vector assignment to always be from Port A
-- in a single port BRAM configuration.
-- Map BRAM_RdData_A (N:0) to bram_din_a_i (0:N)
-- Including read back ECC bits.
---------------------------------------------------------------------------
GEN_DIN_A: if C_SINGLE_PORT_BRAM = 1 generate
begin
---------------------------------------------------------------------------
-- Generate: GEN_DIN_A_HAMMING
-- Purpose: Standard input for Hamming ECC code generation.
-- MSB '0' is removed in port mapping to checkbit_handler module.
---------------------------------------------------------------------------
GEN_DIN_A_HAMMING: if C_ECC_TYPE = 1 generate
begin
bram_din_a_i (0 to C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1) <= BRAM_RdData_A (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0);
end generate GEN_DIN_A_HAMMING;
---------------------------------------------------------------------------
-- Generate: GEN_DIN_A_HSIAO
-- Purpose: For Hsiao ECC implementation configurations.
-- Remove MSB '0' on 32-bit implementation with fixed
-- '0' in (8-bit wide) ECC data bits (only need 7-bits in h-matrix).
---------------------------------------------------------------------------
GEN_DIN_A_HSIAO: if C_ECC_TYPE = 1 generate
begin
bram_rddata_in <= BRAM_RdData_A (C_S_AXI_DATA_WIDTH+C_INT_ECC_WIDTH-1 downto 0);
end generate GEN_DIN_A_HSIAO;
end generate GEN_DIN_A;
---------------------------------------------------------------------------
-- Generate: GEN_DIN_B
-- Purpose: Generate BRAM read data vector assignment in a dual port
-- configuration to be either from Port B, or from Port A in a
-- read-modify-write sequence.
-- Map BRAM_RdData_A/B (N:0) to bram_din_a_i (0:N)
-- Including read back ECC bits.
---------------------------------------------------------------------------
GEN_DIN_B: if C_SINGLE_PORT_BRAM = 0 generate
begin
---------------------------------------------------------------------------
-- Generate: GEN_DIN_B_HAMMING
-- Purpose: Standard input for Hamming ECC code generation.
-- MSB '0' is removed in port mapping to checkbit_handler module.
---------------------------------------------------------------------------
GEN_DIN_B_HAMMING: if C_ECC_TYPE = 1 generate
begin
bram_din_a_i (0 to C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1) <= BRAM_RdData_A (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0)
when (RdModifyWr_Check = '1')
else BRAM_RdData_B (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1 downto 0);
end generate GEN_DIN_B_HAMMING;
---------------------------------------------------------------------------
-- Generate: GEN_DIN_B_HSIAO
-- Purpose: For Hsiao ECC implementation configurations.
-- Remove MSB '0' on 32-bit implementation with fixed
-- '0' in (8-bit wide) ECC data bits (only need 7-bits in h-matrix).
---------------------------------------------------------------------------
GEN_DIN_B_HSIAO: if C_ECC_TYPE = 1 generate
begin
bram_rddata_in <= BRAM_RdData_A (C_S_AXI_DATA_WIDTH+C_INT_ECC_WIDTH-1 downto 0)
when (RdModifyWr_Check = '1')
else BRAM_RdData_B (C_S_AXI_DATA_WIDTH+C_INT_ECC_WIDTH-1 downto 0);
end generate GEN_DIN_B_HSIAO;
end generate GEN_DIN_B;
-- Map data vector from BRAM to use in correct_one_bit module with
-- register syndrome (post AXI RDATA register).
UnCorrectedRdData (0 to C_S_AXI_DATA_WIDTH-1) <= bram_din_a_i (C_ECC_WIDTH to C_ECC_WIDTH+C_S_AXI_DATA_WIDTH-1);
end generate GEN_ECC;
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- *** BRAM Interface Signals ***
---------------------------------------------------------------------------
-- With AXI-LITE no narrow operations are allowed.
-- AXI_WSTRB is ignored and all byte lanes are written.
bram_en_a_int <= bram_en_a_cmb;
-- BRAM_En_A <= bram_en_a_int;
-- DV regression failure with reset
-- 7/7/11
BRAM_En_A <= '0' when (S_AXI_AResetn = C_RESET_ACTIVE) else bram_en_a_int;
-----------------------------------------------------------------------
-- Generate: GEN_BRAM_EN_DUAL_PORT
-- Purpose: Only generate Port B BRAM enable signal when
-- configured for dual port BRAM.
-----------------------------------------------------------------------
GEN_BRAM_EN_DUAL_PORT: if (C_SINGLE_PORT_BRAM = 0) generate
begin
bram_en_b_int <= bram_en_b_cmb;
BRAM_En_B <= bram_en_b_int;
end generate GEN_BRAM_EN_DUAL_PORT;
-----------------------------------------------------------------------
-- Generate: GEN_BRAM_EN_SNG_PORT
-- Purpose: Drive default for unused BRAM Port B in single
-- port BRAM configuration.
-----------------------------------------------------------------------
GEN_BRAM_EN_SNG_PORT: if (C_SINGLE_PORT_BRAM = 1) generate
begin
BRAM_En_B <= '0';
end generate GEN_BRAM_EN_SNG_PORT;
---------------------------------------------------------------------------
-- Generate: GEN_BRAM_WE
-- Purpose: BRAM WE generate process
-- One WE per 8-bits of BRAM data.
---------------------------------------------------------------------------
GEN_BRAM_WE: for i in (C_S_AXI_DATA_WIDTH+C_ECC_WIDTH)/8-1 downto 0 generate
begin
BRAM_WE_A (i) <= bram_we_a_int (i);
end generate GEN_BRAM_WE;
---------------------------------------------------------------------------
BRAM_Addr_A <= BRAM_Addr_A_i;
BRAM_Addr_B <= BRAM_Addr_B_i;
---------------------------------------------------------------------------
-- Generate: GEN_L_BRAM_ADDR
-- Purpose: Generate zeros on lower order address bits adjustable
-- based on BRAM data width.
---------------------------------------------------------------------------
GEN_L_BRAM_ADDR: for i in C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0 generate
begin
BRAM_Addr_A_i (i) <= '0';
BRAM_Addr_B_i (i) <= '0';
end generate GEN_L_BRAM_ADDR;
---------------------------------------------------------------------------
-- Generate: GEN_BRAM_ADDR
-- Purpose: Assign BRAM address output from address counter.
---------------------------------------------------------------------------
GEN_U_BRAM_ADDR: for i in C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR generate
begin
BRAM_Addr_A_i (i) <= bram_addr_a_int (i);
-----------------------------------------------------------------------
-- Generate: GEN_BRAM_ADDR_DUAL_PORT
-- Purpose: Only generate Port B BRAM address when
-- configured for dual port BRAM.
-----------------------------------------------------------------------
GEN_BRAM_ADDR_DUAL_PORT: if (C_SINGLE_PORT_BRAM = 0) generate
begin
BRAM_Addr_B_i (i) <= bram_addr_b_int (i);
end generate GEN_BRAM_ADDR_DUAL_PORT;
-----------------------------------------------------------------------
-- Generate: GEN_BRAM_ADDR_SNG_PORT
-- Purpose: Drive default for unused BRAM Port B in single
-- port BRAM configuration.
-----------------------------------------------------------------------
GEN_BRAM_ADDR_SNG_PORT: if (C_SINGLE_PORT_BRAM = 1) generate
begin
BRAM_Addr_B_i (i) <= '0';
end generate GEN_BRAM_ADDR_SNG_PORT;
end generate GEN_U_BRAM_ADDR;
---------------------------------------------------------------------------
-- Generate: GEN_BRAM_WRDATA
-- Purpose: Generate BRAM Write Data for Port A.
---------------------------------------------------------------------------
-- When C_ECC = 0, C_ECC_WIDTH = 0 (at top level HDL)
GEN_BRAM_WRDATA: for i in (C_S_AXI_DATA_WIDTH + C_ECC_WIDTH - 1) downto 0 generate
begin
BRAM_WrData_A (i) <= bram_wrdata_a_int (i);
end generate GEN_BRAM_WRDATA;
BRAM_WrData_B <= (others => '0');
BRAM_WE_B <= (others => '0');
---------------------------------------------------------------------------
end architecture implementation;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Ethertype is
port( data_in : in std_logic_vector(7 downto 0);
enable : in std_logic;
reset : in std_logic;
clk : in std_logic;
ethertype : out std_logic_vector(15 downto 0)
);
end Ethertype;
architecture Behavioral of Ethertype is
signal address_counter : std_logic_vector(10 downto 0) := (others=>'0');
begin
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
address_counter <= (others=>'0');
elsif enable = '1' then
address_counter <= address_counter+1;
end if;
end if;
end process;
process (clk)
begin
if rising_edge(clk) then
if reset = '1' then
ethertype <= (others=>'0');
elsif address_counter = 13 then
ethertype(15 downto 8) <= data_in;
elsif address_counter = 14 then
ethertype(7 downto 0) <= data_in;
end if;
end if;
end process;
end Behavioral; |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EIJPO9OSDMvMNdOLRjwQaF6UWoBQGuoL9zzQDGu35ZPwlaCEsuX2/bXZpi1PYJWx1fIV4fCHJ2uv
SGI9TaOoYQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jR96W/xy6IU1CwVZ4OWs9uQHbt8MxEY6OnhSFsNtb0hYTN1DbC1Q7k1rAopY5R85kliEBsNMYuT4
cKz3DR/nTb0Q1MQjXvFgtNYTIJn+x3l/oYgzda29/A8PpsBi6sz8KIglPS1mIVYa6RurRv4LkYKw
EaTHjYSLD9yqzkfqJaQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l+dRl/KQgn5YC8NdqXiuF3uROWLYUXnJ8JxZFU5L4rAPmX7kzGUXJZnRPvSDiahmvJuv8ANZs5gh
xs5LoEmDF0CFompV5QwULgbR2Q6qtwhrEPfg6MLWV0rRtc667uYFE9KTsFf9JZKKO4/H6DzzAdIP
WLVbf01tBroj4IeWcXlkzK/313rQETBKihcoZIo95c6hdiOI/cthsmWnNjsjRy0+PSU4464xZnC5
TEcE7sJSPGR/fWSbLVlBZxn3OEvlbOzvjiNR8+/H97sx/ei8Vj94gc3yWS1QgQO+AcvptL0n+FEy
JyLr8oQ6zAVfPaFj40vg/JebO/peHp+yKYPY5w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KHbON44TPSwtGlB38csZ+aUEMwCA8EA+f07XdNfbRNzHCWdzgmAoOb7uBfu7KxgTm9Dt8IjH0z68
A8EQUItPb1xEcce3WQRQmtBL+94WCLdFalg3R9madXc+OvDU9lJ30/cmMgJzC7ZqYcKNxsY+MltP
9DTs2k9PQ9HK8xPytpE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wBWhADcN+GmDp1YCpVhIm6ehHfqFBS6YvXzYJFLy6Hbtd4ICJ88jM6iQIHo3AmpIauawmkob48i5
njLAuUbhiO3pjbjswXm9m5ULq7P4Zl16GePbc8+NzBZSqwO0mIMB8wKnwW++E2Rn+Nns6sn6MC2x
zonzzsSzqRzajp9fUDbbOq2tS/NGomoy1+X36PLd7Cy5AliI6CDkRHdS0IOLAwKKtEXzMUbjOg7H
Dtr1NedDgP/xgl72/c9xLklOb+LA3hVkJJO16GJEccChdA/9ulSyPIsSQmXX2bub6jXFEifZQ/8t
ihBzhm2r0HZ75QWpj/gbGRQxM/9gTCkKkqLwzg==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WEJsl//nwwFukI7AawNtPva4Okhp5BPPbpvcOrHU2WhmmE+kpe4aQOMO547NxOMlZwGZ/nioOZpi
LrmS2pTou7semtJjuwLmE1hUNq1JnXEjxFJO4V4nyJ54enCYSCvNZDfgVzETNMWgvh00LJlZjybK
m78e6vo4JdsWwhR2Egwd030HGF+WhpCBmJqVrWwK5tEGZIr/dG0JtSC4lyLT4TI0WhfArNiIuILg
4hItSA/a2fFSiFfuPJXYSodzb/CpnIKOqjTcK004JEGCZJcglHRpZxK5ieOzXEV5LQE3Ouc6ACbl
rwBw6NkW9ODG4U4PpNFnPhbwmmQLP3dpSXp4+A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65248)
`protect data_block
bMfPX2R1tFs2k3nA+qlwsKoJziUZOwPs6DjXY9eXJxQc0uYM+CDAUpiUG6V+TMR2PH6ijTgCb6B7
pMdc5/pDtb2YVPqF9LhiTeT7x8zKqx0AuDMtUiYsaO9reuGcYhltSdOUxhRM5Oa7MwfI24R38I//
xvI73Y3knWzqvIm5U/S6lmzGiJZ6Jayeft38z0veeVMMJ63DPaqQtRaAqtYndrlmC6GSEc+hpdWc
2LC+hPSyC9dNUhKrbD5rxhyPaV5j8Todz1U/YupkRZ/4sxll4oDltMJjulXYkQS4qlLD5Y74KeI/
ABPQ1HgNly4+UW+GGXYxhFKF0JjzhFjoodO6TPOtOreYPGM6S+20QB0QlEAgzkYVXBO0ut/p50mt
Soy1FdD+oWDUvuSo0ldL8nqzLHHF8ZWo51wy5fPFh/gCbikqdhNqEOz+q0zjRqujbTUVoo2zgpBu
fZdR/eNYvw5ZLSUermVZY4myZxExq6hJh1rTTD+v8qT3vGXvwSiBgHbzEodsJNmfbptg1iMHSMf4
5AVJsOVrAlzpV9ZzmB4d64+JXONVZSg+Mc6jkSQRreA9NE9fraY29GpEvB9vIJoMXt7M5kPdkYIC
g3kMJA8Qd4vk9h98brUi3YS8mKx5ppPHxBCp6MatCsGORfc6tNYCHs7pOHq/ONSWkXEuDf6pLBd2
jgo//BwPE2WNOA7lrRQSKDJzOe2Iz7bfi5I4t4sOAluvG3zf7NRKvATgEFJGds4W1xJux7RQV+j5
kiLdcaKvSv1euLeJU7kpYaXDgMXVOLLRb9G8pHU/W6W2tnxMq8liGloYiHoHT18Fuy4AVM/nPh7g
+Z7dKIzBlU/w5SF+nzonQdFoQ6PFPuCm05sp9gxWNBQe4voN3YJPkSiAwKGxgJ8F2dWQWx6Io+b+
G8Q8hw7TIqDDwQYDFfYMTrbMuIc4V+zZFgmhRz9Wr+U+K536IDEcgd3dIQekZqxaG93XZdlK7Ubm
wlYMFlaLtk4YZ26f3Uw9Sn/o8tNQCOz1DC1fIA1ei7WgdjluHUTNUdKAXF3VXLQlZBcESr8EIeXq
7MzmtRoC8bqlAcXD5/px7KHtk+F+aU0DlM7yJUxKMKMXJBu7gooEIiLUx9tvU5NEP8dDPV9y13qA
Uz4LhTBFu6psVylxPp6B0ZdYEl8XJiBjInjIN+NGRl5rdkSGss7daIp2gybxPtRJorNvsGcMrtWx
Y2+T4Wymb9qlOAJR4DcWIIP0XqJCyAr+rNxxo+gZSfDlf/d7SfggUEAPA9ErxIRdnm4tRBBOdokr
3YyY5dGZeI3BPKflcu2PQ7BmfUOAY1tvB+2EDGCtnFsYi08Jm/2ZDlkjPlNxVml7MCtzPgn4qs7W
3mAuklTGH0AFQV+sD0f7pSAzX64xLlNk0tJRAwesIN4qzdK7SZIuPcSDBc3JUrPQ0myuXeGwoUC0
jjK2Sw2TR9bz/LaJMuvzeOtePSaLA69mw0bYI8UmqKe5ccbAiHt6dHhHog44yNv+BdP8HLpA98VA
BYzlDdYSL0LUlKXIij46M3uVTRdjCjXQZbOE+FFsIMl3Y5lLOvJdY1yBrQvKH3vlggMRtV0kor1A
nxZM9JzIYYp1y356Y6dFQMTnxbd643HeiIbbryF2DV61BQCimLAvxm8gDPSpInBy9um/CvCAONwe
fJetvjoTXBqedbkhw8g7PvmeZTttuQtFGI0CSmRZOcEkCNJcrtFSblgv7DG3zSUE9BO9vglORrcK
vNa6Zh86ssFR+tpT6p3J4C1t3uT/m9/CzGSdk8sZLcWH23KFRx/FHzmM0ZhHrWy4xPu3IOn0bbvG
b5uIPFMidWrto3kmtc4QvJsCvL9NYDRE/Pe2ss2W66H7VLSKqH8EtUWt9pUDNC09MPHkLxwdSODF
zjgwJpeaT1sZ6VTY1Tcb9YXq4xCl8MINYYyLgfJbhQln3z1Np12cAsFKvB+9mremDgzhbB2EkFxE
okW5fT/lFGwlVTY2E/es9WOw8wYrg7I5xFF8rQ1UicizFHZTrhSWYsRN6lKy8nhaw2emO2BOxnDz
olnwmFv5rb1gVHrDRRudJLO+IL0u4thKQSct2uDlupFz0JlGUsPPP3fZkiGAfMfeJy7J1G4jFpzY
BhAq0o211wlBF3cx9tM52o1XEkq8WjoMlbytpNAqjShJkfCKkAz6G3w4GVb49MPxWvIUgJM+Tar2
8yfCwXuCnin/ttlO+ydXnBrEv1EHka/Gl8ef3RPexlSFHmblncbdMirNikab+1S0Neb9fOOAqmUC
ec0U7VcwfL53PjH8UP2Eig2SgiLvUTno+i+MFg5SyjPUeuA8Qitze5k6PHJkXz5Pxu5hvStnrHwF
MjUpTpcOtkPT/0f/24H0Z1HF6sAppaykgRG6ZsbRXQ2041MAz4DFpp7axClWQe0SoCr7l6SgpOkk
t6vsHmO0lZAGTCMC8rNxo2eYwhT+9pbTRckdv9n/wsWtd5wSK4XrL/1McYSIZiWhLESACchVMmz4
dt1CBaYxkY6ISscLfGJUyJ6YFJee43nikI3MkEjhfDSiSiCtsvvp5t2Jyk22KVcP6bIGOXORtbYr
3NSLeHSZhZIogfUoaHEF4IfaWwLNFIUxzwUWyeQzZjSyCY921ssOKv5507Lod9CgiRVX5QCvwnOI
+Dg9GBzcQAWwRaWncBtAWtiztVWj2QjTADDrWhxUf+4kcpDfXWgTii0NKu+bX9TD9gPAwRH8W939
YS/McWr8rrpm5aJxx6J1tONIQ4NUAeOpGWZCi+lyvT7Pt9iV97Bs79mDEfn646KURgMukaADTxz3
nxpvpYt9WtFrXRgff6jL/sNEDSCgTBJzcqcuJCK152kdSZk86PozDboTZGheOfxR7jr3znxGVPNp
YzE4uubi1ENOYQOLVCx+TJjtUh3hA+T8iDJSvlmE8Nlqn7H7IrGnidyyriwVETRRTJKwtcGBZ8zu
3ywaDVk/EroSbExUk3JJyNcyO9ZQdw6GJUlycn8ynIjfN5TiOCrGMjpUPhlXlaBBUHU4MHwHFjlk
0AYsVc9VXDl0nkGnIDdD741rs/0w0Slbngey4F4coj44NvQay3qydaipA+SW8vL/b0AmItcjGGge
m42N3OUldtvQAXEy7KVKKbmN0QoJSK6S3qDd7U2YZtINxL/GHuWDQ9z8+f3evgaNU85iUb2FYVLq
1YA8XhEUepC8k8UKQVhbqandv2aMUloTbhsc+L9mZjStK4VQtaQ+ALdy3uHaSmlXyd4lh07mC8L8
I2SWPicAaUARfq6d4xSj4HE8HjyI5Kya/H+dUjkmzpHqzYHBjZXuKOnXtV6lSIuGk6vtwFvrCZF/
IjZkhwIcjUlgLzmyKxsvoqcRMctkBvcWNs6zm1hmSUPFytGo86Re1g483QwGCwfaCC8rHUgjKCfS
FCs/IkDqHXRBu8SVrbyvOUp/ye3NIKRjcFsV6B+gm/Tq2OtSsfGoXxJmJisMjwqNniIeEe6uss7S
nG3ulwK1n20FeoTqhs15wcElIlF1oIk/3eVJcL5aWJaZpQeh0Ld1BVr3a9FXz1sNmba0FWdDiyth
RRtqwSz3kMPgv1OAuRmkmpZpmIgHbbt5POI4+7L1kCNkTcBFdxwWny8KBLssZA7nuwD+XGIa4Vxc
AlawJS0kE/K5jIBoT551iF/HP5TQdXO28ErEWVTWFAUOfo9BfROl1CZ2SDW0G4aGqodrkh8te5fo
zNKjJNlCgR7OUwccQfaj1AbjxmLgtLQyok48nXQY6cPkiuZB9OvnW38bG6NSayVzJEeQhQO36pe9
kJm+Mwjz3fBjpudbffbJPdeBeh60WixOW6uawcfwSOm8tbP5gbgRmr6m/J8EuGyps+SxArdLBGuV
2BiMpwYRlrBBbwICM+i3GmCvxynj2usU1EQjA0uwfxEdeALtFsEkct4xkCxBBP1fX25UephbI3LZ
cP1IUGWEIbjWcEvFcJEBp59ddTuHWQbhbjJ4bth9fYuLrH81HN1RUjTZzoscBH6Y3hqbOsNG956b
r098iY0+vkftkKxeY/Cwg60ORC7uYupCy5meUMM1XKvbEOZB8gjgQmKCHndXF2HU/Xrom3dNeKaY
v/cpCRQi9AKegqscxwxsPKNg9OBugwmbjD90XVB61V9o+H96J3Cao7+AVG82egOh5i3TmRuxP/QK
UjDaF5LyP0Hjv6+wp1qAKSghUa0pvIxZc+J7/i1QnMaWq5bYYjdUP/ZT//VHYqOHJW/1gH4AdaxL
hd0ojcGxGqx1e89UX3/VgAy0g9Bo0b8FdVlofjJT0r41C39eOAecL528AgakP87lQWTnUAbg9T8b
SGEAeI/npZgdP9q7ZpvFlAzdvA6UsnzmBTZ++GDE1Msm8MEyAORgpuJYrv1dhPdtufqGVKp492sY
arcmeC5Mx4TzN3WcuQINL/VNLjlxUlv8JiMBuafJSb7Vp5L8k6YNa37BFC8y7UVXNade4b7zbHAS
5aTGiercV3gRBV83suee8RZ3tyu1Zdtvdw/j4M3byfpKExWSPbPnidwmn7ms0hagOUZ8+AFMbJsc
LVxaqFz1PHHyRm2kcUK+wTL/ySWfiSmmI80IeMRK7Cku5F1MJrl/ZfL6uw3TBeh8HPLkrfdYx5m/
YHForQFzn8ulI4NDhDJJ34z5Wjiy7storWYQcRopPp0D2TrXvRww6+sC4X2IkkVLa2Qw5fIOLFvf
hCHd85S5yks0qwCyZODBahxq4mlzKX6le9W67nKzQQbBeXWD6wiItSpgdyMiGDJCiVvbhAlk0phU
yJb9sj40zc1GgLidG5lpQMgjGXUVBDEmPseSLhr7JhokPB0kTgYSjOP6W28oyaVaGqarYOAElb/T
E2QGUQRxYDNgbFAiOvcUYTzKFnTTyUaEZLvmzVoQObvA7OUsbvVaMCMH8XdU0IEd/8V1tBAmg8zS
TEmKQbEz7DBwa06mGfZ/s+mVIxTfcWhM6Ggnxo5GiYNHmDcqeBmYLWDVM/U+7m9GYZJjpYZ1jyRy
rBK0D8jC2ytwCGBHU/dgR9MTpUuDxZudw24HuZnSQNQiVW/wWN0FpX4RPCAxuO97gB6D4m63eHlr
CTVMeb8wWzMsReF0O9m+JWT13nVsNjsPCnuJVb2Ojdkv5plRyvAZLxcXczax2xF5Kh/Eanjr7bSF
COt2wz70XDfVDRf7M1s3vPdmA24hKbZUkMH/D6vAXnXZhpAVrGHoDxbpR/WV6MYgWjT6z0z8PeOh
tMhR7m3o7g82bzzHYjqvYUxiuCJOfs8xjvKzbs3sQghr3pnk+mhCQUrbDoUhMIRy5qRbdi49Rnbx
yhIQYs8qAINT7MGmbiQxGPxPp23gSwCcm+fk4ReKEtaNv6claWYyt/rr2D3gYiV3mVqI+LSPm93q
m/yNP85ndmKy405Tbr6aYQHYLUYn5c+W52gnL2XUMj8kH9F69yY7XCtlv6Csd3BBPq3Uy+1ut+Wc
apdmkNP6VkHkWVBE8kVejWiWtweItwNVpcu+jIqeFF2smirBbA4VSmDckSlQ17mcuhDgFunKkvFN
6NKHRpbKWPglRfJGc4ft1v979QykG2q4cQRZxeBcvzDYR4QM4LsxE08ZRMTp6EmHhVYFKoHUQtWW
cmur57hYu4WquBy468dIBxAwvw/Ookdtc4V/E94OKb7tJmiYRLGgsBIXNJ2r1DhAEogjEeAQLM2R
tCOVJfnJ1i9rIiiVUVRpfUnAvs8opKDGo38YavMMA702FmITfilH4vByLJ4Zox6ob2bMQJynVGI2
/GGRejRNU0XNPY+bXCfn7ij2K4NjjYEjAe42BPkYoWyiKOwWoUi9cAbxjr8idJVjZ95agRS+76pI
+ZyiEfcUjV3h3yRkDrn1veTt8AzyUIyl3Gqb7QK8XHao8CS90HH6hL0T98g7fKmlH/InNUybiJpw
BEpWjoEPBQ4WoYQiPFDqDbnERrdaiwiu01ojRMeY3UaEsEodQZAGk9ppHFoAJnGQbyIX3/wsFnU5
PpO/M1omFbdB/DWR+SjV1R93QAkZGgvlco95o26gSteWQ4BzSOqr0RxSCxSrEIfH55Jw2o3OPw7O
uIDu4mbOTUviIutktHlBAHjk3FKafaQjkQ+3/r3oQAvkLsY4EDxigOFlbr/5gvBOc7EqFCVhkltI
ZMlFi2v5ikhDoUFRXZfMHdm/3fFg6xFZFyKOSTo/yFJ6y/1KP8/MLjPzajejHSyqMIbNZdJpSh2O
uZBGxi3dXNDVvjJ/hyC/DWOgsovokCZPhM+V4mEG1x6XpiqnfsldlMkFX75VAwW7+Em40U1eku1c
UREDwXzWo7JHE5dQDXVEJNHNVNMD9dgrsZypRDwCLhqcKFzCsyt0pFh4Di5uqALeimOEKITogdQA
C3G34UsPUKsDPIkxGQHoasN+3W3GRad9xcr738E3wy+FTf8rb0XVM1iLK2YsB4estsWsmykk9cNn
oILQG3Z1+3xhrdc9OZF85s65uykbsLzf6epeadBAQqhqT5DJ4JPd/LbXEvHNUlbi9+w+xJhlB5R7
fxDXXL6wD/jaSAI1YavGMPVEjCD13GDp9TPT8y8RI12icLvkuGSeNAVNj+3ehDkEARQ4rp03evJj
9FBBPx/W2WwE8NZiv6jxaG7xUO6dvFzFrV3jlm1H2ZxCSB43h2C9rDwNUzatSsRatdiYSrLpy3Xb
UK5ybaXaBsQploGFA3i2i09i4BxZZcvm70ATizIHsAWzFTn1h3Jd6CuTX02GWHcpMMlRqapRKU8j
60nXEcHIP4Tt73w/pDxCSEpsKwRRq0MQwueo+1dstQg03J1RAMk3BTZeBO96QE2ZFWRkKXMif+CR
JYIDbDKFr3t5zrRVsqI1ZsgEwhO0YszjmsjPyL69QOClFySMuhl0XoqYt7IuwsJ5iFhW9Tl6kv6e
3f94l3/G1icTjX/AviqV53WcTy9Ve7zCIoF39i+P626vmHEehZ0hGrD6RFSdZFDhcJd3Mu5onRwJ
AL+P/UoijCquDz1w3n0YvtG7wX2uRjuRI4bYrrBja/ZzORXXEFObILPT4rrXd1usE1Ekpd234mfE
Cc4leTzfltcxZyy62IPrFXi1ebo0CCC9kuCrlkEMivgborHSC6gTGo+mFRybIMJ6dWgSy82JBmvy
pJ7SNBbrX4cM7Cr0NMxMFVbO1D4hAUwgKwkmZmdQhP/lJX9oc8Y+ctsEiT3hROeOItT8a0oPg8Jw
mmBIMtWddqNWXusIA5FCTZ0tldBgw17vovcel5F6wY8PrtC+AD/rAF5KKAQgrET6eL88n3WneLzf
BOBAcjEKGK79RwBzBvZubKIt/ft8pvApjY2SnG87yvd9mkvbJslyqE81eE3s6xzSorzFflxUtSL7
5bK9ctPUJoKBJ8SFmSrjNRwSKrDkxDkrYoBaNs3b+BLP/0j6MgRblIWo3aYcIdJu3pZJpi0s0OBC
a96rQgzlFiXt31SlCzMEDgWsJMrWO4P6/hnZr1XGxkMgvxGdToDkMhGiehaWFM6sOaHEGYYCiSw8
nwl01zlDGeTyaEvILVARww+dNqPcAqJBj/yaiUHy9Oey1PNrXGlp0SzSIxw/c7AiBtxQca3cLhQK
3pT2DfN0sVvI23BO2hd00ycYyOxBscqZK+Vv+pNR81nj26Xpf5aqYSkBJEqDjszngz24plP8aFsC
9EgW3Y2uSiA4T8X66chzhrLwYelAJxvpyQBLNGTby1msJBKZLW+Qq4jUivyvsBRgOz50iMMQ1ssx
Gu8/opJIxkyKXkvCjHWoFQof5fbVzXEazqZkH/YUm8uNMVP3NYiRB+fE+TjVaQOnuOpTNxmDuTOg
KJCMeFUFgawZ10U48uAysQbA1uqhPLiiJYfPk5VJa7wgdc0dq/p6SLXKb5pze57J/inldqErmHi3
jwHa8ZDSL0cus8eGvc164On3EPs5c2c9RwDChHKwUAlfHqhOdUvY2bmROaHd5Ye9vGCuXwHaKvJ8
WnnYfXVzbto/Fapx1jNz7VtClKDX4xOo3yUXr8ZjGxogEBMn+Dr3XXNjCVEoRET0VUReyLatf7Ig
N9oVFCAtAyMIyeebX8vePps8WN2dNFlUUOQAa2GPdf65+nD2q56oTtzDpnqea7m+/bCFjOnYjyWI
OJi3s/aWNjYYx8YwNxuL6i9UMRqBkyLYs9iLlp+fnUgHsMiOxvsznTiM9fMj1qB6EmN9kuZEjxsh
3ZxhJsmtSEx957uzYjvTJRL4LHbjWNAGBMfcvdlZn2jP1R5285kFRba8cyxjeu2ahFMZ52He1ZS1
Bhf8riyIO+qUXiHZzcsFpRbHTqyGgjP17VsLlS4lWiSY4Ebmv73s3Q1ofXsnrr3UkRVdHQDn0fEE
cgFdtIJBn5iHecAkGoi53IMj/2PXxQtCUnfd4Bsy5MMtkIr0M9ylWyqpqfvfoZCUdaLrntJIQDLI
0e5fiFn2zncS5kct49/EXCH7K88JMKH/JYgOsY8NRpDjpbiC+wLERBUqRJgmlG8gav4QxILqMjF2
GUMjshEveLrx91Q4WWLIu+takLTWxVfX0R9d9KJZjSt0cAcAqyEVBgeXNDdnMriIfd60wObGnjk4
F2XtwkU5TS6afCB/wK2iYUcVdq3v16jgBuS4PyBDP51I/clvD/Z2I7uNBagt3IdkNAYum+kxiaw8
EMSz0k5PF3qBxp/x+kgE/BhYlF+1af25FIjVe1Kvw8FEdDFX7gEj9JuGO1RKaD0FlTNGv/I8BAJn
Ctu/zvwEow3x/odqv6t12NNnOlhctQfvaRvnBJ71os+jK9DBXXU7QhZqx5tBPh694TsQPh8k0w+q
HhcHq+3gtU7wcSUg8gNQOe3KddLLeygu2+dvuzP/DSKjxOTxR07CetqYONUg4CQjjpJOBd7xiFDD
yeZ1atvXsaJDTC0RmRGEjf22r07VNpveCyOezrFLOpmsbSMy5QrdWlC+5bq+9sOi8TZSSinFBHXE
ed5vZZodPK+v+S1BNS4BHEBnaBJSUiDqjYrAmf/XlNHN79QFOOVy4ZOZfqr6WHu32pN/WxKwpVq8
aXoxvy1O+Y5NSdSlwztjD5VB+89uCXZL4X4ZsgdG2PFhZ/9FHIQoXzyzQBcKH/acMjlHYunptigb
nHWVS+gLeVuJ7/EfWjOtLGcQA4qzWnUAlDOXLfcNDlvs0Falr1zRLOW16vBGwLEk/35CAZ9bA8XK
3Rkk05n8RfxMUq2GU45cMN4pyC0kR5GDfWutQxnY/xPZyNphaRAroLcQ0UrSxrnPmeaTAqSn4Ohb
bXHjtY9+ClDKIw3Gj99NIzGqC6dHjz7wT856sQuaK7s6mdKyNJfb9ZConypalDlh4hciYKtRQaU7
tHs2HJE5vbobgv10kv7Sk63NWPOCGNx9D2IOccFtAk9xsWpjbI00XUNTndqTRVwhZPEviXVHoVb6
iexxWF6yUlMoFNvMueYYpog5WPY7OpT9ay7aJa+DDeQo/DgiTlhIy/0oiHEkhMc7SP3pj97w6RYs
4+Pw0ayoPkWLmv66LnkFGxIBDsodcGrVcslDrsxmB1rzrdXZ2cy7duoalHRAm+lRslb0+losbIWq
Rq/MYamn7Qn3/b8sZL7u/pxLCh1kJ2Sfax2WLsYE1m+YIb960EWPQPDb9lNQRVumTdaN9vrWLacd
Wg18JR3vdBUQkd59XjcNRu68UUgEHTQ76H7TRiE48NwT8Fz8JnR/SAlxy6+Xfk39Pj2qKxW1ZNOF
dDZb8WWa/t0+UH5+Zofc3433q7fL0lOFnAMAQQ7uk6M5AgtQYOavZlrWU7QDLyPfpjHoKDjl+X+n
JYFJk/D+vRnUxL4wNLRAn8o7lhjEXXbgtVTkdPca9zJ/yL6aRMDDBe2b5Jf8qXPGmNcQgBCeC6Tl
Nje4qvRD/lhdIP/OPYVuZmJ+z9zoFUobqkps0nJtBF7XccmMrEMpfDX4Hx/TxnKWTM9dJ10y7Kyg
DptFyhQT1Xy6feO+ddr2bgjpeZQlB5n0+XrR4xCszWlIPbCQh7F+FbzTyKcW2xhbV3+UsvEKJjX8
7b6NHhcoWdai5i9VlQUuUFhRfX6a1deFWAUgBxarTKSrqG2mGGcXMGDNLfIW8ftsp+OqqM4W6I61
VqtHNpy6qsXgrBXaNdZHsvHXtBOonicSnKjroDFW+bpRo4mCwXPksJN1uJ781aVHXZkkgunQ5TNC
OnoGoLOVcfoIof9ymmMHokCkODsxlUf7fvVCAIRu0LOv1oHrvNezS8qtJJZ8335/SRjCb8IKRunY
modrNMoKUpODTLQ7zBVmoL7L/2Bdom8O1VpJaplIy+5kmjtJykIdMsxSyJZ1n5lP243qbGX+Jpri
fmXzOkt9/M1GpJlm3rpU940q0HadLmUBv/TLWuewriCq3D+W3i0oZOx2CtX3/5N6+PkpSPfeB1/e
o784uZGwlGB+YdbiJAAW27Vwa+ZgbAfMPHHR/90BumsczPEow2J37h4mcCh9omMVVYKb4kUurTF7
gf3Pe1lzu+vI/BWGL9bEY1KKzBnl2Z+FlFVv7qZIl84xkTM3dSSYuh2uAzVhwOoFk3EAozG8F49X
NsrabMa1U0mMSzKbk44NT8QDrziv1rhhq7sRVknHztKmWkRLTlT9RVw1eFcQzVyIhF7A+rhU6k2j
NRGg/3dZcZc0MUdItOq1ycsJP6whNt8birvCBGSRKLymH4EyT/kWyXjCSgGuYlXBeWVZ/72nIU94
PfK4H3EBIFu4MrPbyByJUQ+LF5erBNG8Hw43854fzKzYk20lIKXyDf7BaEjLILjVU4d1yrqeaURm
p3A2e+Q9pNaLR0oSgDyP1gSSTpVYkWXiKXkZfkWr40hbyt/e6osbxNxou1B7LQ0PNWPhAkdPVcff
vZaT13XGKjdcUgTqWp55i87L409v7lLw+gADaiT9RRyu+XGlPNbQQN0hKPycVbrjxvR28wUqmLZ5
jRnmL6jJBuFU85PEDrZE6ANXw6ZChHabhprHbFK4YxU4mIU8fxqwcpiJrvjvmyriOt8alefdHE/9
v7QiDe81jpleuFCwyuXTHedLZRwCmHzzkeUWBeRbVm78BzSWawAJSuylznTUeQMKFAkgAMyLBhFQ
yP+MiVhi+b04a3rBCedJyTg5cbM6tWiOcZB8Mp1TJ6eKiCLiBvAX8Sahl0+Qvo1TQmGBJqMgGDMC
cLXUeI32U0fL/ON4AwAlLYPCwgEv+bQiMgOlcJs8+MOBJYkdIh7pBi9ZCLgFhtGUIJDyfAr0PzlW
pJIi3wSpxY9E22IsSoe4Pueq+mFNObaPuRlEUSFRD001f4AZicltW1yHIfc0TA6oiBKbjALtoP0v
+H5S+YLrifz43yH4/q3jb3u/jaVSl8piCGnwtkkA/lftj51btfG/OrWfW5aO2n7mmaswyoe8KIR0
T71sCe6lZt/vu77N0COoEpJXs4pIH/kgi5Do50eBWfO4i5aLFcddlmzBxjyO76yUDVvCAdJwPpEN
zHy94P6F0xnOaM55eNhM31WznG/CbTXfzaMDWTb4PZg6AxvB5/MlDro2FggmoV3bEEfHOlm0AZcf
pwiIDc/FhMZUq8VQyha8F7YN4Z7+qrcnpr5I3zWb90q6cAntlVXgflUxZMAnzmTC0oW4o0GDMqzB
FDk6toKSFUDJsvCC4PouBbHugJEnn0E23kxBP46854KX35y7VVXtrXD7N4omwrSCcxSufZV+W2F6
pl4geX04978B1L37S7lfNKet3Q3ed+9QmqST6b4JvERxiJk6dPOasfvfUVV8sM31nuyGfNBzbPi5
D3PID7yPhUNCnrPPwRaKpV6e6CDmkZEiXqCTojVQcC/7jx1pa8Awvmt3NVVsdfa7eNHd5lj1lL9V
r0GfHsDiiw68aYt54uWpK/mUFpHiAtd3eLkjSpycKxmaVYLkLN3ba8HVVu0qpeWd4DxS7w/+46pf
URZaOsDDXI4ZNCoq4U6Kf9zA+wDpHZOzQwbx5kEk+AubcSDr0Ii7OcZHWh8dRztNZhoPwxByl77z
CT7CeYjzPfCBMO4RLQvjC9fxNaoQn/KnrpxeQ+BJvfImcAr6cVYlkSWgsu8Q/aSfXTGcNQGsZ1bD
UIn22+nsXk9j8ZGm12Z5G3YYCVpTovppWCZ848K1jRHaUtyYnqL5efwHwqTt3RP7jFdTKLW0vnVm
Jq4WmpPWc9jVPqjIPi4PZyce7kFoJKO+SrckG5w6VumbiLd+XE+TJpRcDS7p+SSbNbGTaIfjvmQo
DXRt8/4+JH22kHmvT69e4buhe0986K7dlGiVOpIb+9pqXqlOzhVbJCwUhiQVu208opLy5+EGh3nc
sx77LY3bbK7zuX4eo6X4S3+yijRAjIxH1EuFIBOwP4xhppCF2ZJvwkJ4Oi9/+HxsvjsaczgP936/
cBuQ7qB9SBzAGHGga77lFIHX0BGXpulfI4kL3SmDw0EWB+D4jSGiXukEDspzsnYHPY6vrz7jTb2s
SFBq9306t5JFuB6i7aNpfhLPJjBtFNk8K6/5hdfBkDwMMXy/js6WUtP06Nk8zmrT+bKXwbK9R1V4
umbNnWqQd4GMNUc08R+WOYLwYgoimGnjj08yP3kijFvX7/mUBgEgIToNwjFQsgCWzs0NDw+Tm20G
cn9RG9ZxSRiNYmYhn638hLgsP+uh25oPpgNE+E/76jMOmtYQBC4pdb3N12/9vzzfKoED5Cs8SLs9
OSq154Cb0MZP9pxA4VuCzd66a3oiZwTebJZzgHx3pCV4NohrG+avJPtqzUjTeci48cuRUBjeR3xT
wbCrUgG4rjtgPvJwBGBPrGYisfXczivMwi/3PU9oYVPShjxclyq0OiOFuicmv/LvfgIM2dLeD4d3
2pij+N8fUto7rq6SWZ2H/poM5DIuchBxwqE3aV/2bwE1fx4ndiXxy2SlWsnNIQPKw8TeRJnNxJ8V
D4mbLNYE1CH+Jm24fUnzrM3+7sOV+pND2E4O9AQg7p/UDz5nTudaXovyGNYasUJsz9+TrrNP1Fdx
paH5Vh4hoJotVqYYUNPHRLS2JEyMHvgx2RwKjcIGWdWIq0otSwQQ5rbOVR1twkBhxceSzzybKgUr
p9YWhWXjGrc/umqIEMkIyTuTdiYWt/F0WnTlI5Dcfd0WwE8DTksQMrdI/j4PAUn1TVfgVz0KdQA8
TMR22XQZ5q64nQORriqjNh2vA+y2db2wD3E5klN4o4Qk6HrP6l6nq7e7twraCVEYr6v4VWOOJwwI
tF15D9Se8Hk1cTwmodLOgZb89S2juD5keDXnzG2V6/T5Og7oXsZioxwwA9u7Ex982IB1Qe41ijyN
GlzYv/V+qBfdo6rUlnLBPFDGrTq4lQwsXPJEstjD83gfZG97toLqs3X5xuH4093KKJu97DVGm3TM
vX5S5uKeb0h0Zp934dElllYVUs5CvF7ZXzWktb4ZSY+RMNSHXPOkUuoSbPgj508J6Sc5d0JSGxmx
UEGP791Esyt+L/RqpOnwWmg31fEn2zWJ7NNtZHjYQCqXoqx8JNrsN1XknRfFOCeC5IoIhP4tbuBy
RFbULvHaJWZzFvLVJFFRuyzjhCWhByVakFz9uOI8A1LyMTQvNPtaRg3nmxljanyAcXoiKDFssVBT
xwfsw8Z3ZPYo0YkV4WplAYwhE0rgaAbizoDVqWFFF3lT8rfV0iMAUBK65Ry7+DMQMCqA9hUssvjA
AlPwaFEnJ6ot3KWKyYvyiWi+dkfJqIumAnPdF6UUAUtISEdX6OSHdfmSU+32k4DV3BDn5HP6AIhy
jxLFis9KOBqlBJVRiowHxaeoaZ6ugzsRtevisu4uFsU43ayo8IGqFI4QsZ+IIZoikGvm1B/qgLW9
kC3eA07Mw2JJIlZayJduy7JMPMfPecZSFUqbYaYJ2IOMGhUD/OnwxcbPeaewtO8i9uLqWZsC4Z+Z
aOW37wbEMAGZFKMN8kKXrqTg+lFMd3yCMqKENXZ1agXsO93PZcAdP1M6MOwgmmhpexGBn6wSOwir
4HBFaGO/17+XJmtdTbC0xqeBPTnaep633ZpDDyNc0EkWJSIrm/uZk61jOWjeRGAp2lMCuCG+gH/c
s1qxWvdv7BCa9xyk522f5nPYjWb6QuRtJlWrG9vJKB/lfbz1n9N2gU24XrieIa3KeEYaOcimJQky
unmkSX7wimk15QJysLEukRYV5PwQbh/kxLqCBvom/CT56OEcluiGyv7A7blT/kttq/apRsnjrkZX
rWoBRtyuIrGo6t+X7X3oY9sGoxPaGZj4k59fdIwgCgxUI9mhp++brNNswG1uaPjXsplN0yDoDQhu
tUkV4+1iQeBQhPwG4e59iRF4ViOoXh3kOw6fs0dSktM2Vr+M9uMh4ZTSV7/mN1Au1drCU/5jpOXK
dxDGLNFI0YjHRqIXTsT0nbmAsn9xzvPVfs64SGsmKW/SCqefO9q9NyQMawm94fvjtueYNr7NCFHl
d37QzfA7HcIpK/SBI9BtwQuKTxgjbCbT0/bt0uBhRK9AMUb/OxR2ovM1UOPaYWrdqVPzzW+LD0BT
sTxaqK/UfkN2O/DgGXitnHqwVArXjIEWpMsjTbFFyEonzqmrrDdXBnxGVc83GL2vJSEM40GvqcxK
hN5NO2aFbu7Tiv5DwEbQQxpMBcGI56/UrAQQh6I2RMWeEBoofWMP4+51ojuo+vmDQZHTvXM0rQCG
igNrDIRJD7+oJtqP3JZjZ/yIDVY2EFPlZ8S2MJXwl3jKW4uyKG0pgy11J9JiOWKX6XikNpEO302A
f90DyhEW5EcLlP8D6L5CRMyvDj6HGDFEQxsr5QCJXpSMCZOYUn338KPVENhaLux3mzybxeEtpvCZ
s/7LQKogXd8jaZIz7+Pg77L9K9sDUw5QAu79/zIR5IQV1V31Gh26RMcfZ0xbXDRSwi/80EbBK1jW
rX5QzqYHR0zlqL24RCMaH6E/fXllmgo4lB1235tOyNg/akQdRyTYb7P0AslJGYb2YX1imFNKEzLp
Ek9ehcZskpBduXyXOb7IJ62osZGZDZimoDRj54+Xbc9SupEOFq/LZriEsKZ9aU4PQIglkOYhg+08
jiXXyiicCzFzZgAfxFmJVW5LirsdPFIBzMZyrwL7N3oqM4OC0bASchbO6vmflIUIR6zOeOztC7Tq
RHafvPuplT5TUQPmfgxWbm8q1sNf7JGtiXDrytyU+wBth/YdFEFHL79ie7NhXUySWcYiyU9/Vo58
97zAINZtU0lCyZGvVar0a2uiAkmuZda/jfpWTGEHopdEC1LrH1AI5Ydo46RWH+Zz16OZVTdx6QT/
r/GsnacD+89dyUAW/AnmXaQBmnrR4dP8GPfjB9+7ZMyCMeP/9ANQLLi412P3gogQ10OUYbxZLsiJ
HgjNNQryXNNKOoS2ePzWYuvmtxgp1bt/AjS57Ksufqm1kHmI78T1XeVLhKRBauFQjxrThMDnVeeX
k80rXNSzQBAiEoiyE20elyTB/uxj0p4OMHj38YVsRautM+Eld+MmWA3Jg1l7laIJFsdauL+/4uej
vjC7cOyc57VfFChf9wSz9oXPY6YleUwdLwsezouD5WDLeJ8LatQTy6kT0FxPYinjcd4t1MeVdhmg
mKgZWPSywIpwsumrjtY/lp4um83jZA54zJlE5j1Su1LIP1LJmRza/Ba2kLqx+IAjX6qtIcAYxw1Z
FcTmjIEoQ4tSNXgVdAuPX1QqJB4cHGwf8TqwjzYWqZB0zNNqrV2P+Ku03viyaGdEJxbemwQ+2lbf
9atGbCA7I4kVz22gkPqee3G4+7wX6jL7AMHOvXT4hi8rkbGPMjd6c9PWLK9rNdsvF5NsSBfp73Sk
n+zPF6O5cub5+ev+mqGuGQ+tt1h81yrmiIq3maR8AhdGO3SEK+oyVfqkWNgOhc9GOuTbU6DDBEk6
wxJDxVZOqO2rbWc+1JVGy8Q9NDhRhLX0Gpjm4GtKtIE14zxGaF4cW8e4pLohtqP6NevXFyoPU9ex
2OKKdfVMBUG0U6i5RFup1PHd+g6VuhOePWAt84yfacO1NcH/NpM+LPw+VUrQGdewHdJqud7oLseP
y5KgCam4MAI65dTYG8r9kfte7KqhImVtDY8XgytMZIf1Huu49y9LvqS3M3O6is/dhLbF7nF6J8yU
IC+kDWX7kE+PUyjTTGyR+ZwLRx4/MDNRKAuU/4ZqtuWwQuopNitIdSQIyzna4iiLsNnVkkH3J8A/
PGtIyhjYeB7ps221SK2+ZzxcATu80ovUbrACLn5bJCZddP6HxVkhCoOeQt0bvES56GFzHJ8FM9LV
pZSnc8XEAdtzb0MyFk4shXzMbTGDyuoXfj3KrcIPcUbiR7GhSSpPTT09Imiu5l/BMyMCBvgPwLi1
giWV5RSMQFEkkBtFxOeneFSnV9760DVV1V2d45+AyJCyzFf1HnkKghuZzTgkg3fRwYzoaX5R3JkC
h0ASCMCgWAIVni2hfMf2ikyMmbYOm/ra85J8R7JPy8PCv9rH9xfoOYpK9I6ERwUzts58cDe3JXtK
qTcD7aWi92c/KYcabzsBgZf3wRi2VdxcQk41F4mrVjYbV+TpeglyPUD9FR6iudyezHf0x8zo+fX8
XsQuWnvcMeRw3GS21eMZ+RNEQ9aTBY3uzfJokrnrMtYdpzHOHcve9oUSAsepBIC+UYQdWUH0CPmP
ML7lyJD4fNWbGpvPBV7SNeypiuHwpUQiwYSe6Re2pHw9NDfbzEeS5fFfc5hu+cvq/Snd3abFJSsw
FQqbUUN1FbGa2YoL8w7iB4JQpBVSdJL8RGJxJIV2qcM6AJ45WwjR5VwRMU7V0phchLXd0K0O0jGT
nA8M81FSuxT5rLd3vbNHAR26G5GuIiZmY1eod7iIwegMPYjYAcLkubU/vsP5mrMrslI+e2MAtpGw
5eXmboDq5ffj85kIcQVvtZ9zNYeJ9vaGBoygXStkw7PgVgYg31DJ28F/0lzQ3b2uRlXIzDwg22Cx
b7MEZDDvRqAWFc7TQ1Uu+vVaLeUin3UcJNFJdTQ8J1Xy1xCu71A4Mu64o62MJjPgf9kbduWOt82H
3vgLtPk75TBmSDbnKKU/GdmytWfxA8hIq1uU/PTV6OU4yvseH/jnVGdYEuE/hfSr/dbq2Wy37+Ak
yK2wDIscD7uCBD8CUsLEIR0kgBjCNUEJRaGkbCWhzsBwSlb/dY5sWMz3CEvlyH8wJ82CT9v5bhmL
0+mFvjDEIzVlWsXSwt0Qch99AOo9tPa8dH+jvwuHv3feczXi0Zp71u2omH/NOd0CUy0gJAxk9eff
4WqVBmhoVDeywdhT4YmvN6f5JWVR7H2zonWSmr1rxM2x8dDd5oqCMeDURiJb8wB6PIo2oAJx9SIs
dn7rL5vnd0qynzLZVLhyn1J9221EJvHRdasuwwwajo634ffnt646JHn2x7vmqX4/gyj3uoKmYq7T
XMhUJUyFtHl9ydp+nx2ITOJCtK2Zcx7ZVKiwxfAtomZs2IEJRYjcMdA2f/RO1DT+eWddOaCB6d5p
9uAyJEHely8LIniTqUVVWszSMeGkrOz4ZgPjQrbG9vviH42xfINb16z0E2xJ8T9yIbM7iEpV4okL
yiys210vx39GDAu4UtYWEp/h7Rydwyk7R/3GYhWs39uuMFuC9yI+rCBw5okPelWXiHM3/EZWaE/1
1HG2OpCTrHJh/QBRIlJMHItcV4Lo/CzpeDHG4KnVthdWyayKb67pZ1lIqASHBffqdRw9LfsxOPXn
iICW21iK/SkDnmBgQCmvyda6rEekFkLidT2i34kH8MVGS1P9QIYY1M0wS1ig54z752VTuEr4Bxcb
LmseG5Be/Dv/EtDOKngVxmLfHqflGzKwazBEroIqgPFefdTHDg5EuPznCPzn9BTqnb+7i6WLJD2t
c2J2MHgfzPxglwx9B00EcrcsmW2Zm27LTJhfkVwqK0eUqqfGifKWuKX6vC0fsSD1V/vuurifEA86
p7vIYR7TqQruufeHQjsoCxd9FdkE95fkx6IRzuDD18ULkHQugEPLWl0Rkw+oVHsePx57LWFQOrbP
oTBCt4T3QMUUx/QPP9AE8q0gWmYzJgDzaLeRXfdh0rFrAWi/K+60cXhGTc6JbHJ4saTncQ4PbU23
J3AM6kZZ3d2DYeouYHUgTwyGr4gYi3cQhhiCp6r8oHB5ZgvXExWRqOGR2gGH4YV6EiY+I8314X99
hXpPaWNzQNoGnUBVGeIsCX6VFujG28etGTDhvZwfLW4t6FgRIlUomyMqJBGzri1eYFH4tRMIqBX3
pDSINvfJRRerJW5pgn3wNY2uilosggothsq/oTl0CH9ZaHdJCRvHbq9EUqNfK0nwhFDtWV3fAIHV
r5AVRuCrEJlLE7TrKK2XaEwLxQ5Qd+T9yvGPWkGjVfRaPsg55BhH0iez9+lL6oQlW3kq5+6+ha5o
RWqDWs6+m7EMbAOtenJ6GgTXktJiRNt8RTwrocP1RsqxeoSOGc4pjB2KXen0btG85ZGI0rEL0d5t
f/7JLCVPmBT3p6C2GRPDGzRDklW664CcvWzmgXP8alAT5/yCRHshjRXCRxelhiAVgYzqq/CY5rHa
AsdiqJSVI1EGwr79NxX44NstalS0bb8LsCoYBxDRh8v5QbbgUKSqXXrR2Fx/ltTwR6+kBBopGmZC
gjKplt/xEKdhtOBYrm1yWh03rM3y9uAO/CvRnP+cSc9Uh3wbK2JbLnygzkwwZU04e+zd/lMO4Cuq
FUx67ye2t5800VVpBtHU6DYx3mXrb5N0aXGEt8jtQdW1/9ylbvGKewfUNttTRqZz09eR/ZM7TS50
ESOLKXg+msNF51GY4wCZnUW783WFNktnv38clpfEZPeMp2EIuNu1RuhaAvCfJy/z4zKPDt8QENWl
Zkddk/4Ll7bm7ExPuviRRWrcssiqh5CQaAywotQDk1qhJjzKxUfaXZd8IiKpgVuTDtU/QoNlgkDQ
wOCDhRNulMZ4sAJF92GIqe7Kt6tC+yMkoCUbwv4JHZJ4nUKPXaFrmQYb89ApJS1OoVz3sTh9XEKW
JNIkPEz1qzeLBlSacs4zYtgML4ABUB3dIlz130dWGxFGaAllqcDpf8ub3L0Lj4LoL0u9TqRP214n
IvmFGdsClhZ8QlOF55q8E+PvZ7NaeWcHBLaGVqBt8KgRrHAg4Wh7eBq63V0PvCw2idasFs/eHmQO
+tFc/ia+psGjhMr1NL9/dRwK0dp2anuHW7D+gX+w1K6mJYGpVamvw7iF2nBE0kZ7N4a+7xu+/Wav
jENN9xBMMZzhdfP2ab7wUmA7aLAvgVdBZ8LD8sW/dPQv/Y7SxO0ygGZd/A1MYIG9nqa9ICGH6YVz
me13caG5yGLS4aOeFZsLK5jsJ8v4GUfrZ3kiI9ArbgdmJLN7eA36vt6gcyA02ykY61pHcePFz0t6
RHh4HHVUbhuDEjfEKHnU1IdF6rumS80xmgQktxayVotbm6eHceyHP0KXTLSPKkJYCmOsjYoep45R
QQrZUHXKMwKCp1lPffJ7Ue0O4bM6JhZGbFwNx31W886b4KpqBlor6gV0nPgjvie87NpBtWjIih47
49+rSYykNipEX6evtILL8sFwQjykDa5H+64VM8ZemxN8R/LfNNd/mTH1U85D2Fs4Q8KCG5qP33ls
BdB3hg6gwlb9B61SDzygJGD264iYCXCjHiJqliGIHc7VYO9vTAIPBdfrm1CXwnGcfRm+EXj288ik
Z4L3pak9g1VwGpOOnZRd28p0TWdp5DqHKBZKj+1/wcWl1gfhCe8KkmoLKqJwjSWtH3qdsyvXkBHV
HlYKYSi+hTu/fgioclNnZtOBUdUzf0IhmGJgPvq+GvxppygIcsjXWiFafto63kVME92z78sZf7CD
SOXy31RRsTlZnO+ECIBqv3YS7jGjd92AJkW+v3NZq5vXN1IBP5jhqRWd0M2zSE4GISrqn9+NEI+R
0KJ376MFQUghfDk8XgqXfFvDdl9gHDjfchwAzIcNOAbT2DgFJ1hHxiUrU0lR+yC158U2wMO8ywPF
nUXeyt2kZlQnesCMgM8t3fI1ONT/Gt8J3k/QFDL3PaqWeMluWxx2HOnui5P/MTaSmMzBiAdDdZrb
CNNVFAPHoAimjfONkgC8MfuQyV+WmAqhraM+tgVH9H2kbnl7UW7cTNC21x7fyG+PEypDQZRCkNnb
AGl9vgm+lUgpm/I6m3cs/q6gcqsEd9W/Ba8A2xcU3HH1H7uTejM2q7qEoxHzbdhGGRiVYpKcIOJd
MPQtm1/3nyl4CIewdaRa423NkDJDD9tuUDhprUzOf2JBh+ZTodT3qMgouPx/9sdBNC4qpuhoCxAN
RSJ20uyhp3YbalSDA8agO7pBVjwuQkqYBcTTHpNQps2ZD270R+D6gV8nIETKnXFoJevbpe6rEUwM
JJAs0g8l0PeVODRn/x6l9d1ZZivW9uQ5SHExD/kwCAI1kusNpktdXcrkN5NdpNQiaLHam8cWXZEz
Lk/ilJNQYQoIBzTjlZEmcukyiCdUoayk5BxGYJsxoA1JdFihVtCQylgGgJghwXWfZGA0V5G4XWzU
WuGKLty6Yn+BbQPuD6Q5Q/elIB9LUIXacz9sNMrEksI1saDjmYYHxi42uPEByh9ICalj8J1QqSS9
p2sLiPU58cspetdzR0resNMymdqgm5q2+KSbb52hKEYVcI3YAjLk3FUDJOvE8G6m3yn6TrdwOYR7
/MyraRbX3R9MTOP6rwxVEXi9DQFkWKNzeCLvQmJsvdi8AIeAHXPHrQxDvfqEuMPW7Oh2m+5FNxyD
/aiPgK/4ncieMO+x35hEcqZqglFT4dS9eT2B6HN1Dw9KARhhffhMyEusYaZn/zwruwfWmQVRX0Nf
IytBB0cEWF1UUwyMbYuMcsKvyf+Ri9O7mIUClJnOxZFEXfvHwkZ64fjy2KZwlG2wpVkBpkFugR8+
kB+WRwmuPeKcPJABQdynWLYsvualDDzk/AykdLesHxe5Q7XpECtv7JScYnLYM7oHGjTgnhCiUge8
RYwAzrn4yQCF5yx9gT03ir57lS7h+J3qt+aSllTEhp48UoTafQ1yyJOPUnlMls3dxUnjBSTozG09
l8hgcOZ39+xS/wc2GvFKx+nzJkcCPcxHwn3OQpH3GKFKhQ4CAuZPxNQUSiRUENov0FUh5gphwTfM
SB7r6JCXLnHIbjZ7rh6zy3CEMiVj3H6Wv7QaVAQfQVUacbma+4kD+Yj4p4J9ccwi9pul6CN1Tkz+
mya0KqJzZ46MViQTeqpvQCpd3E0hfg6awSVkFw2s0mFjsRAw0A7asIK8+7mUVns9oRlBqhcHo0sZ
BSyIHxRhDw7IxLE40n5qxiL/9KW1P40Z+Glu2794peo7O0Vvit59Rwd0kaS1CDBD/YabNYcIaZnu
GL95BLaWBdghhZz/cLSJnjLz4n7Y2ZJPgy/+/qXDIavaD+F6MckPJZhrO92Vz74Q04N5HQeDUfRh
hMSsS6sIw4vClGZgDSTdkVGGfh5Hk7eWpqTFj2P0beSi047su3U21/02jyY7q1Bo+PGBX16Lefmh
XTHb7xz9ygUUxvllxJn0tRGf9cqBFXCFkbkGrnAVuU0aeqzYyTBKk1Sg+aNTfTYG/rAR4wWh3cgF
kIQ/HxZneQPzdneNBlpv2MylFHvYY9S9FGu5JVHRxs848kV0Gb1HZv7Ofq8C/miwM3j3CeP9S35N
/TViOA2AR9Dn+5JALGQoKyZQDtpDFSfkOnottm+gIJpgUIltUid0/L8Xd+B9LSucCP4adaRwbOvp
E+SnhlnPXwoZcJSAIw5hmXwR8TrLwltyhMpXPboDLjr9mHkaTJVLtssNt+YDOHJCNgFdTWtNWD5X
UvXiJ17rNHYpSYGfICaCKnhDbJSsq7qhzOeoseueFhkh/h9PorhRQZqZiaxvOuQFalYRQ8Nl2kGN
k5exXrJESeTjhsWoENqHQgCYnZgBE9iOxDNWpLyoVr7WB+y7kBGOS95bAU6PoaMpXfVhOyjDR4pM
6Qv9bK+QUxV6+mDPmqn4H0jD7oV4imrkbZ8A0aj+dINWvmX2JJhNV5TMV3rnGrRorUcRkP7jV4Oe
RBLNV+BdwaC6WV1chqYu92dgOJmn0TSzy+0Jeg22xwdtWKH+XIkjin5w3uiXioNrbgzCWNCAkCRO
KoUJdKK+ETZt9sc0X8QO0U0wyt57N2KDE7Oh/mBjSzwRt4x3/pYDNPIZXHE7uDjZWUDzJWKunnFT
5t7R3hJC8malgBGj183S52NJbK/poacZwZREnxKOXBigEegi+yzr+b14ScaFkojWyVISpnt972Fr
ac6Me1sZH7Tt7mfK32FVwCaLrc3mJ+oyNDAUUfMkkSgSDj8kNbJRbL9G1tGbYg0cVRPoonWJAdFz
g7A8rUrywqAIVMykJW6J45IcCS0HW0PJzRenOvCPbwMowOS9HmgO0PCTOQokat5AZL0DBX1eCvLb
tjjDU0mWHELSEO2NO9r0nIu3wH2slXdWEmZC+rBcSqy7i5OKZNUyYi+oPYBbAEK5hl2azA52nyVP
hv6XAvC7W6Tlpge8tYxG6jlB1+WpFyJaw6svQcf68sa7gzT8eG7pgVbC6D+7Ev6fXdo5vVWiBhDO
JyGCNrF7VlM5PFY/L8RwvngCOdk/nElkN9XoFc3RqQgIf456ycTik2stfGexK30q3GYhD6Wol4Fj
4RsFVDNsU15ZKXXhzwGcF3z6dKMFuuIaOB/hTpzCA+Errlfcg9tDjEzmalXvQxJEpvth3KpSj24c
oZU5f5coGnh+IXgC2fYc5El5RFi5ZTAeGPjIUGZyVVCAAPDw+vyR3Y4Skfian+EAXifrtrYwDVTj
qnwQyUihOjr2RIGQ5Evwchq7y2I9ZFdBr4dxtOMobJZLisra5o13IGNUIo9sL9tNqq3UpVVPOTR1
rQHZnQBVrD+0/8yFrEXiAiFV6ZamMUknR9wseO7tLMHVaBpBPXhoCnAyzkyzeVwtB+dliG1FjUUn
pZhRVlre7vbTDOfQ2bhOaSXQjnuLItCtbrcgwi7J1286bKVxAeYX1zC/QQDf0UdjNj/CNCUtQg9q
VjDi2G+7MEfkKLOWAKq/L8IOUl8ebWOH5tsd8g4+Gsc8x20iw2NpTltkg0gmWftOXY9cPDOjMGhe
CW7NNKawl1W8ppmn/ojvhtVaVDapOCOjYBG3c8YC2LhGU//uSV5iuOUxRXwcdv3QDjrFQ2u4P8v6
rrpXfiLw+HZfLg7qHyxZfZusHpZqnK62Byy7ra92Zd8MHcLz6WU/5Um8S3gkcHkMn5v75sUNSD8m
JuEgUSmEldkVRjlLAcqbol0chCjivzgnYgxqnZXZdPxLqqq5YEHoWvftbbdlHPgEFr167Igi0FYN
8z3rFco4RAXYoZia6tsqBCBckE+DYGcPZ70t65jgEFKTyJK/M4UnKKRGo9UQxwoWsbHYhvf6EdrX
i/Pn808mshtXecVy9YI/AqqEnMGCqzUH3IjMleX4U6s9znI3cpscYszGmaWDLWJUQ3vQ/qXOb1g0
dlwt29gwt//VL0ZbpD6pqki1egdwMcW8y3ZO97vHXI1rqP2+SASNCkITuHQ3Cc4M3VPWtg3Z/Wiz
Cn8ccqLMMFbIO1IO5dVT4N8BxTAkgJyLX3UWowPO3Qbg3EDbIsrN6Acwd5bCQHZvEfVzsh/P6CU2
EG0tbYiET9nOi0hWudV4Jt3FWHie8eInucJLbnAIG5RESG+fdwq0gSlLievs8O2FlapZEV4tppVT
vf2dW5Q3zsh8eP8V3qArMp2UbxY2C1DRSHeiix6hr/zwChzi6bpr7gxBXVPxBmeeqdo6Yfq+f7vO
n63enKPHxsX5rZakACexRvr8s3XFgJ22k3n5fIAN58t7LONASjXoS9zMxz8xMVoZ70BeGl6qsNLD
7b5s+enq0UJuymXG3EVJ76rxDiISbY7WSxdz/lC5EoGsWHGXigOp9nofiesP58YZX8QTpB6n4XtJ
KyZ71drqH/xnCFnbZKEyh7BLZroNV9Xd43o0oiFIfJCk+YZPpwyqNd6RuuZD3hKkBQPu252y97Zd
P1qzVp3GcH0gsqD61kNziI5ULVnd9L8EBDGIJvgTXrEasoXDULEmNy2AYt0M2KIYTnGF5WxD1Df4
0cNG/HaSskEWowbsZkE/Ok/5vjMuY2rnWo8qi6P0yHWZsItXfZHOmftIpkhFKeMq5Fo9Kdbf6SS7
wI/j5m1/n3kgiikfDltQFA+722IsWYli22O0W7PFydVjSJv1h2VsyWjhPL/awuhdzVEeM/bstKSU
ex91bpgU+g2ncOiEWDsEWwJ6nAQrlUMJqv2FYa5KXYI6MGgJ5mr/SmH/TfjAdpeSrN7vJI/YvbJ3
GaiKLRSDooB/Hv/4IIOP11M+pfy+Pii5ijucrDWh6f2iX6pUbWpZMuJeRSbXX8RMP7ugwswk93Gf
4sHorfyfTsxkjX0LzLmlTsVaB4/tlKibobLulPjsMURzAzN1lZ3tnY9oirJuT+ObwYq1tds3pv3C
0xju7PHFURI3goLEghXyyPCNOGWXHH740dlkTexTtgujmgTQ0QEIsXhkALvU+rH7k2a2CkQUodJM
oKlK6fhF75ZVK6LssNG2nd4o6pBLMxZg/hjyOTjnvp6KWsRSXAnuDmGO1VmCepMA1lTfuq95QkSo
CYQqEM+EMbPw674LHGvF9PZLWnhdZ2E1daiV+vqzvg3mF3H47gnduFR0K5l5GgBKJPMVNTRj/p0S
kQo33Y9JeI62Yze9kOblnBG6h6k5Koqau5xdHVMsdIeWmtyX2Rd+OxpszRw3S6YTccukmVdbUEyW
qyzvDTGPvmxnAxhXmT+R7Zpa60THNLkVlgHXxBxdIPIYa6Z5wWEAbEMzYxixHFCCcc5XfgkRBTC0
pX9+rSpShUNPIn5retkee314Xudl36WWUOstZqZWfjkMIkpCxRzF38PyP08A9d3lZrFOfLvBSIrr
+O3v2Wt77+U8LtUGDuI40QLoQAgJEDLNA5Srxp8N85pIrDPzZwYf5tMOgV58wXi4cVABSFZbbaif
YcCW9K+mJalkcCYrPJog/vKvQEtQdOSHNeiptiturnAY13RatZmx13np9+jKh110zT13+dBD8uFG
WprObW4DtudlYm3W9skuINaOujRHIqf5+fti8u/g4sbKIoRRcvASCkZiNitd6r0VtSxvlHp+jC4f
0HM1m1DaDMwHuph5opQe06qUJUc4o9POYw4bmtfh3iDy1Vb15nDz3megEWXExx/3OgSeRPFF4fEP
YN/CEneYjZc+ait/yNzx518RR9q2nZai5hU11smL6IF9NzcikgOVki7F95n/zsFEJ+zXpLwx9Rj2
rgE4zdxe4NDeEsD3C2IMOBw6bK6hmIpBOi7u52kNuNkw/U1hlyRv+F3XinytJ2OjTzgP4wOp7nqi
8fOamv4FOo27tpLomTLw4AMJ7Pg/fMJp2LR8odjNwfDW3zpj+HnGp2Eo+MyII0Z5sYOZPib6AQ6s
I7bNTZp9Sp4EZfFbLI/66cVqPkl68Ko3fNq2HTcHbdDQhzVaNvPK2ynbMPUlAkRuMacGcQq6LQlk
WI2HuGTY2ykpJj0qo08yVMI03OkZRvpTo0EOYxthwGQKa2CfkWGEwJBTUsVUXRuN0X8UpVcGJJyc
1L6E1Jj7GPqqfa2lwOiQPTSNebdE1cdlISGVKyZ25nKkNP02x0zX5FpjUuTCn1M1dUEZt4QjwTAB
4k9ZwkdkhZ2oHCxVlGmPgKIDhbIVMOEYivwk4eb+JPsevpjXD+50ZQ5MxwUCooyb+qI2mhouPrxG
AAEW9aYzaNe6G1ArUs3YU21Q3+bVPTJhl/YUeie0/BayedE99p/yS+Uxso1Z4BWVVxzQ1/lt4v+D
Xs6Y87gVvphf3Usl6r1fol4HJ7yFqtHsKJmfh3pvjDGCZg6+d0uUNroj095jQVL4kA5TAcTN81jU
bcGNMrNfik0wTPXYIX/b3pM/sXxZvWyqJ61qKUs6cF0oqtbD0lG4l/AZIfEoMNl+2Zuw2TSp+Q4C
xuKvJArN+tmX7ZeRC6F8azM7O8VaJrlVL6wPkjGwqWnQkyQFzONT1GvCpmFsnjHK4h1uYOAsCqKR
69hhNDlipHc5RxvcuxVeyPPkCMLOCOv0ogRP0cX+ZLLvCJTJu1gh32tiz2smvdAKB/4TCfQFvlzc
28MCCoCbORCznu3XMrgwlJORiqBzCkoQuNFy9iT3WMciONCfUHiNF4JYYK34JhYxEbA7Wqb9Vzsg
HQiPwadczMD7sfVFp+GGaEjScA9ys5uxlxMBQcRmbQJ544Ap40ADk51UBWcZfqLoQT6W3RBxfRtH
yU6YPvZk69nRWL8YtuCnLl7V38qmFVUVYlWAtekiXkDKW9ksGnN1n3FLWMatSK9HAxRGNAaK3+0h
kLCY1PW/gFxG7X3OXJBKyypo324OFn5WWt9BVz4aczNocpWtGHSEVcM5221kclAujZiEVNAZG/YU
Vs0N+DrzeNLwRVqEc5p5d5wk8MPHD4Wx8KyZ6WfuQAfvMjjVTAXpSDYr6N3LP9QM6WwbeWFK4Z/q
R2/bXzzMjjbcULpEo0ITXI0Y0GMFpRm4uWWaakzusiRyDK4+pkEUMsLL3THbZ4qccODRCdViRyox
h8f82KQjdEIY+LKUlirQXQuZ/BYte6AXozZV8UKct9ApEztHCRKR8jlW8Kw8dgJq5vVMbL05vifT
r6HIOVxI01adczELDmGfr7Qf5hamxMmi/c0Te5UWwAt5fCqMqsxUsrsn3ig7bXmnoeguf37OtCw1
GTv83sf9Xv9xEFOqmMzbY2C02xIMrc45aD06APG3Lj5xPF8cM2wHeM/r7dv1BWPiMWjwFX92Jme6
BzDz/irLxm5VzJ6kz5o38dFyRsn+21wfQ1BdI8+12uNQ+cyRwU2Vu+qw0/+x7bgdLKzHcyrLjAOk
/GWA6x+j8ANdnKUW4JsdT1xFQ2i/uJ6u1xlv12cexfwmfUiLkq+Ua7jmrXjIaMmY5tRXassSEeJA
WjJhIuZLo4z22GxToIU9C3NWZlP+ZMQ5tu7mH6o5/upqpLaWxcDqojsf6/JlAkr3dtg4VPHQ4Adv
6xUzalz0DPNmPkuv8HCXDMJFtV5RUTjDh75dBB5sa+4Q8eme6YyEgAo1FW1fARLfnckQFV86rAy0
UFxp1UjEIKd0dQ5gPAA6byZ1HJER3Q6z65SYJITft7+V0Fw2QwVEOBu6nzz04DAjCRMUPIrLmKj/
efAX9N02D/M+pGT5h+/Q+XULT4SweMwcToak/pq48g7jv/6A3eLpZ67FvD5zmgpmj5bZXY2IrXRM
yiuIzQ7PtPD+Hm5Jw/fejV3Yydx0CW4INPttz5Dv0RsONCmSb1weD0JNb/8KfkaAByR/abcy/LjI
B8Bn/h9lRJjRivrxxGmUBC+G1EeSkERydlPjG/GzVjDzrKKWQQIVxgvPZ2k04DJSvh1ZEdpHJZSO
FQaQJRZeotGdDxyd9Dm/8nrFugCYuEhIAv0yA2fnYTbepxWYRjFERXWAGPvZLQ+oNuJmOLrIC2kp
QMcvjrMZOaPQBR9THKQey37Lm801Chlz2Q/2MK9AKYVaq3IVIz8BAVU/jEZXXSpIXLWocHn8mAYt
sCz8QPMEDf0/q/19a+PoYL5TvprM6QZ8oOgercAWubb4cmqwCPsx7ZnvP4o8jBssJaQadDzR7KbI
KaNX+MznU6G0gklu1n+QzxNSxJdcOAx7NiR74blfegBEukVjrEt8guoWY1WjJU/UfUvYnqMHsZB2
Cdqy522FG71EFHF969GU0iH5SndLmzZwipoXNWf7AN9k2chLUrKB6+biByon47gW9KBAP6ZbwkgT
v4tjhtxUmbrk53nmBOMrlr+nnAI3WTffKdlS6uB3gsF5HzIzpgi14FZ97QDcaLYC7S2CrSTWX57q
1bYjkL45AAFoouqzyvA4aLcaxKxzWuQe6a+wsSWxsh+L9GXK7/FyPXyf1YAUYB1+iBSJcM8rRasX
RXt38rzFREu011pDXN/fiwalAFXDx1b7mjeGIaRnzubmMQzvbLjURXViGVd89LyNy9jtUND0yT/Q
TFAqggFacCvZmD8goNBxiLfSzlnDEoJYgvo94u8OdmeB++PBJqsfGGM7e47BcrmPnQ5qii2U7g6Q
tZcZGZ3H6IEbxcPnTXfuvanPvcxSg1eGini5rfpvYLAD6Je80RSzVRrOaAZYAcVes/GLuPxQ28DH
jgJg0q487KWnuOrYkzQg1TOEs3zTPXBDJr93tmjAC5Y1xMptokpi16lbX8cWnQ5g2egcgXiIeeW5
RLzyEAp68Rq05b3c3Fqi05+JHpGC7z85ztC+qJnU6AlQ3GAFDsiAz3XPykjl5v5TnKXUTiadzBzE
fSI2iRCa3Hdjfhmw2DpNskBaCXEN0B+In7JnOs2RC7wVcqhXrpVjcwlVvl7uzIUV9cTokD7y8YWS
UqVN7NGXau5qMK8lQBakcMEcTk8MdaVNnJ2KK8pZmRx29rcv7+PZrN1QS2rB9YFrsG9kHYRaKxGV
FENB2rpv9ZINkJagtQ5J13R7pd/5CV3KlITeWA4UdepXIHKM3CtY7r9tOL5w91CxbLPrZLMXk7xP
cBBphj0bBJuleoh623DPscO7GkM4W8chCD6gPQdsVNurEBxwVJ3tJmgWQdAWe0J8PDPPuKkkAJ2C
2SAgAXYnI0YO4LD7wRRZGsCcBv4c09Mkxgxv03rpH8tV+ilA2qZUAw1ZoC4XjpepfDan8m8yWXcz
kO/XC5fCrJsY2shVaOrQquN8f6UTh9wGCDh72X3mzL7EFEqxzjgbzxoymdpCr0LQpxrY4vvAVtWW
fJ1G2fdRiiXgopUH67UVlmJsFR82idpycLyMfJ7dDDFeTETfsVuMEEm2mO3EF3cUc7cWXEFgmexv
8xZLGdFbpFiYYwqePusMTGsjxxFjehHN34P2Ahnf1VYXoU3ED9zTlwxJkXQxw4MVwwIkDCk9Qsw2
TJraiUjz08RJNL4SFXCcSA3gBPjkTRYGxdwYei9fcuhxiX/F0M5KJ7kWVrLXsEqTY7FKsE2yGE9l
SvXU74zmPRfZzhIdrHQs0q4TWTqVfUzmsWXVI9r6eqmpBPAdzD6HCrpA8hcJE8vZ51j4I44fFPTt
zpaGYdnMUE3mBm56iDTXUF1716S2klX2+NemiWtYXR6/sTAEocWkF65VbjeeBXlmZP76955UKgHu
7EJEIi8vGMogVUaJMtcakj45LJn4Jp73wxYDGIHqV2lpsgJdawZZ6OzX1TyUoeruE8d6xwNIBQ5n
tRpLOdarv2aYUvmPb7nEOHMHP5wF1c35hB1w05ObAa+vGJaqJWDyQylejGrAgD/OdvLQ8m0W7tXa
KmErp9jo1O2e/7fdT7g/o049woYDL3Sh97Qs1s6V97mTXhMxF87qBwlddI4LHyEl2/cWmYnvxDnD
tI6r3ho31/bLY7+sT5jCQuCjYpsHeXPUHQmKw4gUFLosS2i19AD8q/YKUBp9czV+D7uthEVcDAGS
0Cqoa2TCH3saqNHBKx2sxdDfSAH5/xNk5d67LTstUP+YnO/hW/paS7+qsSoKFWiKqsgFvPRJCD02
ZmdefEuWbdTCLV9GSU99iMBQl9ZARqhrKznYkCkU+NBSKCnxAfyQGnFpcC6Yq1JIVDwu5kt1oysC
Yat0gfXSftf3Fc09sctrd85pSDrPiyBVwqis7vKvlM1Ej25HkWP3Kdu1Kh3V1n4tcNmrSs0MuJ6f
Hznlrk0VntSg8951n+vPr89vpDTqJh2EoMvRZAifwtPtQhx7gqguJ8oHN/0D8BqJbv+byJtSveXW
r9XvcuKcR5Yu5tGJ4g+irmHpxS5OebdicB0ih3eHOQNBtbGkJ/kq1q+BObLrgAp7OM3/RET38xdR
17mJ6/6mQD/CNLxQUXL1L32K0zwq7WtR811I5eW2myaqMex16TWnSNkoBQy2fpQiEcoSjE6uO45m
/pVqVSFl/QyxBUcYpzO86EBXkph1LRstZL0FuBhyVKmnSImx5cmpuNETm16Zg98Sv1ULsbkM0eIA
Xds8eqffuElnWNr4/smjSpE/1Q0JkxUVLpBPFYSwKwi45VK9jnAABXzwkOn7DJnSU8ZAX327OwPp
7ZuTgqA3iljAYD2RfMISOL1moomzfl6cfvszL+lLehVNLxD2/Mvc/2fBCV2fVHxVr+TEeK6KheWK
ZvfiF7af+aX8F/PiTIW+WqD8PS8f9Nx9HNsVmOJrVvpUy6tH7C6Os3YdcX0EWxzsroxfH0QyQS0D
9NGkNpMNtQGxoAFrsSd83mOXHLYOqGE4oa8dI2ba07Yt3zZAZfGv7IguAEVP3VWameXAFj3MCS9m
V/7SqacYD902cNZFsRIuMIr4yPTGE+0zPT6yfWFPaeiYge3fqmxZJYg5C7TERFixV2UJjObnvtyZ
JxLFFgiKIMeamNptE4hiP3ZrQZtDWxbPbcywS0Jo9D5y9ZsUcCaoXk8QXQF1jDlywbNyGxNjoAam
v8FKbjFVXlQovYHiaNxKo/r7XDgIQlrx6b3TiCmEFOaZjynClXwzbNXKZtmcLg50LghLAHl0B7sh
CfUDxH7qwEQeICfPso8uneAD9M9fcYuKfzpwpXwgTGmD69wWli1QuTAeUYMr+ISe2E5NVzhx0Px/
XxC/PufBotPqCL4JN6Mg8QpUvnIfrL9rMKkEhx9MGzofglhzVVykChVkC4/aUgkFM8+CV8L8m4dp
+e4zn1SgsxTSykcrpP1bm4KgDN7FsbvNCDdgQOuqYvDcv2bP/uDk5Zwid5U1jkCcAIAEgHXyNsqc
7YXmx4uanNPs7NxilT8yuffks6Z90hgyzH3IjEZWrtMC/cLsybEzZzgUgvzbR9UyBxgXWN5LPlhu
nRLVn8EzdklN3WHQovo+7ay3PZRRazdVx2LiFdHMNdFPCQqZuFPz1isykQ/rY6WjclPhf6k7+9Xq
0kgOPyRTEDKW9kSkW2yvKw93m9+kDwFSQPTYIel5E0kyx56wKV8CncGwAXt8AFoGQA37FAW/1Sra
WUhSQUi8oauqtQxcWCXIEdJz++iebqfXB+HWk8c9t1C8ces5XUxJbP02SmmcxxzLcDiDUZ+FRQwb
eKWAQIHeEC/1Ga1SSKg6BX5YJSjPcH7+UQdlohsz4tt8L7a55x6BjbehcS45jFWReoQjQYFR8tf6
0otoV7FpQLQsLJvbk0bJgdgmoEbeb4oplzi2OGindZsmaWwbI96iBKakguK9gwJ5c21G6Gar2d11
5spoEAWH6WHITs/a2gpy2unP2xH+8HkMahwJV/VBG4OaKU6vJq6kE7Q6Izrp76fPqyrmAg0flc/H
W72QfOeCi7kZFCY33XWxbn58sxtiYpgExR84pg78or7DVU3HtUyEWoRVkg0HpCSluDpQCB1PoBSr
HDloQfX6WlZfgoun5R7kOY8eZKopRFl/oSG+UFcfuLvyTjxeq9SZEOnVV0E3jlDPc6mICxn77DEr
YhhtSqMehHIy2zNYDH443g46YHDqk5IuUgSouGI7hKAYQ2O1UdczdZAQ1OL79FJuJmm6TwMX8rXE
KpTrij5NhoW0e1bYDUrndKWMX2iZ4Cg1MoSs8r30CPC+fqt4aEXGM/tNYTLoHoK5xwGKXhlCZB95
xD7FAU6URPcF+Y0NpMM46t5a7JupS6eFEtFfOdB/dsE0kxmdGFGKzYInExwX0/tO/I3w6OapWzCV
HEQodZbhDzLRSgOo43Sr70NmVD5FFzjxPL8NSImvsPIvMcBA4huuMtTRRDWM2fqLQE73pO0defYk
q7mRsKTpEZTI9xhICAbv3xivYaFLDqHR21SsI0JEEzm0S9ksgCjlf4o37jhHHbR6h/9cE1XdanD+
eOYS/Vq/GbUhVJUstWtUjGJTsCEYlhvVBnVdISOvMY6ouwDyDkSQxLnfEBfdrJ/mJp/eUA14fXy5
ZY7G/FkYIx9oqPPnx0xUfbZoh5QpvrIox1kXMSg1rmIZJ6gVyotO3JhTH6g02xPCpat6EhWGw2WL
YzwvkTf4vIkwdlXTwusan7dulZaNkpwtw3tvZ4YNqzwTmNwGbo38brCZwEGvCR0uP/lxZ9meI8/k
rnki4Z0LdAfhT3E6b+G7x8+gxFkybzGaTzSYyQ0vW/WH97SvyNQxH9vvvhob9Z0f7THqIWp4TOL0
082T/6f/Js7IpA0Akv7qtokEbOtH2NI4A4fNyB9LRr8HJy2yCMxRdG9c2h3GBnWtiviaKc9oJSqG
4RcQtjbwJAc+oykbCyV+nZl/vGqmqNrLaqP7r2ldCPhaedA6f6OjGCrhPGZEhR2s9Ko7aiLP5M3P
MRhhdW8j16/U+3JXtHIOiNwt+bkz2ssTqN8ijT7w3vsVtlIw50hjtyOTQkp8WRPJ6oK0gW0AWr+e
F3pf7gXbbC4BpCO7nJABSqPqoy6Cp9nkUY0JSMnI7Zv8Kln1638XjVpd0h/rKn+Iivu7ZZjsLqAX
QB9urba3EpU6AODe0WV27+kQ1rosLTcBR9fO5pz9gcIhvUWZ/QD6i5l91Qi9r2D9nn0DzHmyi1jp
jNwIVwHBKhoMIVakkar+VZOsJPGs8aL7Wbiq2hiTpwIgC8uDULwlUDs+cxhCRCyKQgNSUqxZBlgl
XCEvHVAwJSB9bjd139gYdyUKXH1QZ404ojXbhiIsDm0X1t7DClA7XN/+rS3WLpF1I7UhmsFrTLIl
Mw3XpSCsm+wFBQV0mkfacOGA+wFZ1lITw2aOe4dWMyt2K7PF7cuUPLtvYHYR8+oLo2VgLZZ8pDD4
Ybwj8GQIsgr9JMJ4bpnR5mEwtF+jruam10c7ZZsagp8D8auAruj3+pTThlXDNy310zIJE2gU8Cz1
exVxo541npmav56cHLX0gjG+6TkqZXoEoyaiDc4W1vhMkPFcZHIoVl0HFfojLo5aQhRTbP9xiv44
hkO1nR6/w1DAORp7jJyVRsZBIebzXysru1sikSCPDBSIvBiOMd94zEDwCjPo3lyQuoUo3L6tL348
6Y9N5IQDs+cv8vgnq6FBSRse0jonsb46YwT9WQGfp+0pzQAlvJFhsPxWsIU0wGhSD+8L8G2meAlk
hyzW9UFUw6fIp5aR/aKepiyLZgp+92YGK1sL7Ftp7ZVGdrAjZEWsDUBvO3Jgdt/PHFIA3jtrwYpt
tYk18a0XyHmfZ5bWL0eeSfT2T+lAB0wG60srtNGCvPKHykgTjegKEzHU6FLXeEFmh1D5E4Jf22b7
OzWTXy9m/Dg+Kmx3zBo2VhIjP4SEUVWWLqgi4Q9mkeYONB4nlsH+dLiL+gn6eeJvlY77R3ElEhf1
7NWKvRnP7ESo0hrg40sFU3DS4yYZcmLmRHIRGjkSF5v0QtXyB61iXQ+m0yr6OBvdWJ9w/b2FgKJp
NLtHXBSWLgAyoelLuMqUxE8jf76MsYTurHEnTIXReIwVHR23OMhjiS+VdCOTahLITgI2hdlBEipr
TD7IBfepEMWiaXdLjYzjmmlMBSZTOc/I7wIQx5llNo1lqBBsMutR59pnURTcQY118f2KVEg4UHCz
z4xids0iW50LT5jL7LTDbIi/+ZNWa8xNFCm1lpuQslxQPOolC3KzFrVrXwfF6B6W+WLGr45DQLUf
RXh8S0cTX+1XNz6rsj20EXLe4XP2ACBcm5Xu5plquLNb0GIdPKl4jRSagZzTDkNTKpsGeOp1A/6N
yYHDSofNiVd94Oklh23NFXoVzBpJgwO+CS/lbuzUiDFCW/7WOiGcq9O+4mmOesv/qyuYjUJyvLux
3h0xPz08hQ89XTVxUbKvJOX0EGCS6D7I6LZu9EhzZOYLQfqEinDuG7YfMXGP1hn0wn/ASc8H2FY5
H0SSDExd/Y1fBmox5mY8YG8m0Nn2M3aZ3/ZcKPXwk9TmmmDg768adiB/54PXxjH6oVG2gzbvct36
4u+/tl8ULNBZHz2roDwgkRD46+qy9riMlblu3EOsJqAuwEhy2wxGXjLRTcjA6zThuQoStDfswyxL
9CKL3DMxUdU9ZH+rBSDd9xHMCwFUzCqLf/RsPKrnRPNcOYeig6kDAQAy506sDmvV01tigKlZVOwS
4c0c4rEibhrHMQf9HXP7uWt6xWgyuIRvV7xCwu2RLphVPfy51YVWp40dTM0w6v16X+yur7fBr/eh
zlsT2EVlxCkQN/AokMr+kVrNNk6A3yMkFK2yTsdBVhn2WGtQnVxTRqXysOYA7M6Tgq9FMA3fiF0v
V+MDawVoUZsDS1PJ9F8Xlr4ET+KuvooOVmUK6xcftXN5bVLWrT/QmfZFvOOyiEgOGi71GUCqYAP0
mrLxNGKl6fQtkyECVbaUJY8k0gjadJ3oN+Q+qAoYatmQXUMZ2qiaQ+F9xqN8oLkiUcM32L4a+0Lu
8pFIE//nFr6+zOHAohWsL/FA06UTIAj0chiW5qNrEZ9+Lo/DKqKQg7QhQ0CdhPP7QsxFFjLMDbFv
MTcBn+N14lXdrVwiyENSieqvIZJRKrFCjTnl8IzPbgZBSfceAoWN716XZpx+2mceeZ4bYM1FHPFV
27UmqZYyCmYWP+CmvAyE4AFv5aKjtTotGZmqDh0RN4sqU07duMFtbPlXAF5KZoCmF+tTXML4JCXP
rpnqTCWFv80CJPmVzTNjyVXtq9Setzz7dDzsq3y+cNT0oVsHzeLAQPwQaVx7pjb2pcy2iigTF6MZ
wCHY6/N2HfLPe/TuursRe1dTXxW8qnUMpOA9bN8a4afQEVaYqp38woHjwRVSFSKbbDpxGHFPH1Nk
RTM1gxxsE83+MXBds3fd6xovTdzBKq75uxjr/wwn3gclSTVm5ZpgJ/JHfwlvC3hKP8Sjsck7kZxd
BLPoM12kbrQx79wZ3C10vzAA5Hrs1YsoGoVwlVilcgj3wIQC1+p74G+Fk1GVxcsLAL2kTgIj8rzy
UnKoe1TML4cTlKAbRgzBIa3cVckS02OVpDHuKbNegFtmNXbBxpM14eI4u4PczwgDT5xjZefo7hMg
othaVzU9MAAxQ87ktxhxWVaJlX4TJ24hhtlwC/VzmQfltmTXaIaIwhSz7391WWGd0Ksxs7FsuWy7
IM5hKnUEYlk+2xNuIzk72Z4dLSM9GloLRXK7vpvuvLhwo1H2NKou62OMy4yiY2YCrDTGyjZHgQiC
O0ucaCOUG/yE0LfgTrBobqFH4RBgtqZKevVtnArJhlmrRNK8qU0DGmrljzFwPF8HIjib6zLH6ZiG
Wl0ck0jfXbapfxVSJiOkfHFlNumV4Lz8uBMbJLHMV0zGbKBInV0Do/53lGgvNCKL0AAFjItqsmO0
eNC1TFOAC7er11+Z1cn1KXoB5nK6q91BL9vajUE8W5x1JvtjUq+mPPvu+24aswCOTSEFLpYTdFOA
irZxCprP5PwvCLTFnO+En1g7MECtVXhx7xBSL9PwO1fOXFsEw/S5ZhY1/KPXaIDJy447YLQHI7/a
x86iM9ZLsK8bovEwql1TJ7LGyKbXqrzH9cdPTm8TGHs7m2XW2JJIFD2dZteJLIAaOpVgs3SPT6X+
9CUip3bxJ49nj54QlWuMrmVTv6GAeBJiBoU7LG8Eb7vD182ReFN7P6GAeYw+DyqfZcgUn6GCyMUg
WF/Bv1heGPQWfMyNzn9hu/IfYPqf7Ai7lnUfEiZKN+Zdx1vQxEFDNQO47LxY/3i8OG4BHyhuJQ9Y
f5FtUVsCp1zXGSkxuUA72AAoMnh1Qw7fBV0AC0fNlMGUEUBbCST73mURCfvO8TmlQ2/sIdVCPNfl
MiBhTccGsw9LzqU48WTfm5MFucivGeTWBSTht2mkcksyoRbaCr02F6BeGkhncCJWY8i1c2XdSZVq
ELsWaOR2EmGiVOCQSgw3YLFMSXf+4M06Hj5FytV2DS6WVs8E7YtJpC0Qy/Ec/mG+eB/s7n52N2fX
XeWJ2VEiRNIHzAXBa5zNM2xLLuGgQ6/augkG5rv0DGj+HDax6XHZuAdLN+S9lLDfVKe1UDTP3i4u
bgHHn4dfCzQl1GKlLS0B9yyatHw+U5wodU6RmrkBdtX6HvZT/bR9V6D/UDGczLSRpzT7yZEFB1/H
50OjsdbZBnRGjyoyNMECd+FMj+eR9fkvEzqe7nB9QxLTWr7O/1n5yUTk44ITHZBRd+/vG1S6my0W
Y3pkoiZLPYkxFCZPp70xH6ZI33ER8I/fJ5/nZK4OxWvVphkOFMMnJPnly+qL6LdumqnyPCzjBhjN
9Nq47nFssPhhJai+IvLdM4d5x3tL8JyO7cjlHv5HUne2ZdDTKb1IWTu/k0fNxEgpLBgHF5zeUUkB
snv1hCUlvbOjaootmx8RmX6DjhPGQgCtKmDBnv4sOZSjaulAuycRK+kNiqh47NvBZjMXTW9FCLcw
x0InFKAgF644cmk302yP3C124AYDc7jkyZKRuvOMmk8BNy5g7nJ124HvDGeWVgSPYAh7MkR+RIey
vStsedjBsd3QkycqQEM9hxmOTNK3KjVDyqSuhlUghqcwxOgWQ7e878I/KTLW2IW0E6j60nwNeeZP
7AZiL9UYti7wFyTr08lyJq41DcuiQ7U5EkSmn6fHRV3JqdNp8+Y1E6+5isTYfdMgof6yg4S4vfXi
0yMGKKlfBJh97jaO4uv410sUDweEEVmsjR2Kdp7ACXnKEc5w+Du9fw/sRvPzvZACVq4yEKoqsi/2
eXjRsSJLCQICF64TpD/wAsAhc++yHNm+XHRP9bWSFP+Di2eBp6cZRParuYhoVPxuIjvLlgBuA+Mn
IPb/cZ9MdwmOBW5msyja7BScUrbBiBhOALavquFyeLHJ+HLtjwZQ1tkQn54Ag1/zhtY3BcObwBIv
8LqI6FkXwQvBvjHi6DJ/Js8TDPbW/HBoHPjTD9p5fOxhmiw1ZlHFlsUA2u9N37z/Dl7tzBmwEtRg
K9xE93FWndQRs791iFwQBJlxmFbX13oGFIvx497iQ8hjZovym0Y1XM5GcfiG8e9ltmklTieFVBdr
dB1cETELG83tmaFrhkac3i8Tm32hao4ySB1+mJKJ87a474M+a6beAyBmM0TUXntqVAfJOrMpIjwR
y44YqmFoBC15iDuHrQS1B0HTA0RZK+mszGEeS08cEd+csijMMGT4iOm4jPKuwAjcajZ2QgAwD8kN
4v323m9F8IbFyfNhqAvfHyq3ApYMtrMVPlpDumHCPbcNy0pzG8EfiIA5IivRGoAniJaxytJ7rsHz
pezsitc+OWGH4L+hE2SkE00FIx6NKUomkF4Nd+fuFkKOlcKQC4K3jAOwupeWxjLNhFC2PMazSWsb
Cm3/UifW06gMD4izfajJamfMtNLpf4E1M2dKnVDoeyX7Yruoo4T8y8vfgd/5mBUHGHJZvtS71j94
otFZumI+PiLkjuEbNPnVmoNFBLDrUOqZy386SwBh3ktfbNWT4XOstk0rujOQWkRWUUwmXItRZKEI
aeX3xn6KM96fDGytzyVpsdmrj328kVixS+j2SWfzBjSfVNkqEbZ1JSK3FuvKo0/TbEHuckOqaAfO
6H2/gd48NwBz1DXQ1ZkeGvQygEd9hE9NSHm7uUdEKv0EAWjyYvOtjoh1gyI/2uOCTLLuY8JP7NY7
JCMIT8wb5kMqUSy2ntsLo/7Pt667HUvcfBWZsQLOa2O8pHlCEdWYXDL3YrP0h7ONdkII+nwdnoSZ
Vyoza7W3v4b8ZbDZ1/oWZEgcu3jLx1qbuLhInYIcLLx8Bp4S2iMEKr4E2gue1DUNZKdLH9fri8hR
8KjuEo22OTjGc8BCyYmANLIxiHlOMFsQzMDxEAX7Je2Cz4apLVgIyUbEej31Vc6TFCfZ/o1a0b0D
9pvnkuSknH8vsqjaoDHXmaYJS39BZ2IoNg37t67FR6CT/f5fvNiIYip9anPIUawCMF3/MJNqSLPG
lAh4CE8UnVvhYCIMfqIo4twfqrp4tmH8Ki+qf65W57+t+VTjmcqYlMq4/kjZOt4S+UecCbxQoRd9
CO99lvnBCpuICugxWxNos5qso7TbYhEO0OByWOGwDesgvJlM+k4IEePak4RxVHlcu6pGKIuvC89M
iSYj8X3CtKOAxOD7cE2kHvsn+nA5BxWhIYNuHixvf/uvA7vToIEjRqRe9pu83/oG7RjbwobPuWrP
HSZkKr3FOM/ArWPTqnRXvVH+Fw0ylm5Py019y+byOeg2MzPs3Ajmto5kYyuh45Yk0dSaG7Q5Q6/C
vIXPej5TmYaJar26K2/ixKTVI2WI53ILyri8ULjsQrskRoIckENX4NT/iqH1HyHZGUp7lie4OhKr
XqFcxPx5R/dJGbyFPMtWY8kNvokUVpHxD7aJKYwCxbse1yH/xhmZ4L5SLx1eXDfYRPeDS383pw0J
+n+U25Tc6cyUETestbM6OYkGKKzp8yPMkcoJemf04vH9Zw45PgdP0JwzzpYT0vlMON9KAN+Qqsfl
DGsCc558YshsxhNUExDVgtx32JZxGeazRDPgMQnwj6F0MeeWg1c3QTwNOvJj4+GDsaXYE/a0dEvy
Axr1UBv6Uy9UtJ/DYSM005yCrSbdkNwo7Tso08HuhNJt/+hZ+nQBFrDftHSzx2QfUKNinlFNYM7s
0b8aaWQlItKU7alXnktg9Qr5Vk2QAHMMRLUo2ULcDakFquet6oL8zkX0sY6qXw/XQWmwm2attUYV
S8bxTnHU0ot2Kb32Irxvk3n+EWBWiJhUudVSdVnHxzKcgvK0JEBZhVl0e29cJR8E/7a2xv5IOkNX
yzpG2VOhL/OUBkZK1y6bnqASQdYmkp0pPcjxE23yn4f39wQVrseiGbeE8KcjvabmC5sZmhAvk5W/
QBxm2c99dtjLXCTl7b4nYuO6HbnrfqR6lPmo5qD0SavZgsgCM5aIBRCymj+CQWRFXeS9msmtNgDF
bFhQztVHUEvQVo75Ht2Un0BZTT+5EKzJVLy3KHds8p/pmNUddWovJ3tV/aqjmpGyz9KcBqVu5hTy
Mnn6rzKrvtqCLYNThLRvee9vlWSrQoNyOY0EOMhMdLTMyJCEjt9Gte0sFmhfAWHdkI4XLHLMTtcF
OlKd04GCpibis6aqyl4PVI6z2RfurxxVa9mncaikioD0NsMBsayLauVEmlZ8xTGBm0mPdhNWcMi3
hTtDpkYw5QhvjdZU8MU9ew9oNud8l83ko7gNKFNEnEHHDTllNQF/BemhngR7u9+FBEzO+7i6OosX
q26/gM3++ERs+680ZVKVYBFNvGm2xZHUJWU52h/k9UMk03MBxPupRJa4QtpWjPZYgrsPLnrQsZfH
Cf+etoCgAvE0d72uSztt9R9iZ+cbP/N/dj8B6ckyJ/R4DVGxdAyIbs9vHJjXlLCzG5aTYiOCuC9d
fOTYbXtmsIeERh/uB6X8aB8Q2pvNtP9txGMCQTiuNeVkhW6N2ZnPfS7KYe84qDl/35bOR30cr/4t
u2bw/ou58rw8PuSL49QaJ+7zjWigNv8K2FaKoiHM8c+VWxsdCDbg7r1ulygW9Z/i+uZCfT39aJtz
m5U/j4r4PZE71MVNfJds5PKMyTxJrO0x1Gn0+4SpYN8p5bRkJavaR/dRWDv+x/4tG3A96kjEU2s9
1AWBbrp3jqJQMX/FfTL8nltbtCbgztoURDKdO9SkFNKXuOI7mb6U/FgoeE5r9nok+yNMErpMEYRF
gVWTG1+SlreKca+i8P+gNZ36OktlGj9RtNzeEyanyIA+xIdONSkND4qCttpbAkom+A8804u4CB0v
21BL6Px7uW9PftITNwPg5PG34z9IJnRzuNprSL+ww11QdOHcLsNCJADeH8OIme7VTkcd4LTOOz8Z
i5HsNd1WoaKVUc+9e8QzrZcJ7lwhdSw22l8EgoGdt2Qx9SS+KvJmukj/50qxG/j0zDYWOYE/U7F3
pA2b0/IYoaPkknCb3ZK7Fa2GHynxHigKY+od23Wd3l5KaicDvOQPJncDoVnMBv8eWQHKOi6ZMc0e
9m+I884rkSF+4GP0rxM7d86DtbNIM4NnsY3987ArYVWa8dROuXGks8sudYPXSe5hOtE49Sw5GXyl
KdzzolFNjVv9p6Mt0aR/YzLIx9esOF/esH/+wlhMHnJD1klyDtBWR29dpp/ipKoeIhIWgP6AsVTB
BCTHGLRhE2jpg/57jfSqcYNW4Ua+gyw8MS3uyI8U9Fyl14m1hJxKErExABVJt+U9IUTDN09sRhoA
vqJKqlAcC0B3bXF766tQzrC8PjJFAkOwkdaMzxYsylY2Qz75h3iGecxs2HYNbOkktIT3h5WkRdli
NSyBZp7pzTb0kmrGo70lJtNek4DOkQCvDZEqI8MWNHgsnB3a9Tuggz6XLhW2QAKV0nAs6PWmqKpr
tjHvtot5W+O9f/Fo3O0/qFi+YXpLUVCciSmXlSyUlyJim9kX9I+o6CI4Tty1dff/O3PjBSYfBcBB
tsRalhdwfa0G7Id7fGpvIxrdsoHzNW/iY0W/2XYm2BUO7iPte6a0BlAgUv7dy7PfXkTYGRy+8c8t
NljhNVv3wpcV+eWspXYiT8dFt41HBkLLOTrloXWSGTZvN9Dn0qhPxrQR7fZkYKnCN900Etw3xTjg
ll7EptACwDWmGi1/qcJnO+5oKE69ApmDHWQu8OJpr7Pyy9IZTbJWhvBKttqQ9t+L8kd+1s357kBC
KqzH7gI4JICM38G5Zcmce7ovMqR/oHx/BEurOxeIGB9GuSaLstkRld/aeaDle61TpdpOK61H0xRw
DpUIMx1shpeepKD6/9rRlkVoVyTHpPLHR8pGCLRpHv0Yh4NFNaPj2mRneQGk6byvK4B27w8D0NEy
Zg08EO+sc+ZlTdXFtFwweX/opZmWdKrut1nnBQhKbdRvLBLVO6uPO3JIDJpGuh1nmj+tODQ30Z9C
Do3pone+HWGMbM8O4KDDNuAc15U2agtqDXEhSqVmhWY51wBPrr9ELO4j+7wa3+8xhurjj65NqkJ/
Ost5kQlYqOPwXG0Z5U1zBg1LCk68EZeP3Ex4aRDL+4wQdmUYG+ppJGVMKJe1nMn+b6/7Vz45H2/K
qoq7kfqR1XMjO/d8sAiI/qYLTxgxc4w3Xli9A4WXIU4Jf3C1RN2nc6lFEke/N7rLKzayV/0VWEuo
Y/DgGsD9oZrY9JuHFMeG1AUTtwNDAyTXeFB9K7OQZS3P6wkqvPDKoPPmMRkjn/b2roTxEBK+26k9
3gbswGy5WGef/0M+K76Q0gjkH8J52jgts5CHksHwQ1waXjPxI+J2igb5Z+lKyWselqnXGh962zPA
hT4GnUEZuFOUUnwJoocRSOXCm10NJJuya86H8NRKjd9Byybd9i379xwjn5VshBofPj1zRCZuOnmE
nJFHdKuAYxJQX3+Gm7L2cCC9SRLRm1N/ApY3Cqjk4Zn+Cy2JOZ4YzyKwjetzuFmx5upiqSb6KkLK
kdLMvSvfECQy/UTx02NjWbmmRI6nwBAkwCWTMWKtHol5Tv7TloiiecjwTBkQ97H7/+mvM5q7lSRr
BSxjv9zVsHG8eHM7D4zJ7rIX00nPRMOxYAzvXlO+8DECyzElHxyKMkSDnuGMLxpW3QkDngC1E6Ea
1eoRbd+ZgjShZi9NVkH7Jig6SYOIW3J9jr3OzaKczCOCQvnEpCQxEdSfpsfTSCG+An9Wal9W1rv9
aloFlBHgmXkSmc4TYGXUY4DK7iQ6Ym3MlqZ7forPhJGv+NrHFAWJoB9B0+FJ48WjANHNUI4zVuK6
B5/t2iM4C6XCPSeWB5CbXAE2dIzGjJgzwj/UfrtKKeYtF0eZctptQ8IU/SbZ9uzpgM+gpJR1SrR5
B+phjjZkbO2sjWNpzUV81eyu3dRa1nxxR/HNGmRSwp1QOd97HCo0N5hbUgBFrGeVA3WypyhORenv
8bDeeF7Gnk1eLZqE+B5eek062DNfcqJf5lBa7TTqznEWT8R/QQjkPTrbdxokT3gzx7HflD2xnSIk
QHzRD0RPd6uA7Nedfm6OPD7syfZ019yNTZT/udrQNEUvl5EuyBYlMXTmI/q0tGpXl40am42YoFiF
gVkYXIH/ZWBQfdtnbVZVfCndykHweGgr6J2lB6hY6NwuOtdg3uObXVuaKAwMF6oB8Ps/NsxKXKqf
61KheeeWH/MPyur5IG7iSBUEFWvyb63Zxhpnvx5/AR2UiEtCt2k8FSxaNtkWDjLUM7rMeqGWONSA
GCNV9eWkdpqTeXlRa/pMw2qAHe+w6K/7tVjsOp7K6sKj8k8jbLrSDBRVULSlggHxJhhObLWq2JZi
wGfQMo7scGh8O4lFlmZIXxDXGcnmya32I56zGMoCAGu2sNKOyxYlIqbYYmq0gsL1Mc+A1nOMAQYO
J5sbuExJST3kY1uSRV1Hh+A/2RPHG3N2LtVTRKG2SgUsoZdMnE2EygZoHWdZAm6fIhyJcGXV8S51
I3LMn95FeXiqdHP9I4AV+s4pngavlKlijXh+sHxuL3sm7qWnRz0zZs5ogBhGvfNjsiJy6OC4UAAv
bgdJ98zfeqW8N2L74lGwa6hWrjeW0JhnpjWuwxUK799y4Ftc7VWAFjrpQB65yzt6NLpZj3kZmXAJ
p0icA9pzTJ3axGZ0juBJNBCyZCxlkVXnV11HHzhWl7WVn22Xn/6mZ6FyqDqCWggPxfIygqkK3SjH
hIBV/H4eqYJ/iLTYvHr3r+EKNBL1nR8QMMSXxnkaJUtK8iFzGYv7lfik6AYtqbHxwowgweLkiwkC
Ff3+Q3hE2ru0v8+cbIi1ON0o3WzqNYArRppjDGUVQXjDvD+9xVstA6pX7EPVHCmhBMQK+veYkma0
Y9UBD04gvXo1ylLTT1hkffzVOSIKCIhzGfGp5kf7sqx+uYicgo0vc+KIPK9loYQgwj9QbUjWA2BK
rIqQiZ0th+ua8/ip1osVUv+QVa8Liftaj+e2vjpOxJwSORv1QtjW5TBLlE+wpdmdL/WhLCUG6ZPm
QocbmweHUbwOg/rINmubQFBOOgRP/fKgqy9OejjpYEbCtW7ZiH2EtuGEPUF4Ds9xMPm5xe8pBW/F
Jeoasfdb3yIMCfhDrdZTIZORT6dvqQT3qTsnZS+9h8yVx5iwZEwEEw2GBOPiZQR2dJJTpYDazod2
gqmwPjQC1z4JBTpHeRXGj+Lq4BPJ+s4a8ZIN1l6HDyBwTSqKQmNMVQrjipr4UmcotvU2qIOwG1Oq
4bzFcTzxOXBOSRA9U74j7m+VQbAOy0UIAVUYjgQXLSKBCtduLJX1WLzbYxy9MMOICJflpqnThbBv
CtolTYULNoK71LVm5gFdyKJUGfZKPV2Fn+CzANK/unXxBfM2hWQERK39ybvQxakIxR0Bdso3xHOs
AXmgewXZQHrAeVkpPYdjauHuiCr9hIZafnbCCkp+SxMhTdOHmdKbbT6H/YUzLXpBC6rEfqYa8snl
QxZcXrjcH7bjxQylXPTo6Of3VOk3VN+xqqcKU8sheZt6vw/xXUPzj2OuaA7kggDX+2vttFm/AZ2X
jbs5NmgIWf9aO2hJVPhJlvN9nvzE3CY5VnYPSxUT7ove66ER7zzhUfXki2OKFZZESxioH0SWx+s2
Y66T8PMDdCIbCcjvQUKS+X5I3JS3fEnTXP3DhkGETOCWLmaR0CTMjpe6R5oVk5MX7lSFnhmH0ENp
31On1e8cDqCcjZ184mRzBD58LgPh+KyXPlgrinKOZgfWVI4YehOlxkdi96ploMZslIP962qMtJKV
6aOkplvWsBWgtPa8xTAziKWoJ+fMq/C9HUoXmJIbcShIyCXZx/JahZgETjtDKsoeRFs5ymT+LabE
IrxORaX6f3HrKtDXlS3tMO3DBEpnpZ5KJw8ElgEaNGtL891mhqn/zfBaenOl4fEKSi4nJXW3ZA8g
+OtfofR8yMNBH84EK81SnaCetX3ZsqDjRV7FYRuvwZaFrp5+1kOkIQiomnZOxAFPHMXZcyUh490Y
Wkt47T8uFXuJm1SDLI4WyRtATOFU6M9B9EQxUAbNHChsEwn/hvT3ZsrupKMjCYYbvlIKIVxbfDvd
lRyVym7ZQvDuZ3IdnsywZ/iY2mHlQEtTnf945FvG1O5m/ffErtRW+dFisy90dptaV0siIzRBKWSU
e+2Xw4kIQZgzzO7RIEXml4Rwb4HEF4gIhnEr+Dws598lP//m/LcEiYNTy6K/22fB6wR+pJ25EMFQ
NADZ8VkVZ5NInpLn8UdoctKPv48EUEojuJfyZOBr785NdRtgn6vXVONo/CLuuqMDmvS55UE32OMm
1GMzm9ZXdbHPWPGah9dx6BwrKuixTlTBpfNQaXsgnwCKjnjn6uX9ayysqRzlaJgWUKWQarg9Zzlm
sir29SxXI+IFj2ona6UeJHo2UiXULz04BtGFvJphByGxGcL7nRtPDLt+wqoAb4QI/IZDdaqnrqPg
yWf7ugnBRLOk6tn82diclFNCAHFk9uYciChLFTu5Rwq7FGCkiRT3RQyHzJJJLvsBE6uGHt0w73qE
fTBRfUfftxnH4DJx/9xbzhmBrwPJlTwrLPIYZ/wXHpkKnDY6Ok8yFTD+yN8foNeBnKK4p7+q/hwc
vEWGmpWOdEAuMQ/IqZ5laeDVLKOBkB2IGnrZY64BDABiOJSjFcf19B7OlpRxbyMwopnsIm78zgkX
FR6Tq5MMgc/5NjAv4VrFx0oRNtMDO7OmQjqx6sApyldLj/gmDJTTIT4o7APue14da79BbYl9VJbf
d2yWViTrAfgim+AJ7F9wT1Co9E7cRK76jztxQGccEHcQfhrzvt1hDMcF3iyeN7xDAfjOIpXTvffq
gMLo5xq2m1oEMVHDsD6dNwNW7y18ANKXnuc3uZDm40DfRICaAIlXf0Ru6HEkJvT7BUczmEL9CGvG
JcFDunBK/khmpTkN20BbniCTZYTAxRPOl3UyvBOi5ryrbOJ7Z1c8dO+mxjYAIQhzcT0TL/3/cvz5
o6CGNUPOlzDouhV8YuXCkBgoKkRIkd64vHY3ICSEfT7MLz2jdpUNsk776Fz2eBfS/S82kMfQhJV9
1k48pJeIRwi1wcgMZDbUx0noivl3TiI+yC0ea5C3yP7Jkkpw+71TnFcQZT9RUbAe5LL6Prgo6Yqr
Gv+v/vFVbpvCXAtAjk9LPKnzrojZPzVnUSLPUdlhRQ1oj7Q+DJu4qS5aKtHNnOPLoKsgeks7x9/h
xujyPyAGeYl7XTMzxCfDYtX7TXWBtaGc35H0Ba+Jnqplhlv5g+jrMjJHwuuAHvC5TvrSOsooW4Xs
A7AlEE3fBke/QmLKJUAx0D4rFG202SsC1Bp9faYR1ncSd6PJNal17+jGGBLMEv1VPx66vS08seJg
GChaz9K82gZxhvetoV8t8X1FGi8amK+xs4oEYSByaKz28RE3+9Jx0cjctkQ8UVgR2L8qEvUGu7Hu
7p44V5qnRb1kBs7ha+elPU04yK2aFpPVRSqIgAkCaId4MWxvBD9U9krALlLcNhvnk8MzhnMHedsQ
ywlUoyAYibB34JzzGPaclEzxtWxVfHVpuw9h7bT3d9rz7AbOzi0Hc3VWJf2mGIVvNDRWmIxfJhlp
6LKg/bAcArG3SLJen6VaIxFUwL7DXV6M6mS6LEiFfoQmoX1FpAFL9OszjEV1X29v2oTRds0PbXmN
S+NbdAABZnrjTn4+Pbn900zQNJJpQUyQKjcJoE0ydjvAEYPZ/ynQuiU1IHaMlzRoNLF29Qv70ORc
PZ9BsRFHAsLOJ56fAKQM8Xkj/XJmPkFPC/rCu/01RYavO//rgdwc61XihMTXzXgqjM7BZKzBcAgA
Htk1vNzXmh2lmTz5ZXdCiP8EiLdvG0QasRoU+Ijhx0ZIgxsIbsGFGyPVDHaocC/oX2oQjRyhznJt
SHg9bo52Jhx1UCl6dyc2fl/2KqJTTnohVKhJ5Byx5F2mszCfErIbbP9SKS4lOKCG0c6y/E+wcAke
6O+gsnfm6SnD/wyDEep0T2iZLh50hNV20bs4U8J+PCQKiXMIj52DrvVCA/4rHDgxzoVH+TqMTjPz
Wsv3/JzNLokh2+DE+5clAAyuOYhm7kkxgqyayv+xfycJL49wu3iugDBgUyD7ghsvrTFcBRgxiBPH
37kWzg1gpJvZqCA1wamtZeFMn6BCF3mWNOA9rCzsUoFyLaP29GLbGKx493aEKQk3S7FNB8t3ZWHm
vH6iF/wFFg7p53TbQfIM0C1oDC60PMa8RlBkwh5V5Jhkj1VDn0vNCTTsAb7V5cD44RJG0OFUZnBg
Gz2CvLkqxo08jfi9fHxqFrG4DogAXl7zyHXrUVS+mUsFJQTlPdoTQnUv/xjXLEswIpQ3tz975+R+
0y5Mv1t4188uXs62h5lOJ2Y6dsNvXRWxdUNpGcYbx3nP4Xhj3M4aSnsiyWkRwiQZGkQGet0GglTG
kxdAdp+1GJa597PpDuoYfyAKKXJorAHxAZLwZ8e1Jsv/d1x7OeUWFZGpLjVaHlw7WXZKAtQI6A4p
QP/AykyhKE6XFlajOiKmhJ4SaO9Pue6syIB42aeshDroPCSpM2c7Q5t/3oIg1pNxDWRkDPcmu/Sv
urnirkd/XLIk/8o3xevVu7fNSwwbQ6P/+0OvOvcURjZoknC5s6ZhPbG39xPB4f00O8sxPgvxesLV
KQWcKb/0keeUrdokQGP829ux00clWE62FH8d2HsenjvDGQIQIPkngin2O74upLQUk9BSyObpKPUM
hp1jntItx7L4gji2Mi+fIfxe7dKeJNg8lh/DoSH+hqPig+kFNgVc3ZvmxmChmLFn2LfnyowN0CMH
MFIA7c6iiXM39rTg0zFLNuQeX1Jfa82usdJZ04qKkPVCBstWVUDyGjcqh+VRb0zAx4xj5+bNQUSm
LEx9Hp3T3a5N3WzNhF5QQkfYb/ggH9DfyyGHy2hw/yuo39jW6ZdccMyhuHZ7OkrcbXYFBY1cZfh/
i9My+/UwJg2au+EPf7Cj3ntN2mN/81LXwOHaN5MJUBQhw+CPxZq58CWwZJs+GmuH4BIke7v+74r5
5p+axnHi2TMje9QPPow4d1G0ZxYbEoFQr8Az+lJ5BdjCYAMpe4dzlPp/jOIXk7tKa8UFo6I2ZceS
Fe+0J7KpgFBclnKBsn4TJ2UjCqtVrJ5jYp1ijWOlY4qRzlzXaab+3J/420hoDWROxoydxpYu5BO1
+JEpbXm98rwqEJzrKXTNwlEVUbrRXkrbrWGTS42biBMmTCnFzlcnL3b9iR8r8PSDmnUSSmpY0vM4
+mTYFBGH8BkjYVE96NTGhOg2CfX8rrOt/AySC8h54oLhAr9M/fIrvWkluNCQ+CPvEMDUg9Esnvis
vF2Iz7o0zptaj07yGY5eZcbhuFmCtbMgzj83oe3jTLK7t+hnRxfY46LmbtLYPsuUTFOTVhXlFeF8
Qr/rPH2WkBzrPB2zYpvIIAlq617ca7wMDwc1ZeebVl2FESoKqDw6/cBFSMIO8w4gxrK9ROkMVWaV
k+XkG+Ne8Blzx75BtS/GKV0o3LGwvKTogiobGOsMOdY8mjUzZ/XkHSTP3RSh0Cel1jpF9YnlG0hj
/C2/q5MDQJpNsUSWlBEL0pv+LPPnHurTbjmEdRLwZdjasUv0E+fwNtNnMJvibStq3i5qpmjqUIRG
w4UiKgShh1uhSdcHtkZiC+q3/hYxJJMF1GiiDHG/gOrdBLIuJyvjAoy1Q5ZbtbzIydc2VLiy4Zo3
q0KMfHjm4WPt9wSvdPNxDGEj6yl4N8CEkaYemC2QFQ2ZkaS5+RyiUNYvUHKvwik9R/DAng6GcvcY
wP7pHZXZiLaug9UHuGOra5lAYT1MR9Lu70lUMV/YB5MNtZkpkbHA9s5+e4VYJ5yGZUxWDILXLWKS
0QqEvQBR+Jv+52UlQXy1bXU/D+/RBVzxoVwI+ZNPTZ2ooJ/C8shKldk8uGJ78x5B8JpXeyUkFI81
jBzsW2ey8QmOEaqKTpBKw7nuS6plzgtUHpCTLqRhwWreDYb5Y9sOSyy0muyuuGkmg21v5lFPZzrM
Jmxm5wgX3GDmEa868wH2aLi2+h91p8j138necfy+vc5GMqQ+A3ajODr6y8X3hniovAWK5ivepUnO
lfNRYahURUGAIe+j/kZ67O7EUDuofLDO7XfmNcZunuurjtBIiKGo2RsbbuODUJsDo9rHnv0scQfb
m9+o/Gfl2rpdEe/9uS7/rb0NS8zrj02dM4NlZ1yQsJWpv5DP0mwYCxO16ma6eDDdEHOLhGJsP3g7
IuH6/8HY69qhDYTSR5dU4WnlXtCMDy3hUZk+EpCCuUTh8utwtptOKnObv/gPcDTUyEcX5x4oTQe6
4SfglVPlUk9lWhJ3j6P7M3q8rVqvvl5bKSF2u9ZqFT23+tyLkGwRiGjPizRjyenRBLWDK+ZFPv//
OJF8NIP3pUEvNmLWGtr65pUFxbnWdoP44n/4c22PhJ+u7tHbIS2wfuLTzAixZYd5oKrlFUFKkFZO
mdLzYQPEGwJitn1kGNfJpAx1Xs9hCnK2ZsZG+6wgE02gobG31O4wf43tHEQAkmnDhyu6mFqPhJNp
vCJ3iYWwTjqeH+NnGd1vI1KZ1uVhnb3EVR2/THzDDuQcYufCSimHh+WowVNwRJlWmzkleJzt++mj
5wpTKbsYYgHZGIwOemEoc2/+auCck79L0JydiYF4vE7lcbJMdxXceuIWjJ3BTPra1sUXkJEMqB5E
46Ox1BYYh02eFc/l4DXL4/swBqMdkimDAMb1jSg1/ErP1FNpRZrP7ky82lixEFS1Z0YsBVVzhq+N
Y95YMzYwNxwF3pkqbzdcdkpspUEwKSSedhcvv0ev8uCVbHIvDSyuRAAMMWYFIHeSFSRaRdMS6Fex
8fZLhNaoavWece0QoKJzXWK0E4fVlq3jOSRkr/bJYb296Fuehz89jXowtvFYV8loU/+zBPe3m7HO
XY+zlRcdQpDOVzUdtvTqhQZsrjCfPk7om9olqh9PbHGlNpGpGm50nx6L8d0EJciz6JBImHxbWj6T
OtXolWs1GZWDSHwIAXvUd5QcmQ0Z23x4iJAY8rV2JJilGtxHNitZZtNq+A3ltJEYwHk/dasscKrw
eP3a/ObYmzDzN9zV85AsGCgBVKt2Yka6ayRstMvRIDh6vM1hOq+1/yRIM3gQrXtAom4Yc5hcHPf2
Q6IhMu46a5z6R+ZyoE9VzYLjsSIG1Ef+j6GP0zu9aCGbV4igFPtF/YmEMofKXmYtEUtdFXvT5XrE
0QOH91j5jssPDb5TBmqh8cxX9Fr3kd9xYLk5yOPupYaAeEeOXyXT0q66bRjOS326UyIHA13iYbus
IeBLyCUorZTN9TzlRq7iEAQOq4zaNoaTmzscHjK32ClIKXF8l6TTb/uD7nTULzZfPZqFAXwxFrVk
5c+Q9iWtSqkF3ZXuYXVeSIX/KK/13JGh2uc59t3TtJRgZfSucYJ/SEC9NELmjp52eG3ZzE1mxyyC
XMXmSjLIpItY61fNGpVna45BPdqSYaQyTQCl6/4MRL3eKl6PkAk4l4sMx3giU7hgiYNTTIoTpoPu
hg8ldNtzgdKoR+52rvtD4al2+GLb1qlJnQVQamiXQll2GIFmfvnw5dAy5bSLe79dqj7xD9bTTvQi
gySztxfH6d+EybBz9pDqBQsVdiw7L9VeFixUyDHo4HxZ/G//6xgemYDm61ds9dE5IyTiKb5jDlgz
cUTv/6bFy4vqWjfTdXlLl9uHPcClLbndmW9X8ATROT896VcysBeRzhhFM6peq/uCp/X23mA5bIqG
2GWRKYFCQuJ+ln9koY5JORxgzgqaJDz44arV+vUDQOXT1mKejfmLfTusR93vCaevIr74XUzEuNRp
RCyUMngPspiIxhDi55x8PPbzUIsXDuUJZYA1tdsHCqMtW3ySsnxWsO8Z3tT/PKa+hmJN36eJf/7P
cV3KQyx/JOwpH1J2HtSVHU0pK6ytqSrJIUjXYuqqWAx+FVoE7e2ffODmyNzEyAgQTmtIXch60cIZ
y55R4JcJtRRwEUQDZTdcwBuJIEQAhX8uo7lok+LA4GVA4p2V7qPNHXXy9xNzwP5Ey8U2nzKlBAoY
8yKWgcgZcS/jdflrFqVSPdLQvLAH7Q/fgzWneZzvASmsRzjx0vfzKYT6Cr02QiQPuMpJuhX4vH5E
eFr2uAJLd0U7SZP5YMTrxKhtXW/NIAX8Vezr7GNwJQ8x5CJ4kjLd0EIZ368sm1vPyS5+WFAF0Tzm
PvxplZRU8ZD4vXdQUuiAk0+aCz6dnlIwFYgwHexnAfkkp56LXZG8fp0pKIDaPLTjDHt5oCqChl3P
BKSJiMKbUpbpXsStY7dnyBWwzMBHJAjovB2hXFi/1zykK+RWcrOqdKSPHiltGey+BaaI8v+co47Y
5yLUQtg1KUguWJx2MGR5Cuvg/SMl1dawXji6hNWnyASu/hA+JC1GSu3LnFi0xOsOMvqAqxgq3hOJ
H/4LWc96WEpqYVX90ZY4j1f7vbnt8kRLh0jffcMAltde4dFuL0at/qT5IrMzZV3IDf3Xw1WLNgG7
p1w+EEXUrVsba99FK2cmcjHQS7FW85uN2WMOror4IFDi1jfNf+dzIvj4wwhjeBhj+n3BIWZNnndn
itJ4SWY3rcfMKNayCKt1YnNwcbyjVQR6Wol2EarG6/HFNrRPoP1P4nOp0rbKx5lcVuxenWpw5Zw3
sD5vAPSdyYxjUit5Wc1FZhE0Im9Tifr4MUU3t4Eo1Zd6A9UDkh/93l5go1rgGHBChNEGnqC7uJWf
e8sNjJONDOzpUggIi9G5PYHY4a4rDlynp4g1CWRnsXyJn/Bv+FSbdD6ld4lJjrEnWyehDGupYCu0
iXQGMoIvjRECj9+oJoh5iVDlbz0HSsLyA+KDLOsWbL+MzspTyyjMA9kM+IsYGo6JU5EM9kA7ihCn
IewWuipVF0MAeOPnznWpUKdXREMP+WC8yL6D3dvJQRuPdrxVFumaE244haHyBOk7DtmKIPAR6d6M
aACg5WY51/09OQocQotPe6sjNMSRjlXJ3WS7bkiNAzBo9n8YW7+znJheXLYZXjGPeYru3AZzzPN4
MQr2gs4PFli5/PIw8dUKi5WHOTyIzpJ6c9hS6MASEv/7YDZHZ/MXf8yTWtgUG88RYdiRj2+wYqPx
1KmDO0lRRrPhuHVJq3lbuAr7IWrg8wZKoM0mDJhKdRSNpWSXovYmCFq15fN2sNUlD1TKXqi7L8bW
RXs6Yhc/FGNS2nhVWN+9s6QAtlkNLKBayYNq8pKBLcqy/xTPUgAW/y9j81u2rrskbmDNSve7obSX
v24/dZIR14CtaqMq0Awk7bKgD0xIAbw0VGIMKU8GoPxtfFCt+WNKgIQzCM2WGkUx9Y1jGQZvojWB
ZmdZb5XtSx7QtNfL80AfSWDB3tNjwdMlk1yLjPjufyFPKahVNzurwr1KS21kyKHX5dNdu2G8+u+e
XyS4B9Z9QfIc42AigQ6Ss+Q4+caeXBewqgF4qNdkajcqGIX4xPgMEh3oSl7cwTM65wVxlmauQXa4
kfzntxUICPOluTOWAD/5SlISUJMRFiBvvrYEUwoXtSM7iWAJza5n8cyHACGsFcQwhCHIUW9U7W6/
sY4hL1I7rlJk1aw5VnX6ZXXPTXd3VdJpHitN1nx+Br/YzIMNqgaoVmVMdd7rHw57Fy2ahJ3WKqPH
zWkrUCHK9+yJMa0c/aZeUF+AlWckFub2M7SIXOQPbPGgQ1CDEiJScyKBLUtnEi7F1YTg/Xf81iCa
znkU9zybyutUf8g70Tj5metgB6Ew0wHRRP4IiqoNy8LSMqu2FblJXCrh5R4pREmEYynXT4VWvlnF
sRsKrzqXTlviTJhS37AGh9vSyZ6Rhc8X++cFplNhOGpnoT2P7Ene4jri5Y7JPzV6e740y+diEvrA
Xq24XpHWcZP+P495oSn/wWv8VcN5wPhVnxra91On0+zkm+fgFk1hytegGhUbZbYiGIkiKc80EwUq
odsptxQ04+KPkr4TC4VgRUpZhpPWcdqmrBC0+d95vKNxkDksjPMhQJHwDkPeTkz6SUPKCbRxCowi
+KB7NywlcDsZzrILL3QtY5su4wGgbzEZwYy2d+uhMrelHlIlJ8QgxknR8m+HF7sKLBCRkMdJ5iBp
sIPlYsNxnukU4J7gL+JAFVhaUoL5VH07v1J1bXJeYBSUr/k1QDjil7i25bYjya6dNSUYXv4lmbJo
hWa8k4tEuNJrUEEwFfm9Z0lRYWIBjKVCE8104eGL8QfRUEDEgskJO7gUM8O9b8qxSm/buLKMCB3t
HFSqL9qyH95Fe+XJrQ/A+e22ZSry2wVurvIk8PURLujyL5dlqVc3Yz3XEXbA+Lswb7GYJmG6kyRX
t6y7wqbwgT5udPJVclff8dJAWenT7b6lhsOhP1H2Vn9E+e7WZJOS4nVMLbSpdQC+tDeA3YldYZO+
PYZB6Kup9Fi/ZqPvIzvMIE9fOa1S8MrezvCBpHTIJ132sp7eIpMPV2ZmrbR2E/LyCCqqN/q6Qw/7
aY7iHS6/0BMUPiG1cgUNdFW3/1yYt4AglY82p23NQAnp/fK0445mgscdoGrlP9uhCl+ySac3abxO
4t9FP5VsNgxDKRl3nrP+xJix/TRYoBKbZn7QlzGciontz/KpLxOOA1f1Q0PNDorJs3qNULTJZur+
yRYP/eJgZ+6dSmn3hLEbteEyqtz/J1APXulb6dPnZkrXFgWWNO6YS7Ymgt7i7ZgeH9X2hkJ4oJRm
Vi9ufWbv6URBSL2AU/yxgF1TEX6Xg18SHfJ6sgL8IESTxPeHdpOullu3VR9hD0GU+Z8JC+/VzF+m
zrAKksP4+sOVuQ1IwEP7D1Ea7SS7X/npKbi18jQxf8oI7IaIVZoTSnpnvpr4ob/WtopMEw5LqSuh
JRmoiJN43haR0NTKmbWJ+6Jd9n0WuLD/9+oEdv1CsTG8uNWbXySqMFTHZajVsvtSF6eHj2IVNaeL
/sF5g3KqHuouZUUoWPdz+uauoAMsnGVS+h0HhcydSyVMA4qGIPIzq+uWiCGMenJICPn6hhNcYPB+
Q89ocDpFWv9XGzDaY0FEUZpAfGEsw3SetnB0QHUeS2DcNNhUOqvJ8n6FpQB6cKFl6H4x7SLMr+gN
LNmkQJq2PlqJqUzT7mOvGz9xgCTUXFCZebSYQYPL4zhUN1hDC9udwRJ7yx60fU+Bir9he6SJELt4
IjXvqDT6NOCQ8uxuXGKrNh6OwLj/WgqcBrbk2J3hshWjnTKSX3x72k78wtO+34wEgKMvbl7aZYLR
Dubc57phc0eR+MpDSzdZ/txH9Yd6isSkFpA5+ySBCHqW6WgPsFYb8OuJM2f3cpRl0ldOAwwlEP+w
YHs5IFAAbczkXvdhATWpgL4wbEETPGMuqwTck33Eluc0Rv7rhPU9oWnjRfCXO75PqOtx0rnmRcpw
4vYKWhWqnV2jyd6wtlfla7bFRPceuoYOS1VasOQcxFI/Tf+IVZW3Aqi1wdAV3BqZjNAwvfqkyOe8
fFLCNWcoxcKS29bwPROruFnIRNbDpgNTlfyzEkPdgrA4hhODCZf2nQcWioe+sdwDliwY+q0H6nZF
lQdZQKEANBzRUMqFgHFh7sa4cofa6gh5gFq4qqcXAxTpS1oMfBKvETkf+RaTqCwaJcznSmgHcMad
atz23sQOpgYPtFRT3m/az/7B8gUcke8jEXLW4qNjeYYVxL2ycbxPmxFxRHC3WTwClxCVxObrVMx6
OciZCz+ioDLPZz0M7Whf9AwUyy2Hn6hpiADHwLjarWLiGDDzDGMl8XNJuTW/V/onTKiL5XPbzmx/
rwgefNK4f0ddEWI89vXKV8PhU5YqKD+ILJFeJvmI1OydUwFAfB75EzqknTep0GU5+uBAzbcZxiFM
kAiNCXqhbO8KdM2pHMBjqJAlsFcAcm0v2wXxNhbUOWjV2Iz25E2u5ctXM0S3EISjuPNlyMzjPNXJ
eqKzfJ/V7GGECxBvWOUj1xYNuxPLdLftyFh3kHeEzvyxqF1lvWBAOpJIMY/lFD6D9xoDUDaDxk04
89/7AfqtdPrEP8v7uEplSGUXqtZ9BKPM1u42QxtbQmIa97OInze9S2M23HWX+BT0XWp4x6qdhOaz
aEzwjSIZhnQCn8LFRe3STZ2pM3FquXdBzOZtJq5TsuKXnjbcUDtIL7ramDORt0LMkjXvpdIidmsV
1HMB1Tyr5wmMRJmJRedDmCg6S9r4i+8URBIFjRm+5EJmMP4KaJZxndZDbkPaFu6NgvOnv8EMMpU5
nwA48AIq+TJTYnmJYCBS1PmqjksEXlLo3hKZKUp5SKwAiKCFHNJaYVGda9CUYBjXe4Yzl6ZaSTuN
Y68MluUr2OCX9SYmKL5M3ZzKwxwm6tl30mQpGBYDdtMQNVQACJPxbmXdzh4lJuGhAPR5UkHizLlh
YhxWrjh3o/+7DsllUx6R4FCXX1p8APbil2AMeCUQUF6FJyLubwwY78ArL9INSEG6BmYlHDrR9+qG
p/aeBWBOC3dfQCyKtBbtPYueKlZlgUZwPPvVwL3w7cT58PvFKKGbyrhkkZF7+59mcskU/N7bAEzP
EuKKJwmWTkm6vfMqQtEOlnCSZ0HdyVhTJ9IENDkegvJTn0YE/S+NDZPnvnYOlpRUoJfpgYS6/Hjz
k/v3F2d7BH42+2XFC+VPKuGV4jdIgFR1gxju/N9LUYRGB7NdDTCDlxmzfdwrALuSRgiqRgr/CGUe
OWqJZzNdQ2a+jOxtmdH7IKHT99x7Qllg/zBf//CfV3g1lJfPgyfNbwKqbKO3xvgyTumuZjnWwsM8
7ZxJuK6WEw1Z2Pul50qB7kM220caYaY75zh37jPrLDcdm/WnYKl9oTLaGIypgpNJp+gxODKFOZXz
GjxJ6vVtDTvI4hCT9ecR+ChaUIMiv+MzHfR0DYLcsL/QnU/T0a5fV2kvTyhX5UpAEB1Xs7sU9prY
bSh+eQEutxEnLXLwNJoW2jcusL3576h/5rZO4L2LRlUE7r40t9qpCEz9S7ABHV5wzFahEAUyVw0f
GO4LTbDzMG8tmkYY0/3kGQbxPCWeQgG5DtyRBZzn3CARxWDuHmp08Ms5UUd9G1xoTSSmlMIr529v
Ap7aihy2ZiowVaPD/eJSHmq+fP6QnMYXil0uW7frqcVLIw4vkuUbjyaurmlpz80tpITq7DnXm79p
JN3uN/Bdg/SDWSLNk07nf9/oRaE6Ainbd4ynRn17LTsJsf8vQfI5sjO32uLxsgLCaFu94WfA0Tsw
2bndsGbZlOssQaFUvRmjaO5D2Q3s3P3Xtc0qQMlIr76+SL5j/dac67NE7f5Fto8qR2MUc3hvFYNv
+qfffHWL2PolILkx6JcXPw327LnOq/W4xFI+Tg2gy6JL3ZiARQ2gblEqr+vTMZdNAYI0mKmrsTOW
+XWph+Yjs3vLvf9GDttIJeB3iFo+ro63PLT6wTSxeO5Nfq3FcUxCdkYj34Ckd4C3jDxvw/Q/yhr9
881WiMgZRSRr7GhCdPixFVNRe54sNQz5zVNixPLA6ywj+iBuZSFwiYcR+D/lJ7RtHbVxqBHOrInV
1cvFTCWwur42ReWvBIn7JqlNG4uN3Zz1pPGxVOBQTaAwDlcXWq9QiB9MwO0SA1xBu5YxheFVzkCr
Pc/1J092Aw8DWTyx1814WOqVoGCOAvwm46GDvOtRgr7HCgt8qm/fE7W8lAcyJ+PeTmw2aq+CYo45
oeok3LDwnA5uH/kyRlFs1C4KjPHKss2fFnEvnOYsc8pi61B68Fk5ba2VZAcJBjXmjcFPaMHrl56X
s7d3Vf9HywFYTtk5Opprw5TH0tNJkpn5rAC33uWwCrFoWfgME5eiE0yVn4TMnuRjZOdLd6uk9sLM
YlXshiYiSKeZluM5e17BB4By1IAVdsiRRgEIjHPkGUBGugkB9De/kowffupUyCBK+wsL7YtN+Nb2
9hVaHeYnf7K2D7tp+z/TY2lMkcMJpP0jZr17x7/Pde1/5Rij0OFla8n6/vIr0PhXoip4JRZGuJT3
jzp7HhpTr3Ci4+TeR25sZsutOpPRtH/hNuUtIEHd027/3/olN+TbmCarOvG/fP4MDdE0uxXIXtMG
7ZcAW5wDEk8or/5zMEVyCJH+hOr0+LvoexFz/QSc8fbtPoqnv/wokyG4D1BXN+5gQi/CeWOh/HnJ
1AVcgAazv5LnUPesTJ42InxiYGU5xoWBebsTl1CMhUjTEikQfmt+MOGyWmQotLBGlXtPjHGiehD5
rQqvAhrnaRbsQesHeeLzAzwLdFgkkDLvuWAAa7AGHlvbtHUfgXCefydLlgtM7YPiRU6zKocicu+V
dbtiGHNm/fCHusjXWgLskMn6zIYCK48qo714YUVESNp6ono8mIt1GlCTrWGTQQj1e8q8SW/LVhEA
3rt5d/Fhws9WWZi5ej9mguxj3GzkZZOLTqN4UGRLwv/DzjSMwgbSOMOhZ6KkOQsvNrgZtNa/dpp3
+VjH8K0cME5+SXU8dR2xmj6WVwvWR/yxIGXbEmFLObrzM8tUtD8swQpD40rjcQXHkvagrrif8Pa9
6d8ARVUkGVWQWJrmhvc4WJHCZX79IG9vtVpHQ0UE8mLuo0QbNsLn0gcT6UE9Vl/VB6tRPk7cv4p4
ql/F09JGZ74sUM2Gp1xAV1XPotDEmFcbqrZIZxbFRRjtC10tW4YlxWDuM2XZ96dTacRFJLzcPqho
lf1ZlMdyHMe6Y09LOLJC9EGxoWCqZyq2Vd3gZRUSskh2r2/YU2UOBHThxcAajXzoFXB+iTi9zWjy
Bo61TXzd/o/R3bkel6jYNlUmKEsgNwnZu80LN8/GYaGEKbMDlpZ0IlaJVVHWsZHzI9kwhoAKd88T
Vw0R19Jw1LHdVaKs/OPLJ2UPmroWKiwcCTFoYXLeAiJ4tDvRCqfrZXkNdMRJ8iwOKbv8EwVyB7RQ
g0YsZ07uq7smtVxjL0OO4xvLQdig2WZWFKqJ3tjTCaMBGIjeEzzTQHj8Mp6DuHNBTlsHHBoy9/Q9
sxWDVcX7cfWAHEhMPJwUiihTkeBpY423PhVyQU09kvlyWjOJhrE52QdQ7JBu1PWEVNAJZmE0IuFy
dCI+EJcR3WT7MoCtKv7rPModFTOo38pybx7xgx9QoreYEOfhFCR7bfef/UxDLcKELHsvfNhScysh
hZogtBA1i4jNGyCwezM6Y/MURZb8rMRokeCzalGfCBeBluw1ox4VGfleRgmrtAKh4PzJ2+z5eq59
9dDCzWl6G1bljg8x17aYvJfZ8rOMHo5irQOyA8ZeouyTKw5yiYXv7VQNOGumEijwc7dNPaXVx1uM
zT7iXinqzBm5Fua7Sy+kpmqhxLNImdaiakuWi0y9i/3YlfQkPy71MZuMoxW77bQrYeWaLNZU+w5w
ot2/MlHo5rOP5rn8EXbNh54qFgROs1L37AsAmZ+nrp2BaJHfvx/PExwzcIIiYbiNo4OwaXYjYFaZ
0kFHA67zB+jro0pegW0ecSXky2e9ahKa5nPXjIQxWzSWibcuIKPaRl0ccdOI6opR9TBDPf7YaVSi
o2ubAS3yxHcjDsud85jQQTKj4fugNzzvDnaZ0GziJlVRZkmb37Q8PtAbnQEmA6ei402H3sQzdtdQ
w2GyFvfHIh9A8GPJ1L/4woXfcxVopNLFldYYLHggnVccy4AKfwF8xD2RfjCS8IyOFeIANWJxiiHI
H3xqsuMpXE4fkSjKSDPb7/jvbnR4CjxgC5IQDBnOqZ44Cc0nQuhDYrU7orMK2RlrtqlZv1+QuAln
JH6aI2IJKSpv0i6BvfcyTwsuCeW30pGn4inGeWiqKMJ6wUJaSkFNGPHljGXsquQMLOeeowRgOSxH
Qo3lGNDUzK3inY0eOTELTg4O3qTUphvjpUpRipwXcb18oOjN5a3ZSvuFaFtM3TIqPmYfbbNNXOVG
dqqwuaimCR6jeL/Ih9nxNuM3Cw+T18r+VcsXSGNOHW/xdor1CoWeOmXsjvtAt/XVQlgoFmlE6VWt
SUWa5quiavCkWPjQiv9guShqq1IB0Wla325++o8RZMjCkhmVUnDbn/WZ1WSD3I0+3VUPKTEWYMSd
2VJD4lbETc4Kpifga4h/dhJfrk9OCxX8jqImbFfXycK+1OwqSMqvbGR6LRqsCydCX4iVCUblhr7b
NG9Omww9Dtpf9FPeAu/Q7VriI1j35uzkTZ+wTT+T1ea/S6+TU6C97WxE75iii283qHhzuObPlgA9
b42QNTP4qr5D1lZxGN7/UrSiTioHyyD+UfU3TzhS2Li1xRlyN6D8/3eMCefWPMP/CdBue9lQi2o5
a2mI+uiNwVKXRf5T2I2Qm88YHCL1EFB68btpTVSCT8h1mSfMJvtp3UENrTZQbb+qaHaN6wjuDR4+
4cAXj1ZntjI2Wcx1xwx/PYbe/JzD3rg7mUI47BYD+G45xBgPVqI9B0qipGNVjQRSjHgnMhfGhz62
YP5kLXjwXHRNOITeQAfvLXwO/ZESfbgJvlAPwFWR3e+1Vrd5LIQQFXX6hU08a/9BxHf4qPPO0yxr
VH/Rp6BeLcJ00TJa7XcTbdB6qLXzGGPAjMRmzZfYkXRgeeLoUxdK33kWD7oFeNIuprgUT0j1pRfV
j//zDZOxJ4kcQ96KE6O0GCGPfTtDYuZ9amSvPbALsgLss55h8/WqOvJ0biYQeSg6Ea45fkTbTGWI
SzWX2jMJNCaDc3RoaTb4LzqCA7KsqraeeWQWtqoKvgp0uLiKXWVngjyK/jplX7hpjy8h2g2pT7pY
2zLexf2qtU6WOJZ/MTBwMqTYGj6YszH0O9zV9gtXjXochwHADhzHfMHWJEkNj/8tUhjYiZOtALoi
4dkaMlLB3iidxY0tPZML6rr4Py2b95EhyweGlY0Qp9S91TvdJLbCJ64PHyh0LYcCcjTLpZzcH42x
oAA2f2k2FM0YQcLFva7m0+RS9MsmeKzSJ/XF+HKOX2Zdhq5Yu6ToKIS2zlTVM0y3W64QllLBlU8m
BLcNj3e13SmTuRO14MRYV532gLXfainTDIv5jUFiS/ayUDk1DHXU26fO7B1PqT+fdjKEfnQK3VJe
vkRQHUldPiZ495djzmWXAnWtJXJ87uiAIt4GOMilwpGMFifh80gBDJzBUy4NzV9g1NRuR8JXgAj0
yWmDKzj5KGBTNFxfhTmEt+3rDlY+Tz9sA4cgH5CEESLeVyEkYvbspl4B4i0H8kG7MtlJWj5ubVQs
nE/lYu1oZnK5DmSk1AkEEIrEZN+7KDM6VcO/qM1Xis4h5Dp5OBtWiZ23fcfqr7VKIVWPqMyATPx6
0RgkxyHBzBLyHdtCruGuqcvS3iKLAWtEvHnADVWOYrtCUwNqcI3qR8JMhxTfRKwY/VYEd3MDMNO+
VNRyrjyaoL7NKIrT4zdica+oWMR9+2+m0Tz7uZAyZFwzQPve0j7jxzMfxRKrq1C5jAD8tSAL7ntm
sCBjtgLczoBsHzIJPjQocC2xK1FRo9d5wKJkwC/Z5qLlYE8QngTDcyuTJNFstisqTsIjfWQ3ytb8
Ne3BPm0R26syKP7/sdIlovrzo2h7D2ZNjPFEF6bXJCCld0UaTSo0lvb9vogOEPS1f9317sSkyCya
lfmkTmy9bPvGyFz9MmZTJAZg81DmGN97tNm7djM5f/+jmbvJRUwlRuWL5cQJq5BQ0JG1R7CrazuD
jvjXsZMLQLdjsytrh9U+tdVufB7M6PxXZTeoBse9eYCqThLTmGdUBrf+XAQf5BqcnVp849UWejYL
LcN8+ymzBwOXBVPRzmX+mE2Rf/bEpaZUr7PvM2M3xp9bcTTnEin3eBYpHFGSZs65aYOVMbMYa47d
J134N7AA9BDlgW+6AMmAGo4CmjjC3TGfME10R5BR1bP/CSNQCIyZZ0znjBqC8+6e5gMffqChBpA/
vzQWE0PpDlACcedOV2TOtLmiASUpuS9+ozgBDBIsu1UV9MiVtj/FV9xGbbqTm2Gc/saPEoIDpLab
td0iI+41PtHRRJWxUs1UrHsu8DLRgh0Ar/xDf9CT9Qe5T6asARTSVlXjg5rF8FiZhbvuBxzF17ES
RVA3oE5Ut3SSL8mTu5/hQGNgM3Y8i1OxxSvjB8ndQScyeXqU1s6RnJshcZSoMig15DA5tHuR9NsM
rFqY82KnGuf1OWVAtHVLlSuuG3edm5/CVb2b1G5fYbFTBjVUqvXnEqjjipu58u7U/g+g3bjyl0s1
4fGS1X5IbjbR1UvG75OtMC6vWCSxEEK3SPFSGu8Kexl/DS1Rk47J3+jeiT6jxVNcalU0BN75ycAX
xRqBp+xrtREgYXMwuEY5r1reHCo5BkZxjDAoCJ1wfQf9nQGZfT6dXK7ERf8X4nWBwbcwhGJ9A2WG
+FFf8RWy3/uvqSTBqrKU9Xqu+GG3GmFkOT52IxrTu/tsFk1d7ZFHXJgCpEVOhkDRuFLfMHaJ8TiK
QKWZVOgd9Q3YBWjuduCXB80+ENhpNpV4z5fJzL08BARLegJAOXTjPu6aDTVmuEWA9uWfYudK6Ktc
0GN2qoa5+rg48gWpRhpjWEkMwjAjzR801nTwFn0WLlV+pi0dLds54keaOKT/Bt7TM2c7UsHHZlhX
Wz5duOQ+XoPeU2knKcnvfVHDb8itDjb2cBD3FrRnJoKAKm+jvM5BtaOH3g9ijly4WyQSkfxYltST
ACDd7AQ/K4ZvCwtdqT+ahW7dCHtt+s8kgjbZE+oxQxr+RIDNwAJMyYY1ezwG7uiUM/SIXbGG/u37
ACOoJgYcQELMuhiJyEJ/TL45u58Oe2L2iXSUkmre2SLR5fwE7I92Rhxaip3x1SK4CBwQIxofCrFy
mE+ZEJvFSJBMp2mln0KngrjmC5RckBd8bqvXYm09zzJcfj/y7S0WxqX1VQv/elftxU/YN35KZFsN
3ZMYZLIN8KTFAXBcXio9lGt/2eo1EcFgJljiV2rb03yqC0p0dyWyCHZiSnGOUQdXhF4ESNDYIkDJ
eAAgo0NvYyxEK5UOoSZ5kU0Y+ALe9c6Q+dYLwMWksir/jc2EiiZ/5+LyzfHJ8UOSSsxZ25haMBGJ
HOCCTGKspn/8SiWrUHiTZf01vYMunObNULln1Hh7UEqDLsnRFOM6/aNaWyHZRfe4Y4i7iJwQjmso
nk8a+V93Ndi1zvINU8IV5zgXCnGap93HOdSpTjdinASTAasFqxtJ06EfVA1OsIagXDijxnDUQwQ6
uwbK/+RbwS9H/gT5gRq9I+csMdhIzNIj5Nr364x626+BUyH7fin6RzRB9X/sxtFbUHk2EmJwKb/f
85eESdV0HQ7p4g5ErE9JuX0XIeVXNI9RNkdhkHd99W7z9paXDdJGnzTK7t1Bk1iC8wrG6AVvnLUQ
vMerqgQ/SpCnbUzbC4G29zSf3L2gmwtRFc//+De1r6jYmbfMVotaoLgzGTI4x2QHv2s+Xnx5/hFB
tCGb30CW+hOxdZxwUDVSs2REZ1tg0VhYCMJkNHPuXVoZZUUnpWurV5DpWpoUWBJHG44jOatYR6d7
r99GtAB9dZq/GLUY/B7lM+7ctdOKJtA5JEJwtSGhvq9xvnkZMm1T8bhAiXbBglpJIZOr7cc8zrQ7
DrjpgJeEfoCyV0Pent5Yca+Hs++PfbkiDDObhE9HBY2V7sJ6mIRwooNh2Xlg+SRA3wyPkH1aoK9A
MIxA+86IOhv5Xw0YCNufwRn5f12MBk2NkFeRVj7C9Vrx/MkBDmmIi7p/R6QIVPLs61678eTGT+EW
grlK7CS2ugSEmPDtxoagFFBZWogDPAJYcfmM8Syrs+BcTC5BcT1LQ7yeNdhNNOOT+oQ7hSHYYc0E
80VQxDP5pl07J7C8k+fBL6SF4CHJVaIOMYq6L8OzOhAqptZ58t+zeP1XnC5NDx5Mds79HoThcaeR
oDREHk19TcKIF9VZHHuD3YQ6Mi73HjpEhErDtxUgx7qZhmeEhCCmHYUWiqiyShjCpDEFndeIgbHI
p6esl2dPSkiTlQRoAgkLR+CEllnrtpmw//SfSUUqezbJWHNrjatyqnT41OgudDqMocO0tjObe+EP
3JbP1p462c1+28Efm8cbkyb+tsV/LuZwztQSQnYJt6MuCRpG//hKFpvEQEtx3X6QgqIB708XlTmH
S6CWb/hcpdK1d+FZRwKrgelIOW5TJei/pfvgoVwG2VH1lQGwLMNPCxNKfHmYqpcZ/FjeHOl1HFbM
FVBosgMdq3be2ePUvajyphtPCy1a99Ic5LLR7lSptwkyogJLiaLjuGjNMJB5XGCv1ZAOz9eecA+C
b9kOH/50tO0G1H6YGJEuEb4Y5oTbodcLhPG2znwUq9f1c1L0nYu2o7mqWA/GH2QsLBNxmuNpxhTr
pQAariPCtLyXZh/o4+mYcKuRPyieRVYbEAyuhqzpbokulng9dwveXY0Y5SlhfpG4aMho4DSBTGZt
WeLNrwawcfYCgrJ8THBiStXbO1lAOKV72Ae2v190pKxb9fRJugTAO83TYOGbqodsctGGEoj74551
j8DN0YCr2o1YP4+gvm2iMmx7vYLyYfXzAE+01V4mg65mXaFlJ8pIU7zFNYIcFm6KpzsNxJRZlOlT
hyH5PMI/pboWF5taJP0OYPWqPPHimbcdBlanxHBsSS/a8kmZzh2TxD3QeEJt7ZgN/LIx3kqOjInP
EFU+Xt8nz7eZl5Lcnzg1zqgR/5UMEmeHws0g8oGa4Yu0J0+Hie9nH44RJ2H5HWbF5Q42G184o6oP
mrLWBjoUK4mB4jT27ckGLX6lrKuZPZUB9VdouVan9AK/0HA3no0ZiDgVrig804zC6K+gwZFA7RC+
RD7OVLmCyvCdCriMkSEYFmIfQbERApxGM2cvTVOjjW/CRAbtRwbwctLmiY83OYs8QiFqfwbz18b/
5xbeosl1DQd/W+S1krDAKTUxEfObj2UyzRFacn8ORHyNoy03CtJ/P5DlmrmMemLeXr69iCnZmQqu
wW+veIeA4C5W/3I3pYDxjOA9rFuLlxpXFY6C+dYjdY1uNfQaSKlGwBP+U9jwVNAHv4SZ37zg1iZt
v6DqhXSxKNcJRMFgr7tIBgfwaX157QGmyndr/c2VomWTMy0wSQLMy8u5E/lpKXd1JyjX8XAHBP3V
dPbi+v4Sc3WYc7UOgK2c4+8D6R6pLYFrKUWKyOR8pqOpHlSGPGBVRloPaoTiDa0ZGnXgl5b3dP1r
/vjutew96TsfWxo+5O72lYuMY8DTeAtXMBKyKAS2O1oclBJ9MOBS1ZQU5UUtyzPocr8e3dXOe2pl
EYEDDYSU3Z5hpo7M+kfZCWTypXhc6oNKO3ZqqZ2g8WoH1VF55g8VhpaYhd6tYXB+wZO3UMuY2WaK
xNhViDBaI9D1N5CAtMy2zxLQili2/VCDwd8lIr6UIAkhMia/XNCGS9iV75MK4SK+4w3I/huFp+HG
ZkHz3sLI62LvVPaX0YWggLoGCesgKXfCwegkFij2oUy36fRyF8hVPO84uLrKv+9KLb30Rj3p6oi8
oQY68BN9MG34YiHWmPHCGq2vkd7Z4TCUdRzEgTKL6RTzHfiMZ1tl2qvTWIbRSf8cR+k4FHAezr0s
wrkxQMzWLE80boIOOzDlvujI1eYtDHrqcAhA8tylmQJdyYm2QaHtaaYc2oPajErBxxGA2SF9zMmF
GnRqlreZMAYn01R7dI9EZt9uNK2TI6zvhNwF83J6KykLg9FM6qotRrXP1p3G/9yBJ/SHFBzLEJLN
NvNezDBbOmNcRUWLwE6Jp/esnyi2HFwiins8K7gZN/X9cNcDXkivYX4doUpgpQJMaFt/ZTkks2GI
h5yjHtOXv9XSUZ+OKAmpcR7NsymYW/LMOVhCEsxN0XjO3rr2rpvU6UrXABP2gGkOV2rmT24RUlnC
vEUyj9QyOVg4jFAXgxVLQP/ofJ+6Xc/6EVKCnGjW9ANJr5EUcGL8pDg5RQeYsoPOFmFfLYdjuVxb
cNIsurvHqLw2rKyYDNz5NGIcvGI/txtgEURG8XZLYZtaLitD99q5MsyAeY7P5MbKB6kYEtcQph1X
3GhsNOTicAtLqPf0DdqJ+6+mHPI8ge2m/Cw/rbdgaW1wFuquyXIAb/5Q7tWCREzF+2SIF6ayOqka
LmMsp8y3n4lshUyZoG1S5OzkoAUWpk8O7Bk8D6G04r8ByAY9gnTizRllUwdBNcfP625gCrfvS3bS
YQ/Zy7xVAv5t2ZZReLDgc+KfyNCErFFRYl3mw3yI0oOO7Hgn6UYC52b1zWEovB2f/3atrCmPgNAN
W0eQEziQFDX1J/rGJqvV8AJXwwB97EbwBaNCYha54B1PJFg9h9LeV1F29+JqEbtNQL1Dp7p0bDHT
e3iVhXmKXF0BA89Gx5kG/vwx5YqOobNqNY08GtkBhvwXpasgPPARlf7YjWLqCnj8wjGChP20LKuE
zqP8kbBMEs8Qeppb6j2nLQx17d4zgG+OZLPfEvpfi/+0HGThrxELv9SHQ1wm5A9tbd05K2bBU4cM
Pjs+ncIJKnhpsbLAazst890ANuAWNEIVXVCQlFDb3j+8iiPc4E0EeQ8rjLWFkDsjfSRLhiszBFsP
qjZLTWrntQ6A76rQmqYVMtbKhiguSjpTbTWnJoe/AY7KZIXcIz2+fHdnYIkb0jLmw/0Pkxzw19Yw
Q5XoNfxyxq38BMDYXqq0me0ASAPjlIA/XeLGBEobqotxCVh0tCcGPzHdFS1r2yFcR+Dpj1taC6oS
PykfTzYdAyuKq8PALJL1nKT1VpDMr0vTlnmu8ySNzEblOqbCYOQj+iewAn2lykrI72UbTklhDg4P
OEC8Wi5CBN8MYXg/y3yr478NeD67uDB06MQW8/sTHeV8l+vvt8giDcrULORiYxCjGwFPRir/44ia
I/4FRwzZHzrwmCzkgsuQveX9s/GECsDVe7IjOLiucxJprxquaDnYKy/nOE7hY9SR4bMD+RqrKjVi
1CIJ4o2B7Gp6fMJ3mAQopEImNBvfqWqIgrYyFJXILf302Lbrov4IcuxW/Lltz81zCrDZ6CHeN4Z2
BnZU59aHW3fzLHWt2f633dzYx8/0KBaNASbUhAHY4azRR9rVK4bNNOiJHCWXLF3m+Pp1toTeZ+SL
mNMaWVqQIecK3hMdZ6EXT+MMd+ec7ThHgfFiu/MwtJHUqPxqdU5N32ZfUq5xyqGHeTf9GoKmHOHp
V0cgrgCGsRlaAizFSD+XFvwYErvT3bXI4gqg17f/rgVAwJzXKxLkOSOrXGt9kAmMAFhUdwiI5w/9
p3FPEMr+C95eX+xeN6Ac1fvJ4kMPoSeCNTqSOQmOxGsS9cYTNFYAohrXoz+QqZtjHGE5AIjbp2qB
RoBjhJO+Hiyl3xl44HoK4M8suLVV8LinqCI1m9T5/8qCMJIO0R7Qq0tcMuLFb8QKUCXMAZNVpj3P
W9o83TZUtNaCnwcnS8TdrSOsSlNfQ3UI6anGufSnn/8wj+5ZhUfwMe/0bOvHG3M14U+8/X2G9Nhb
mkPAd36FhAvBxNq2+dSmbUfR1fhrY/VCQ1+TdI/51SM0LHkL/eo8NncBeZfZyCXBzwLXeyequJ0i
QYmI2xihm2A6yvcHKUGyoAhB9oRBGehSwMu3LEIcmJpNoz+J7tWfWG//VbKn0wDdFhL5t7OS0EOx
gNbU52LLRYJLdE6/GNS28DYStySWz/MrY4r5u4PPmW7nBBEujKItO+/vtdH1g0IP+Mfs38YIBS/1
6Vcd1LIUyLdh+kdtiK15hN6hXj1tX97OmgolUbrwyzFrZGTrzkeo6DCYY8zHsx/Svq1THhXgQmN3
rlF2XHDY4mezean1K4jwgrwHZiLbA7ihOCTJ3f6y6ZYSCreRilPHUL4SSD4SC8NMDMrFpAW/DEAk
gcKNIXC3T6kv6KE9eBghzhGsHWGOSWjPS7YzscO+3RD69zFgOBxmryh18HeHN0n2vprFMLV3MLVz
1LitCDhC2O7P92ei9R0g15R0jA9c/xV2RQe9kqT9mZmqyzOvOgeAwnsc+JA4EJsE9r5LRVHBSGj0
Gf8iOop8g31aXCWxP9sGo/+rAeQfSV3hjLBnvtemRUtdYT+R41AXUY+1D1MVttviWIh8U4TMaY49
YfPALtvhJWqk2Lb/1kC5WxrpvuQc3krKYwUUBKPrmXzrJxLDDwr4ADpeL53hqMKMui27QWivLvxq
oznJ4fzsFPvwFU682lTh5yO9508HBJmt0baAgaIv6X1RfH8KO2kPzSt5ncnM7Ucplk4SiSLepnRm
KQ4v7+VZfex1Aiz0xSv3SfFc5YTC3PCxqm4wEuzyOf++9wq2nAnKxno7l7nFlHZKJXtDNqI2tP9W
PF1vdUn9JeR1bOxEl2GLJaV4eZ74Y2WGjGAIgo+lHOFMVTyCZ/DcCI8Jk/PTTOTfc8qLxnRCqAPQ
5WQIukZGNZWMDMg6ICq3LOih/qIZ0FqCYrpp44LJ+O/1ybX7KbIM3benlk9zYyUebnizaX6aMbQM
glXW+8RPcAPSRs4a60GH+oJT+2Nrxf9aGCS5jqT+WTDfP8cKUSOZQKYC4QsBRZ8JnGORLhWQz5NJ
AJ4lq7Ui27PHUBDU0kJQ1NYn1Jmnblr+n13pFhj+LkX2803OFFs5/AQASNliv6i6VKZfTVVvCOGN
CacaN7N8QclYgjw0QgOusgdWNFU79GiPYWNU53qiUf0Q4bG1JtdF7GslA2C0DKGVyHfUBAU6E1Qb
9gcH+T4+4q2askiPidPauFEXrAE1LUc77d7HD5zeXjOa7976uwVJWobIq/HKCV3YbY3BoTovGx/Z
N+trKaQNdc6sWhUkvK244R+3FbgbA8onXKaPO9UHylQdD3qJ74x+14pUXIc9Eslb61tLoKOmxJ95
eNOOtpCv5RaK46UNT7rSUsP3ELBqBxWPVzndxe2adgJrdAkHpInQk1YRhE+y5LikIo+JftbpTSK3
+G/pBIGD/bwhYwPCyAPhkjcL8+DyJvrdQtXkadFuMLI87D+RLucoDwQyxNx20+M0OZsbhb1iHOOF
Jct9uYU9ecnu9Fodpgs+vzLu7svWc+j1Dp64SRmFBQfBt4QTKZMAgfPdl4Pa7Ikzxyslb4mMX8iK
hIxNexP6YJCkF1Jgz6edMmG7ZTATQu+5V6X6QHTbL0N4c2fyrf7TCthIXVlTFYSMnge7GHa2+DBS
gcq1w/ylg9KPHopRh7JFey9e4dpRv+eYTlpn+NItT0VGkRfrDRz8A2oSuUPgsI57/fkcGNVGCU4e
NOPycjYJpzRLLIBTPiX0QsiydNx8auuBp2BeMMjEuvxx++KjJpdTyvV4BMG8ml0Uq7I1CWHdr0OZ
yvOxJhYhpwchlOwZ8W2TFMPkqMtgLvYKGtznkAHIFedhd3NXeLSTTcfQ5eboXK0P5DKvMHdpXp71
JkGisinuDCM0AFvKTEaFjJs/Ya3grXGhAVu7myZiKU1IitGIqdWMw4r3pKFyvaDrMlpI4HzSCNwn
0l6EvA1i92cvLm/MawRvZNEN92gl/4dqotGeJefKOZzXWkaJbo9d/59yKckIbiJYD062y31Mkga0
M9lTL97Wq0uG0+ap7P1Dz+zmtL0KeqhiGS12qqKOeseK+DwI8KsrONZ9cUgS3LHPqJ/K05p+cVBO
TKSnnWDISkmX3RxJIX+tRNXuq+ifFtrCeBp5PVWgbtVbaKG53fXYqsdALZunV/kM5hb5tTdB2nzv
AT9HZ1w1zwkHbv/lgCW2mKuAfyyIbDue2jGQXO17KLVZHR2mu6ecbK3fiFPT2GVAcXzsw6D0kYcO
Uc5isYxfY73qsOL7Fx373TDPJNuhH4B+lNwzaxnJr2cPVbHiCCQ7pDqvuAUot7nh38Ke2JdySbE0
dLpfahHNaByNooe7kOgviYoj3K4bya658MAdma9rM88j7O41m1dG4/9iyMz9shCVZcmO5bLb3o3l
6YccsbTwGzZ9TpyhWu8Q4UvIDGWGr3KNSq2bz6sru31XQ/6TSYLccoD7Je99LKwaSs9p2p8A2Go8
pjqDk0f5uUnWBWTX4/W59zmxDNxjuztK1uhDRfIEAWoK+CRpkZkO3ldowSFS+quJ5UDmYONyohZe
PFlJymiUweLYYWe/W3iNmb2fNcxkqEfKgQoUEUwmO6wwHqRfO4hz5UsFxFDBZqc5YwbEtruU3zmp
OsRD5fzQo7MbmCxqPHaaIBln4P/HfE2u2rW5a+See5OrflF62WmEnXPfiF022Wo8YufsZPP2vkRA
VXVpT0ioQhvFpGYVbWSbaCoN70rWJ6rNF1PYk4FEUW0tP/SsaO8Ei/x3CGTepKXfT8o036PMzWWD
9amp9/KhywKu9Vil9ZmiYIQWG6/W6/9ILekvv3XZjsFRQui428kr3074zd2q+q+gBs5frcAgm2O+
xrh6MUY1+c5jqnbm1du7r87g4CtFCqGbZY0f3OPB6vOKnzXkuvPEQquy1q0rgGc9RTWsKbwoSLcz
9IvH+IOSs9SeMTAxgnxiLzXMthkjnvdVJtH8rrS3tbhmxwdkiDP+O/nc6na7MvzUizJZcHxQRe9A
dWDuq5MYTZ0L0rpdnJfXsJNCdGpQmKBJ7S8XBKsFYs7qPDCXDBDNebBD1K0SNS0nHZyPN9+Djlil
64Ql0yJDxWAqsMuFF3/S7gZhzrNzjj7wuSjkya4HDuc7MEYIXUjodS/So8A7GPMtpUA6Oh2V1Lto
jqGtg2LF4yqEyInp4NPHWmWMVpudIVuhi9GDyv3yQ586SYBODMM9r+HjkxZRw+Vw87k0+tOxIRJj
dLhVhw3+grsz+t1abwJaKXH9mF69oqFynvO8Cxml9WnwPDWokOvnuuUQpAvNEQgsdl5xbMw58EIU
PZjRKKlhrfaf599Vnuu7j0OCpcLdg5r5+UbxtDYhtOuo8sZLAg3h8HsiL0Y7O5bAOfIt8FTgUIpG
Jl2Zom091IlujDBQgHYykaa/UqxIPIATd9DfwyLglDSa5ZbfP2xcvrEXe8BejvWpEVmCWXmW4rvI
HiYhifZkPp8TYpPr8fpXjJu+VmkYsRsss3ldOWy7gPlwm8jXMAEqlwhcWWUQgRGTMHpDB59dVhCL
zC+/UXqv2iD4CWJH7SMv0S1NDZz8zyMBD6Y2bDSI9RM2FchWP+YIs+aan9IOTlhAbPYR6JKjoMYj
HqGaTDtbF6RVI2/8gfgdEo776euzF2NpVNOly8LAWJnAhhG9Qkex4x0byv+xkicfSBpSA946Msdn
PVdd5mfHxw7EZVP4QfFQVQ9SS5wf51LVtaQalv1scKTWmOZ7QnEIcFz+tUZ9dcOAwu2dzSPpQUVu
PVzIXoXL6FKjX3A+HtZZtaauUA+hBxOt+gblH77YITonj0dRqxnlAO96HVNXlMfnhyv/j3Wsohb6
OEf1tLV6KKkXmgNAd4Uy4qBoNqQ4PwPI7wYY9qoYFybmifclzpQRdF5r+3U8uIbQw+jFvCGB1glF
2c3i+lZm95Q3kHyGEUKhTk3YmQb4ygOu4cCtq8iedpOHB4WnjpGr8G/zhH5JZq4cV94Mu9B4TxFl
hJD1/uGm8K4uEIzXgL5N6pO54sl2Fu9iY+aencr6C2+AASTl7vF46aWp05S2WFLsG6Cu0AxU4ADa
23pDYknyxtxNnJ5vlUr4AzxGPdP653KH3LDqYlCC6ukFzj77ezEszdaI/x4ol27qBIxHt5s3m8W1
3v+DPauZJt8syjVtQt9KMZCgF3Bi+Es9YCd5FwC3z6z4gKsr5pa9fln1r1TMJBkSIKmloAx1rzWj
D5X7AeOMVY6nlU2uIOeTCwqr9yktskAS0azIJaKFW32w0AfFNOPch1cmRYeNAbyKkHzPwUQGYwXI
IuxLu7uArlBzPCbiVjvCh7JlNeBPDzja+Vi1MboVsUHbczyVCCt8zobs8GMF/4y8gGsWfx1aSKlP
BMSmO8xjG3Ue48Qv4mMUxfdLlj6m/jLIluQBB5KqAgNloDttLfA7BxtpEtK8x2vgi7WYzrZG6AvR
+maS6sCDouWcQsWpJgR6j67+9Td1xxLp52JLG96t/mQ5yi52qhYOPycyJNyJMRwTVxxkQPuy+b8F
bwWANVWanuX6tzoh47CiwqKKAOdXhwZNDrkkwGq7T+27Eq5byDg94dk4rVFM5AdkmLaTaQgtx4zW
+fHI06A2zK30pWIKndADWQvE+argCYYnCj4RwQ0Sb07UpkRw7WjGJ59h3gUdltVkiJ5ZxpamtjBH
0ykqBGCpZHV/Zre6WaK50FQExog7paYK4nVvTh3czSXfvhZDhAjvdQ+Otfz8Z7N/p+bard/ybE1K
7Pk4DKsbCLYrf2bVGCHsHumexTci27zPyJtJvk6IWy5hhMhit+b7ZEniAV6F2C47buOQKqh/KZCZ
Cplk5zRvv3y4QsKbKt+QEEAjK0FoxE4tFwLQTo9JCvKynEsCWG8mwN/fO/UzBt20gC9XkZty1yn0
lSb4VvNvJPVqrDP7qL5ad3I6lO3koC2Y+SBr3Akj26p0RFo36aECv8zXAJF84DKB2AQhON5zJFIf
6eo79CrVikPXoq9Jdpm6lYNQQm6hq8i4PlwHbptFKfTKvVu35GWWZnnLApaJhHso6jq9qzcvNUEP
QJgiTuw+sJpCA9KWWgI6t0zMLNe0gf/jvQp9r6IFlQCnekNi8Xy8kkELtj7qPel+faG/tS8cC/w2
gIwdC544bh5mwaIAuQr0lhKK8qE6cc02TYrgPBoCTeSeT5KB8HE63mdMFIPKAxKVrA2EPsTnDk66
YjiRdQpZxw0broCsgvwAtNaot/s5c790GW0reJv/Esl71lLLK70VuF8JV/622KoNOjsoKUUCbSg+
DrrUF8amuUw0g1tGE82sjGihmSqu6OZOu5Pjcpjc5VJCVWyZ/JGlLxy/8SEvoD7F1U0i/IiSzJwS
W6XaQ4O2IUzxoKZmEypQnCg8FhDqGlJIf3JEuDxLiT0+cVVoozeeoK5BPEEJZxNjk4f6jkYy1qAr
AqBoHBxcL68e7X+AzqggjO+CYkMpP6jTYzUWBs2D5dKFBYwGhaO63MsWj4KVEl3mCRgD6d7ViiyR
BQ6ziqeESb8pnkfJvxgRSkBLMmX410FqevPyxUDrF6HCTGZJocT+IBRWbniZk6O+Z6cJM5zsOwU8
lQ7w2l9Xd5vcOLxJ9WQtv2pgoJI2ZwbmPYf314W32QmuApexFCxMG5bXALSuM/H1tvX7vucGiEhW
zHR113P0BlibiK23RMR0dHcqnOL2OtXXOga8G1S27UtDzh4uQ5nC5sd1+Roexfg4jW/1W7SJ4yuc
RXbkyMOjFUkxjRm6pSHF1XdrnnY89SkHLWSskhWduD73Cvy+8lGumqYPr20DttKY4ypPQyRiwyNY
xJGXivdIoUlWtSS9rJFo5+gSyzwCkATLBk/a68ih+Xv1XU9nx/BfCc9mupQa2m2oTquWB8G+1hEN
KB0wqYG3XIyTfu691fq5tMqgyiaTLr8Pqpf82oaSNOJrrZqAi3o7zf1XStxiz1M4SZyKIix2uKHP
8QZohJ5jN+nf8DFN6uxIQK03AK+7UzgFnuke4Md/eoBjTfv+O5pQ/RwG0uiRjtaXd0eROt8hSyZr
0Fox2D7NJwWNIYudp2th6XmL1w60EBZ/NxUKWjymtQLb6kPYkUNCHDc1vHIzJey7rd4CuGP3jUem
mX0aJvgBaoGB2Xa989EJ6kq2JrHBSqKllyRl+IaXKIlOx5a2X3Zn94LHhx0jdGCygxS3K5PRnIJP
+zfDMpWZNz1MVGR3suNuJnxJ8tZQi6Kke9DPjwJp/n8iKr95UD2g3+o2yggx3hlxFdZ6aP16Dy3K
3D38IqH6Qitzn4VfTdj2MtiJcbKLI6OdrmRC87gvo+S4B1X28KmC5QXi8rdwO4tK+pwwp/Wnv2nJ
HS1o+xseGr1jL+vPpQWoUMZ6IFXnzez26ADp9w/kBWhuFIfPt2EXEvvH+ABzBuQkpqyQgwRqlTsq
vXSppbQMYq1vRqpjN1BqFaIVr3FFhU8Gmcu85R0lLyYoiAZOI+qqTgb8mTlu+Ick6U09IkJFpwJo
JiLpHyYZepZmNd0n+15T5XbsRHkEe1RFL9MXZ5FPHjiS/Ye6Pyei+/aQVm4eT5A0HfMbK71TzS8f
J4CXtW7P7b+rh2DCwdypXVLyvCGp9g7moHF0C49fCY0ge4vs1DgIH6JiACybS1d4YgDyEczp7n9s
JmXVMZ4GbqgkaWU6VKdCYMtn7p5BqLYIifgGEu5wALqhJv3owPTgFn9/urM+vIdgvxIEO+gUuWBu
ejquM9yAZy0hQ+yWhKSp3yauA/tJ7WyxVODawbBigjXf17Ifl+c5vVLOonjwDJzmoR5nLvNSHdmj
j490bWinKNThD/Qu3GZjkwJEWrqBNul+fw48UURY9sH33tSA29khOusesP1bjOjbxNMh4K9u9Agy
hEfoePn3Goi60C92OvBa/vbhAytFer14jlAfSN5geF8+dPjI2vMght3yZrE/+2CGVaRYnQ/9m2Aj
/Yu5+8v63rsfa+vtD9va7H7ZX19kh3yZbwBJVkIE3KuIFsX+yxdCXCnewLQTLY3ObmlvrQozoOhi
B1HU6pnB71Z9jBT5WrRBqELWA1fe57ZmT0G4sUsTs96PdEoVfhFmJ7D1MPk+AJHQw8ei2CG/VAzl
gLgHtk8qYMonsOeHaWQvcDwUFkJ7KAaAaaEz2FKzyQtwiZd8iJdud1VGvV2eMNwPqwjLJt8jvzmR
oNTnq9LU3xQVDHseuzlTTfFAIotLPLHmpk6Eff6KLT735Py6i9zjzxu0wQesYgNhAcj42y0FbmJL
Npd91W5XYB+4NBq3/fBssuubLlVBhv3ZzRWiq3QtjJSzvw2nFnic9SxjvYg+2H5daQ3+X+eyfbJA
pVma8Wbdva2QXqYscuh09UpUV67A5OixBZYQoCE/GlZ94AVcfybc1KQ2uP113DudANw/e3FqBMg5
HhOLGM4UvSAtD4Vqog6AdqWMrlxgv/Ebj3pCnbA9yqxYKTh0Qw0ihLtt3vpo+sn/Vlb7xrsd6a7Z
vQvojtCybVo1d4rqWqVAcuTnUb28VPxfSxs6SkT92Xix151Uj6+aYE6nk8o34NUE/sSvqaKL92B2
g516UNpNBSSGz3cDpMFVlX7LOQzFUW1YYp2l6+WHJb4sogkD61YrA3xcviBOwEjTPlgn5YjfvANz
DfPpkBLiBj8L0l/qZXBsx6rD33vrdw6jpRt3Z6iaYdN/r3UvNLmVgq2t5XtBYTgQc9cVwaF1uLli
iL01wnAkxb+jxQNNjZ2Plg6Kug3zp06H7slPn0+IFthdgLE6u/U19p4hQpDEYfubXXJb7p1zu7Uw
UyNtAoYzQWs7QdyrC+3M8xsRZb3IIj0sRopN48HCYdI4kXdYm9RUER2o+q1fPX8Zh+Z4xyuuGIuz
Mrw5zoLZUzhUnLOWo2wKHjldAN9r0kqrSoDCX4ICNSEWJkxYNpEfS1WJppy/COQqtb3m9vIzmc/i
78JDWhm1FAdDz4pAymth5WRdd8J7Gl09XEn7CyiI3fwDxTAKN/YVuPQyX7yBeVsl7sE7c/8SuQwo
tjgkVl1m9V/BoZ0wuFc/oAjFK+LVhKEtjZo58zquc44ICvz1Gy/qauZsZyNuXw7p7LLgF4MahyQT
FBJpgXLyrpHpxvV3I7oXzJUapAlzBRqXFaqHAhQ/G96P1oEivAirD6HW3jWVTvGOkrdcizgfLJf+
iAE53YhtfImoL9MfrGE456UAUomlV8dflzsP2I1Wb0BePX5DqewzmqL9aQ9Dts0zT6z/I3H/Rzq9
ILGhlOYv7EVxI64VD3+LxnWpOP2vyQk+0Md74JIBhgFf+sGce31lTbwME+RofN1Cf3WQlVMBcq6x
X4BoMvexKSlRIKSz8+1yc9yyfMiOT1MUH2UTEmz5v4y9LVMsr50MliABfFF76W8mN/X+xF0+adaI
yyG+3POD9E4v0N4HRhqDlZg5stpZqQOvfkQiJdB34SnolIFAF0pmrJzXD5XX0E3zPXjAEkewzCsZ
evy/Z85zlwkGhem8lQsbonp3HgRKIrI6qzW0QHdGVUEbxjc63quqcLAMKsDCTBjD5mUePwlym5WX
QWrDHHtl7lB9K8GeUOT48qHpTHxzb3gyHqGBagHYzJVY/HFALm05ozghXXCDrkPN4Cya3C4pQEsB
3Fz4GW+SD91CVWBVhaSJG816Qgr0dSnQaxsC6i9mBuhhpkpKbk2+fpWfL3mHfTrqgWE0VfxjJyOX
83trPTeB3+XQAW/1AmT93Pq8ESgwqopn44OvL+Pbeo2D+zCdvR8Ty1Qx08urAAGjUj/g/jKAH1u1
Nr22vOI9K3LZjIK8LqH5sZu7bxfX9W9UUfaxwagSTP44W4LzkAtOOvEK/enopTNAmXar44Q+WNge
J5Bn0OKNiSvnhb6SokW+FEXMKMrSA6Jn/pFYnP5vSg9csp6cA094lLpZIIJSx0r2HE5ioxUzL74p
Q07aUat/k9kP8wpOKBqyxiUwwTSD0f0dsbY3n5FG2G3eGrthS1kp5KDJvWgDMux6YL+n7qzMhoR/
nCluGjeVFZ3oBL1JaNQkBCCgBx/kZ/AE5LAPq7QwEp2n2BqxXtzE4A/GVbZlkTaexqthwyvJIYTj
+usc/ltIhGc/2eTLMWgkLVXwrZbWBCXEMPQ72VmelcxSACgfgP8wyI71cBxGdRvpR0snly/jzpIn
hLIZz5f8jTSV90rjlPtX/MuG6Z8GX12xVdrUHXae38s0v597dkE4Nbjfw08DvCD9sXXoPtQDWfa9
bX6dvjKl0sFDHytfrXVK2/9IDxKq9aqYr7SxehEHomi022v+4Q6utLT0a58HMrDZUXOO7HEqIJzO
8t1PGyduTvakfDZJoiHJ+G4lF4c5dQ10XvoH+chlV0lf6oyLDpyKN079zHS1b6/jOftio8CKLUd0
KBPYAWllSlv6aJH5qjkQ5wT56UaerSDGafFDYA+j7ijGR4TrQZkyKldBCx7gaQiJY/euNjTbJXCk
z+lSixdoMPYtEWANmAXXxskIERhrY4D0nhjsweG0nt57R5JWeY+ajWv3EfBrXPPCLIK7YT5/islE
pwpgLjmcfKXB+chYaSecocLzFd1GsZtinm622ENY+dSVQdJSEMON3zp9+PRVGnCheTI8c5WcmMJA
fomiRESUOyexdjxGBDCUV03crv0Tg4MnBCXcNBRxSC2mdVaM+Uw5hm/pj29/VQILWL+V1nFIkZ20
7cxMk4XfX3GdOhYqeGzOM/IJ5qQjXxkNNoAflrNcEgcZVeyAJ8zX9ArmaGrr/bZ75X15IEYwhlef
mcIL9Jfki8qVEMNYY1iYt7DZTDC7jqeJD9kOLvV6JS3w2F4sq6WfyggjzJ1ufDYTesQSC/3VDsJc
M0w+47pJx0JTHWtNRdy93OWSFxEwZDj4KKGiTdPkZsie40SKCSEyOOnBIsPGVC7fnNCBHNSA0qZM
porQiqsXLZN2JFVmwQ35CK+n7/1vdYMCtnXVKuwin32Wm2A/TX6u05LscMLfYaPCTSstB8uBQUED
ynRXpJvxDH973j1Dbgeqdncax6WCc/uaZ9W1aioFxYS+dLIMarkMweur9jT0beWkh2qrUt9/07e2
yVa1cD9ANAFXzbziGhl/i5dWthHzV86Jm9q932VuZL1pe/7nkvIC4oMmt8CfcUwJGA6PzhGEdSmg
iMKPMOYMgUJJbGLQBRQdLpDU3cnzzO/qlafL5QExxJLYwPz0ITpKbZShNHPqOZQF3yrnHJbi7ywy
SQF4VbKfpfGEcjo5TX/ef1jcrYOTAffEwZMT9EAxzQBf5NOVHQYpp6Fn1AhNQMf4EwZJtLKRyfjt
1KVv3goJsxKccQfWDExhNl2BnZfcSiSpbFyxZUJYyQrTAUgP72/Ra0DbH7Y/LRon4F4KRm4Qia4z
s5/u8NgU7ORZt0Mh4aZ36tKRF0+7gbe4FO9SWjNBFfIsfanVKFTdZ+r+uyOR+PcE6fcaN5lPezMj
HI9almeU+tRdf+yb+tCj7+1IhJloontW2TfY5Q1rN9ZMoHDLDdDMI3EUJyX9CrOAxxoyUnu3BmpM
+VIkvGByyjpCY2HNcTtnBndlvJ+0gKK3Q0Uvj5/X+deI551QlhGCw9IdqK7N0W9mJV/aYutuJPFo
G+alT6ON2vbnZB6Lh/pzisgHxd3QonzJSDm6e88HLl+nsbufV9M4h8DNFoBW4DwPVsKVCPKZ+vk/
DlbjqAVHAuYhGFcX3OoVjThQ/L4Exvf4iwThlHFAovLscdBFqzSx0de+g6ujML53ZOGaHKG0R2kn
+dYNh0sek8789XhMDI+1x/mGAyzsFH6Y+gvMoO41cXXDKQ8gRaW/jRQNCBzRT43xefjM56FwkT+r
Sq/eykl4HekEEZkG/ZNNpSb5Ichbm/+YPgHa3iwR9BgtSfIYbSw/ImE5BTIO1t/8NtaBNyiThOe4
XlhSssAqSqF822SwsRKl99RCiqfak9hXdKkn1S3WvA72nE8P1n9iv1dkto5SEyLeJCQaEY1i5Ms4
YePfsT4LZzZRgTWdrSZ78WsIgCoLH0EtruxCXTvJhS8W9GZztL2d9nwaF47hFrYb+IyNRN8whopH
LlmT0vBJk7qbqcnS2HY/ERLobsTnWKfm5GhtYGdR4bXTSZkhX07O3r7W53l+sm2YjYzvBJ30rJuR
vkeHPAGs/5FSrxUxWLWwT+Cn/w7NUKcMd3Yfx/bORkTDv4CiyzhKdWinwVg/4Jm0t6Z8BZuZ/ujD
+EJWqTcbHwY876aNVVOmVxSIxSNyULBRTe9P8lDqQvxRKbxTpBRu5AJtrCWEnXFrEki34tvUiPGF
d7zK8UAsFpC1HbIuwrwlFqnWpH2pkx9fULNJjBJPtFgsn3oyu5h64MLJKIDKgKnKVZOe09uJLaRH
WlPWAYsWm/xxQfz33j0Gu8Qmg/wpsy3+pKef5VyEAKJl7S6qxb2sDWUmqZkzaMlQwuIgY/iPDbmn
LgCIoEqHienKMj1eJXKjo9rh1RmgW8EsncBPenZxh85xfkpq2+Mwq+XoJ/Qubi/l6xpa9R6bSjrE
OYczrdr/66l9HOYj56voeZcKBItRwnOcL2wkxyYbymlkBbn4gsEMm8jVjHnudmTpe/+conzfyguk
/AvXmb28qmf9lWA+cS/bsCq8v8Q46Sy36A7QifLjp57rlvdI2cMUptHX4WQ6owQyY0BaLSirFc12
s8148t/I6RCK10CUipsyfunpMHVQM/nfAreZWnSPfnzhbUWAmT3nREqHDfpKE98ngZYWyYhuZAiX
oMN+9CxfCJ0DBmoqObJ3Q9/HdecIc1mUhs6IoY4uhE1JoNaaexkPgDNrIicvVqvc+aGDIE92pd6H
e1BcEdN5l4RQgN0s5LOUm2g3zkUArTa1wXw0b+7yCbfuQX7NvHwLqJM++tB8IGR+aN26F7abr/wR
9ZKcEwvRbrBYyoTkJsBZhPTU/tAq61xA7FrlA2hXE4DRigpuXuwKlMvhFeNntMqE7ZmWGkFSDofw
hOnrNZghZ4JvxjT5WCFM2vlfFAe0EdTaI++OJPuruHpXErmHBGvHNRxAYdbU8bjzvDm9vRE4XhLd
iqt+0hEt5u3jkYJYvsbQDFFmVYcxnm8t1oroxPE57zhKyiNv72/H9ENl+0MiJC6VZbs2bHa3sEDR
Xgd9JrOkcOuF1AjKdjpRDVU4NxChY+T1xt+1AYYg6o0bWDX7pTxZ9ISNtCDAPWb/i5a2Z7+tfyQc
/mnlv6kzfSqzPkqSmfHXnDirP6VM9F2oAPIAp3gX+qUgGvcgBOjQukzDoRYr/kdrYQV9d1n7B1Bu
6ORS9Ouz+uQAriDy0Fsbe07ptxmjwalRvStR7+l1jIAhha0LXYpxRlJSianbZYeFtucTWcGYASie
s/oK5g/kZzlWXb4te/STzrs1gXmQf0E/tmJB2bSHVqpm9IEt4MPT3PJcaU5D8X0C0zNfez6z59Di
ufc3JpLn3yVg8FPJlDuCatLpLPExp3sjT3NIgFKRkVQFh+B4d6AhR4VCWa9iOLQHdjEnY1SpDlsw
DAjMGx8GsMwy8xDOPDWAT6U4t7sBLFDSDTxBXjMAZ5DP8cYmUyBGB5X8vjNv9MpqP4iiHjAjF8Pc
leX97ujL3liWYSgorM7dyq5H+jKT1R7h3PI/kDIAfhjgzb2sRgYHs9JP6OQImzGjHKEQMvf0Q3fV
9Oo/W2BC262OLUhw3A3cC3pruc0fH+MJzy4oQlqQ23mWsTVzV8Clya6ChGtOA0HmZSor0qPkitr6
CdQjsuSOwv0DGhocIqBM8enz2sVV7zf2MJJ5X5R5iRWtTdjTwdQk37usIlQVl+412YFWmkkUZxaf
pdPxrvaQlFtWQ0fAFbxs6YHAQ47bEziXYbxPxh8gEhxWkRaaSIh+hSvl4V0pSm4lVsurs1XyZJZb
6342l/u9LVmS9VnBs18g8U56zOkK7Ol8KTHBQNhk0a5aGqqZxCTQjj/bxw9jqA1oGU+1kZ+IMjwi
2OaCmSORkQPxS6MieBNU5JeUzw5cUw0fBvXicsfjnfTiKHS3DPwQ4yIo4fzAK63/WRmXd8VkzQhv
+8xFrqTNwGxG207SHKmox+vaHPf1B47Lsoo56p2fcEDjMz/5utCBjFVuK67yXu2Fa5tpgfW83a/5
/g0OSNu3IwC38NqOEBOfsSg09x5kMHLMj5fh8gSg2l9hIVzDPYhLHL9ODFS/UQVwdlO6VhcAuA44
Vch4JfVMY/ZAiAlo4Pam3izGg5qUtfrdzHPhp9LUapPjGnKlX/8cEUetf+DWWaFAF83v/v0HXzvf
tL4vdgLXg+8DcbpsFyikN1TfenCz3MwHMJmsAhqjF3YHs+xTi8SvgewQdiYdAkZu/Rj7KjMDid4c
XTZhNUlQZCOY/vTs0YBFQLY/QZxOgG4RzsSBg2WCH1Vd/ft3Teby3DuzxxQkVYwvWiYllVd6rLo+
2h9HNnMyy2KDjs+Z/gKUexxfHvd3UNpW4kIAZ60XCxDF6emt5CLyDNr195qBHNUHiCPP1LyBr8G7
I8gypY59eu3VcbbkczfgnvLG1IsLjC3679HXr5QSuViNpzqOc+w4i6lLUtsIm5ao2M/Yr31q7BRa
kxT7XYbfmTdBBBR90ItNzIEsg3FNKD2MVyro/ArhEFBOUi8Ff7MrMgRVtu6RseXsuvpXwP9YtpqB
zCM+36eLZ+tzzpb8RNUdXdPqzVnGZeatHR8lpTkIdkiFYmNz8rj9N5P7sxdz/slPjyVeQAxPr+uW
yRWBjfAI92RiYMA0LZZtKYAIfQyUouNcH/mg5ICeXenoP+WgHVngde4FnIj9TApgmpd9XUvMPAda
TqA3UMqLNujsSFUXqJZALMe3Zu24svSJRu/18l2Pw/66RFPUSMkyzOmoG+FSqOLSJJcatKzO3fYp
/k8BZ0UWDtru66SP9VJLSzEJEa0MxpsBy/wTiC/rGR7enK8jEan7YvFKd9kcCNoDFdoCjA5TxOoh
kh3v42R7ZjItKYqP5UyGDvYgraUQf88bjJi+HKQdr3yzUo45hrePilMK1qgyF59vebyvZhrBtR3j
KoHXHLD+3fxccDZldLEe5wGa38j0ne4CkqWD31oEMP5UDuED2G0EeEyhkWQzCSXJGr9a+bwRKqVp
bBVFPJI7HnCsOmhKLk2z/AQujdoh8rQG5LUuKZPfGT/QiC3z+wxk3lRVmfS7cFTIlszYzt9hzXxm
xQD6zJcv5jXjE8qEfYIqqbVFJ/b6wHWcUj16NaIaKpPCfY+3JRSmdNdSHGY6GRYTRBWyWB/0M2nd
43uPr3YaCbPYRyToxuoYt8nL7bO3xZGNsVcrBshRqjSYGOhJMy0gDAxUfXQpnVqUVHEdBNwzlrKy
VvHkGi5IdNkCyk91HoC0m8ITkjwM8OcXsjIlrRJjX3AXguUACA+86x2HHsLnLPy4mAfC28C+fUT3
YkFifx3Rv6R08Wq18I9nYSk6UXsr51aLpPTvMsBs8GBdQlO34EDwyILcUGsaCUvTY/lj4hTSdXgU
4rZ2+Kw36Wmo5s12LazHFodQmZMq6XgB5HtQw3zzNaAmb0ExjSeUtaPLR33sXIsPGvqdopQrCaSE
qb5f5QRklCZDgkX0nZOjEtIjKKg628Ud33xdxiu58U5R2epEqeLFuDyq1gh6FTsAJo7k/2B9Rs0Q
1S1pOdM/fawxzF24OhLGX1fba84zDxUTjM45X9WZW6QSP8/dBuCi0WChe5qK2fPKoyCr8aEiY8rG
wsxs4aNeT3fl9VyZO8RnxSmCZdLnJKQj2h8cX9Xx/IGeChK2quh/66jGLRbMfVtnVjLWJ5eUgh66
xAYSgJ6Gl8W+iiCJxfMMvv7r7hjn417N7fXbaiZ+W0GhRSwbqCQq+xhgc+AxAymAiaf1MuOX2Hul
kcIau9hseb41mcME9IrmFu61woGxmYbJFh/MO+fDB3VNlXELPHx63pxEQMCmUibYDgaB5mj5obY4
NpM5+Qfnf0Z8Qj15baSnLSO7DTqYa6uI46gnzE6m6cWTQGL87jwOFbIwaTPNfHFn2zDsS6m0qH83
OyMMYpf2Hs7jqFFDm62vSfLjH8rGPaWGe82594Iz3o59Yd4FPBc4vpVo49wbj1tzmm33HLjayKfN
CUbpD/lWI3EKCl4/RdyefVwwB1Cme4pPgAfn/dG3gLDFyhZWM8YNjGuAt5m8jcJSEyHhuqDDrXs7
DmAqO5uFMgJvLnV1FA+U1colVuqlGip822l9+Y6ZKnENr4kiihljQ4QZCBA8KsEmOsD3R/5OhVFh
4Fkf6VItzapcPVqjc9uZ1q5ZgjpCEc7HhsY8t7yOAR5tHxK5tRXPm/R/cCWKSctzMJLI5uWkqt4t
e5k5z4m8zRPLcy81gV/FmQx2hSSlFmbeakUMbEbCX9pN6Z8zUci/3LWOvZ4o1Ps+x6MJa+ePmtup
ZY2j6QuilDet4st4MsgL1rs+YkbPtP+UAIhun+oNndIkQcp4O3Z20+GWVCo+RdByEhXFBS0cw8FQ
TJhEpYwEbPBE2XT0/goUj9PfsnSiBzT6BUSeJRVLMtSaa58tSyUriDfYPZ62gTsY665VfsepJ5Y+
thrsqjkFAPEpD5pEcXGDSjjiBVqfl8VUndbnluKc7+pxrdapHghNoknZZReA980DKasGXNC/+rp+
AhVRhMLjX0Sj0sX3Kkj9bEoHpEdmGJJw80wFOWaggSgyrYU+9uW7EJwiPiCkWwyFfDEpBkXLEwz8
dXUh0bDYaQ5AY2QwP/mhaOgbjdEyNoO0bz/JeELoEGDvLlKS75Xiw9E5MB5OYAUPJmjiopT2WRA9
6fC9vYBmBv0fHNsicZXC4VMfXz1+VqEGxdFiZFC/oNBRnIg6d1R9pI9vgIfbaU/NojOH/j8jvGGK
Q2asqgTVd9a3vnE2zmUN44c9YPz1PGMdIdVaaAHRJijHEVvnR4SVPbCkV8pFyW+JVkxtRhoyl4+a
AnltepuiVN+Aadu4cvqPTZipuZJkJoHq+d+e3JMkLVcTZIOfoFOGJnUojETzP9qaHJe8gPecHYW8
gEmPdCbi1vZZqbCYWbh+1Vb+m1evIjNx79tslIPHVWc9k4f51gZeJS3SrTajPw2+OiwVAtmuqoOz
mGOY3gjzh2fUxTTcgUSmSJt7qxbYT/VZ2U8ro2paqszBTmx6yBXnKFvaUV+XeM4PtmWLu4r5I8g6
b7kaJxtdln0Ym7OUataY8LBMkguTO0QWXgaRcSDM3oYYdc/r4UeGLbz1AfV+KsgJOAqELGV6aRCx
aGVKzhe9kKsAQP6gsHVfLNbkdB88T3+BHnx4KzPLF/tKeMwKLLFrFJkC2DHpHK8UXn5wSYgMgk9A
onXteNPyt6cpP+OGoUrgrhFf99pn0+xS17gxp4C3Qmpyfkn5xqiqdt1WHcwVOpg6bbJoaD1+1b9e
iKncHE8aKkucc+afAW7TQRGyRt1l9Fs68Y3gLKb4OF7kK8kaeoq9CcqVy0znnC/aUQDa/fth8x25
U+N3wAKl4CqfpXZLV0Jm+nn7xPELgbhHITyKUr79DKQ4jZ8NjTAj1B9pLoywNXgRaiJDZ0p9N6P+
XyuGCaab5kxIru5jZFNyvW79EpbTJQCXYX7kIdX062ZxZQVGt0G8ca0Lxj1TAbRvYDxrGeeIDo3F
z1YRGN3obuyCzf5Qzg/vTzjesGK2cftxdHxmCwd9mIke45ggajpiGdcvSOCcPZwBrQAVAm70yf0j
88oL5cvT4R3iv7UVLQR6fiZXV4jRWdKfc7KREe1OEeGs2nPtxqo8/E1VJgliiZ+o5oEbe1ulhvO9
wBx7DHyIlz6uXrzpbP/en1xvAZtPuGb/KjXTxBRUA4haDKppmVt7aO/MTzcqP2HxaoSN2e3Arlyb
UVJXxUPuo7m+nFV4SLajx+1BMBapeghaZfEW65k19PMW0dG9xabn05pL52ktwq4xZS1zC671VVmy
eElMAJz5PVXNvSni1QVmSn17Cate6pBUUUlDSiOMvWq5Yq3tCzhsspGmNk09fF8yulMDae5RGPy8
GkWOj0Qxua73irB+epQSnPvqx090X2898qk8xltxSwMkJgQL3uS7TzoucLV1k1Y+5oKql1CJWItm
6gNWszeIf9S4vOPep3v17eogmOYqV78ZP2Ppxg83JZbtkqFrZSXvU5yuv5bx1wX1dlzmp61w68Og
fDEuwuT1iAFzNopF7eetU8VvMAoA0vCzMNoLfWZKPeG1VVjWstbV7K0iTpwvJSilir/eCHh9Sdvk
kqyl9yrQR4zeIENmXU7WpXU/svOZQpma5f3njlu9bVpyfTtaKazY+F1wRLqL7YVv3zhgG35SMVTB
p7UF2J6ekfOYW6BaoM2n9qQEmsDaREgYv7dYDIeSML6rKDLClNne+tEmdXEAcjafSjawlx4y7nb7
Dh1SU75kerq2KKwQeX9D30OUHFf/nDDfIFZmOMUpSWfdYA7S0nTwX5ACtWjFZ3mGYT0WLM6Gsg3q
tiuaoNgWp94FfVsseWqjLpf57T0VGyaSciFlk/wveIUtht6hTCdN0JVPaQA9lBDu+H7F3KYoj+KL
9JBHIoz5dPiieVoOWicfv625xr1SaU63z0KvJdZ2zsGPa/Vl8MVO/UoC4QavXZi0hQFdE35xzi9H
Jc87y+F0ao4ypBTJY5UJ72ooHypZCKyUOF3hbZGp7bNEY7dVu8tuDrr7X21t74LDYLpPeDlGgxV3
zXh1DF1xzxu6KGsM3b0dtHyqUGTuG4q/hbE/v11UCClEAXOTsneNuPWUNgW/A5aSENtmoWMIIIu6
GqHnC0opKA4cT73zqClrh9lwf6iW75vLlY/1y85hDgSe5bD5NtDY+mCR8AUuzFb8DQvkkVxDDNAn
3N/HqjiWqsZRhFjeb/rUrQ9xH3Op0bwIZetZQzz9VRb2GgS6nN27+cXIuTUpX4SJTU5xcGQGwWhZ
Ao3WmNQQCQUcoy1JcneoXHU4rIGW8ahzrf+feTTRKh3C8Hfq6LgUkK00hE9GKLhp4+VeNBm+JTsg
7Zql5ghzR7XngxSn7DCYa8/5oqOQ9RKwpQKtDv41TUwwSwjQcUjCl7jezRLbZh5ofAtuS0Elcoyn
IZ+JvcavGLIHYJ8WTgUU2+FFr3dVcYT9+VjrN7iQCxYqC+aPERgDgAPWlpiIeBjyoZ3S3jKCXrKF
HiWAKxnQP1zSZIlN8TJLK6Kg+rTNOQkh/hlaBMYtJotHBLzkWJfzUgKrem2AVi5IFUTSoy28EAVZ
YakeY6D/2xUPUXPAveUxp4bl5qzLa+steUiKrNoQN77U4lC4eLDmYarsVODTBrysfP98JXINKjk7
wL4H7pUbvtK7y8WnF18J9oKbb8POsjTbRSO3HCw8pdVofi4HHJ01a5jJ+Gnbd0oEsWCMoXFCTc0j
o7kJYRu2AiJ8Thb74NZCLRcKKT5PopVplbiLL0XIwIS3AaKcR4xf/z+l7oefs+a7nrqkMBfLNU3/
yKwbCkgM1m5kcc6TjMqLQl2QWo2u6h7/vxukd23btY2Kn5RuCKKQH0/klA0ng7id9+pA9cYGCCsX
gNhhd1Is3FEwQGu4zSPPpQZbOOo3YrtK26JXamZk9WEL7te+tDcowQj+7Q+e75C+ViVX9HX0Ebp6
LwSsVNRxntSrOMAGp/A0SnCJFl1Q4NWvu3Uv9ASXGvbFOThDUWIjn/Cuk7drzkReRAvlRB/qZaSZ
Z5ibqkBINkajl2BpWlHEw6Nl136LNqIlf943x7812I20zYQF4PEwtJNWeu1ML+8NPaQgJcFmiFIE
g52GMdXw/71epZFa87KMDutulBSOxNRGsQTPqK6folGpRFqS/85/kx4oj/mNw2XC25zDY2fbYE2U
e6LqxPzve0rwTJapjwmjQ6HI2N65fllQPOt9TPl0WdjRFhxvrKnEOXkavB50cNF3Y74dWww3BD9S
2tapyG2pBiW7h2eAzizq6PXK9Bm2ypS+PBzhvz9qjESNnW4Xu+YuDoOlbmvzl3tvyNlUJ8TcjZUI
2vvOZgQPeAkJyCEU3rSHNR+xWOaphqp1YqcihlTzMJpAn1n46WqjyxqJASEo542TYDkJYK8EvcNT
eT8OO1z19EauZ35DNmUyq6JEeQRFMiO7pfmBEEtf7X8SuNUlsI0SgB9jbUiHy/Gh//RCd2SRJQGF
OB96QpH2OQpqmMUANj5prRHvzVy2QC65xEE9SgF2gmkPQTByJ8vgxzsFvWXD7TmY4hYH/BuFGm7W
J6uumKYZVUZqwOmJr68uD8ktzskbpkZ94s35dCo85pthy/OCq64OqC3fP9dziJUXbo+BnSMbHo46
mVC0bdBh2OD1qatVDooe/TJ51fWhUqQ4BP7TKOa6Kq9XZUa5c6BS0MF4gZFg4qkAg4rNdgbmcxXc
LQjj/MANcHVXb6Noyj21c/vdPwUMGyIPXmRqWcB8xdfFWphmJSIbS+1LpCyahCCC+81qStPtwX/e
b+4D6YW1QUNxYmR3792fLywRJ0F3A8eZByIp+WnZK+nab5hx6GsaHMM39RuVrxDAg/nFmSrjJ+i9
xCmJERgP/fE6hrrv8qMqPIIuOVXSL2Eq6Vvxbs3hrh/WVhhcdMZTLMF4pOc9dU393P60ZfFgO28e
+6FvlRUVWsUz2KCbgKMB1JeejAjjKWvzoHoWU0rzFnR05fnwwiyen2T4F+uMh9PABBdvC2rMosEi
EAbHh7pj6BLLH4Z3aXVG80PIIrVOfbK85bEWyQp6J5Oh1oHDMq75tOloYrYDG9K9u3euYio8tEQk
g0GUgjJisczQQbnuz3XKVUYBodEpCsgTr1JxGiB+LWSlk0VUs8uKsENt1Xp61FjTSGHe6aAhbHE6
0fmlIg14hx/BcW7XlN42hNs3Oi82T+wPNCWA3JDtFnplWHNM8xhQRf7ypy3Y1Np55OT5HO5b48zl
1D8FkdX+a8onsHOJpXBS6NvEuIVJdDkM2AulpcBvWJMeEd5vqq5KQNukinDmK68NRTE6K+XtUPFz
7saILWeEc6ytVw0knCfDsib7ywXgL04KN8ND5TyHyURpvxUvIAuNWvPVf1QcU/M8ShfzHB/4qSuL
Qte9V86HcmGAUnj1B1hQT9MuaqSXKV8MkWTiAXiInuOn9AWge6GW8tRTIJ5s2eosSAVmMcaYUMHA
oP+ulnwm6j7e7WSxfNXfwEjdpxgx9Mg/pJCSqLKa9Gt736GwM+CqpCMxnLTI5KNubyiJeKnq6mwC
D18kcLNoRsdw+LlRKjxB4RjzTCD8yyxYD1OmWGeyeIFypOOxeQR2zwBGH4DwznoCsYd1jO5ajDN3
MyQRfhDqYtiAnk1igwUTXmnrqKkc7VA6TI4PadTjyp3sYXpr3zzR0D8+RUfp7oPty31ULGia6IEl
lKF8hbfjSthWm849AvkOwbtWJglwwYGRSNY6mSh2+tJnUHK/pvjBH/Bd48rGIztYNiWJnsqozoUB
rI/V1ANxW4Jn3/CgnFOB4cE1i+XX0yJi75ONlHbH1TmyHl0bJyg8pl+3IFmFQob8T5lk9oQRI/R7
M+NZbRCyeC5jCFqrEeZ2Lmbee5GPLxSk5lgGSD1Nes1BihBRre0EOITS9HYj2qrL/NSYUlmVWOQ5
WgbFDEZjORKz1vK4uUlsnHYqcP8pbRptW1jpl2KvHwjrJMYCGtR95pjI2HavCXVPo8SmjF0mD++/
bxpZNDZUbLy+c4Pf6Kmj+NcHjm2BOSk0v4/AcQd8guhaj8sw86olJ0HJyMsxtBe36vUt5tnvbdn9
x3uOsfJGvM603BW2Yw1ZjXaZ4Wk+pWFYOb3tHwEO3Ft+Bo0uhMKNAUHs1WesHKGyxNes0Nvrr9WQ
QinTHiFVwHm7Jo99L8/pXNYTEqi4W2BqVt/Sji726bKZ8n4Spl660JWWVoUqk2oG+bfUbuTdVTx7
JVxOsabvYvC1SZSg+w5gpnlK8w/6icrtgZ8nkhpxtj56H1qFsVlv9sb2jrKpLPEMhMgnqrTN2TxZ
b6uS85Uj0/F+Qws05glqAbu9Ke7aFXZFOzj6chb0LiA92DYkVfkJ5dd40YIMMJ26gbG05trA2sQF
JEiIadPb7c3UiHhiPF8QgP3ISG2OJgtKm7w9Ip+q57EhJfCd/JOLrBfuONfkfTkZ6I6Fj48lxo+b
mszScTRWNM5JR2EIELa7EhnGw8W69kFoStGEKKupVT/HK8qyZF/qxn7aJJIDqFLlbcR8j5secDhF
X2KLxxvC5dV/EYuxuz3k1hN7mTHK1hCNj4EoIBGVHrRGMEAwnOu3lAPtLnlhecLnd7aVaSoSjXcL
nPkUbdkOYK3ha8KTI7W0AMi6FmqAgVfpzO1KLI+meHNOaTyieP93gQeNgEu13gILHGN1Ak4MUeNp
gQoMxWJ7nDPcQfhR78ObMSdgMw28Xk9pRyeFXjgpE/FrjJR+i5osbR0SaLImJ2Rkj5Ni5ZiNwQ3x
3PJ5wgarrm2wQdfAIEdx0ssAttvG9wE3AacWKnHIy1gXkAArpPRbwCPiIwJE4g5+E5KkS4c1irG6
41ZYNNFhNWwXw0+Qwf6xKIAkYuGCEVTuL6EI9Mykuypka0QD3P1YedtGdb7TNot8GiGOcjbJFdRx
KyQzJKJF78qgHIENCJxr02Qp34tM/qKhoDeR4jw2LXHHPzbHNpMWLISwlwqTlDOzm1D+Alrarbr8
2+vSptroF5ScYH2qkL0XQ9pzJM25NP0iuv+5UfzV8Gb0roAjqqozlA==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EIJPO9OSDMvMNdOLRjwQaF6UWoBQGuoL9zzQDGu35ZPwlaCEsuX2/bXZpi1PYJWx1fIV4fCHJ2uv
SGI9TaOoYQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jR96W/xy6IU1CwVZ4OWs9uQHbt8MxEY6OnhSFsNtb0hYTN1DbC1Q7k1rAopY5R85kliEBsNMYuT4
cKz3DR/nTb0Q1MQjXvFgtNYTIJn+x3l/oYgzda29/A8PpsBi6sz8KIglPS1mIVYa6RurRv4LkYKw
EaTHjYSLD9yqzkfqJaQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l+dRl/KQgn5YC8NdqXiuF3uROWLYUXnJ8JxZFU5L4rAPmX7kzGUXJZnRPvSDiahmvJuv8ANZs5gh
xs5LoEmDF0CFompV5QwULgbR2Q6qtwhrEPfg6MLWV0rRtc667uYFE9KTsFf9JZKKO4/H6DzzAdIP
WLVbf01tBroj4IeWcXlkzK/313rQETBKihcoZIo95c6hdiOI/cthsmWnNjsjRy0+PSU4464xZnC5
TEcE7sJSPGR/fWSbLVlBZxn3OEvlbOzvjiNR8+/H97sx/ei8Vj94gc3yWS1QgQO+AcvptL0n+FEy
JyLr8oQ6zAVfPaFj40vg/JebO/peHp+yKYPY5w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KHbON44TPSwtGlB38csZ+aUEMwCA8EA+f07XdNfbRNzHCWdzgmAoOb7uBfu7KxgTm9Dt8IjH0z68
A8EQUItPb1xEcce3WQRQmtBL+94WCLdFalg3R9madXc+OvDU9lJ30/cmMgJzC7ZqYcKNxsY+MltP
9DTs2k9PQ9HK8xPytpE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wBWhADcN+GmDp1YCpVhIm6ehHfqFBS6YvXzYJFLy6Hbtd4ICJ88jM6iQIHo3AmpIauawmkob48i5
njLAuUbhiO3pjbjswXm9m5ULq7P4Zl16GePbc8+NzBZSqwO0mIMB8wKnwW++E2Rn+Nns6sn6MC2x
zonzzsSzqRzajp9fUDbbOq2tS/NGomoy1+X36PLd7Cy5AliI6CDkRHdS0IOLAwKKtEXzMUbjOg7H
Dtr1NedDgP/xgl72/c9xLklOb+LA3hVkJJO16GJEccChdA/9ulSyPIsSQmXX2bub6jXFEifZQ/8t
ihBzhm2r0HZ75QWpj/gbGRQxM/9gTCkKkqLwzg==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WEJsl//nwwFukI7AawNtPva4Okhp5BPPbpvcOrHU2WhmmE+kpe4aQOMO547NxOMlZwGZ/nioOZpi
LrmS2pTou7semtJjuwLmE1hUNq1JnXEjxFJO4V4nyJ54enCYSCvNZDfgVzETNMWgvh00LJlZjybK
m78e6vo4JdsWwhR2Egwd030HGF+WhpCBmJqVrWwK5tEGZIr/dG0JtSC4lyLT4TI0WhfArNiIuILg
4hItSA/a2fFSiFfuPJXYSodzb/CpnIKOqjTcK004JEGCZJcglHRpZxK5ieOzXEV5LQE3Ouc6ACbl
rwBw6NkW9ODG4U4PpNFnPhbwmmQLP3dpSXp4+A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65248)
`protect data_block
bMfPX2R1tFs2k3nA+qlwsKoJziUZOwPs6DjXY9eXJxQc0uYM+CDAUpiUG6V+TMR2PH6ijTgCb6B7
pMdc5/pDtb2YVPqF9LhiTeT7x8zKqx0AuDMtUiYsaO9reuGcYhltSdOUxhRM5Oa7MwfI24R38I//
xvI73Y3knWzqvIm5U/S6lmzGiJZ6Jayeft38z0veeVMMJ63DPaqQtRaAqtYndrlmC6GSEc+hpdWc
2LC+hPSyC9dNUhKrbD5rxhyPaV5j8Todz1U/YupkRZ/4sxll4oDltMJjulXYkQS4qlLD5Y74KeI/
ABPQ1HgNly4+UW+GGXYxhFKF0JjzhFjoodO6TPOtOreYPGM6S+20QB0QlEAgzkYVXBO0ut/p50mt
Soy1FdD+oWDUvuSo0ldL8nqzLHHF8ZWo51wy5fPFh/gCbikqdhNqEOz+q0zjRqujbTUVoo2zgpBu
fZdR/eNYvw5ZLSUermVZY4myZxExq6hJh1rTTD+v8qT3vGXvwSiBgHbzEodsJNmfbptg1iMHSMf4
5AVJsOVrAlzpV9ZzmB4d64+JXONVZSg+Mc6jkSQRreA9NE9fraY29GpEvB9vIJoMXt7M5kPdkYIC
g3kMJA8Qd4vk9h98brUi3YS8mKx5ppPHxBCp6MatCsGORfc6tNYCHs7pOHq/ONSWkXEuDf6pLBd2
jgo//BwPE2WNOA7lrRQSKDJzOe2Iz7bfi5I4t4sOAluvG3zf7NRKvATgEFJGds4W1xJux7RQV+j5
kiLdcaKvSv1euLeJU7kpYaXDgMXVOLLRb9G8pHU/W6W2tnxMq8liGloYiHoHT18Fuy4AVM/nPh7g
+Z7dKIzBlU/w5SF+nzonQdFoQ6PFPuCm05sp9gxWNBQe4voN3YJPkSiAwKGxgJ8F2dWQWx6Io+b+
G8Q8hw7TIqDDwQYDFfYMTrbMuIc4V+zZFgmhRz9Wr+U+K536IDEcgd3dIQekZqxaG93XZdlK7Ubm
wlYMFlaLtk4YZ26f3Uw9Sn/o8tNQCOz1DC1fIA1ei7WgdjluHUTNUdKAXF3VXLQlZBcESr8EIeXq
7MzmtRoC8bqlAcXD5/px7KHtk+F+aU0DlM7yJUxKMKMXJBu7gooEIiLUx9tvU5NEP8dDPV9y13qA
Uz4LhTBFu6psVylxPp6B0ZdYEl8XJiBjInjIN+NGRl5rdkSGss7daIp2gybxPtRJorNvsGcMrtWx
Y2+T4Wymb9qlOAJR4DcWIIP0XqJCyAr+rNxxo+gZSfDlf/d7SfggUEAPA9ErxIRdnm4tRBBOdokr
3YyY5dGZeI3BPKflcu2PQ7BmfUOAY1tvB+2EDGCtnFsYi08Jm/2ZDlkjPlNxVml7MCtzPgn4qs7W
3mAuklTGH0AFQV+sD0f7pSAzX64xLlNk0tJRAwesIN4qzdK7SZIuPcSDBc3JUrPQ0myuXeGwoUC0
jjK2Sw2TR9bz/LaJMuvzeOtePSaLA69mw0bYI8UmqKe5ccbAiHt6dHhHog44yNv+BdP8HLpA98VA
BYzlDdYSL0LUlKXIij46M3uVTRdjCjXQZbOE+FFsIMl3Y5lLOvJdY1yBrQvKH3vlggMRtV0kor1A
nxZM9JzIYYp1y356Y6dFQMTnxbd643HeiIbbryF2DV61BQCimLAvxm8gDPSpInBy9um/CvCAONwe
fJetvjoTXBqedbkhw8g7PvmeZTttuQtFGI0CSmRZOcEkCNJcrtFSblgv7DG3zSUE9BO9vglORrcK
vNa6Zh86ssFR+tpT6p3J4C1t3uT/m9/CzGSdk8sZLcWH23KFRx/FHzmM0ZhHrWy4xPu3IOn0bbvG
b5uIPFMidWrto3kmtc4QvJsCvL9NYDRE/Pe2ss2W66H7VLSKqH8EtUWt9pUDNC09MPHkLxwdSODF
zjgwJpeaT1sZ6VTY1Tcb9YXq4xCl8MINYYyLgfJbhQln3z1Np12cAsFKvB+9mremDgzhbB2EkFxE
okW5fT/lFGwlVTY2E/es9WOw8wYrg7I5xFF8rQ1UicizFHZTrhSWYsRN6lKy8nhaw2emO2BOxnDz
olnwmFv5rb1gVHrDRRudJLO+IL0u4thKQSct2uDlupFz0JlGUsPPP3fZkiGAfMfeJy7J1G4jFpzY
BhAq0o211wlBF3cx9tM52o1XEkq8WjoMlbytpNAqjShJkfCKkAz6G3w4GVb49MPxWvIUgJM+Tar2
8yfCwXuCnin/ttlO+ydXnBrEv1EHka/Gl8ef3RPexlSFHmblncbdMirNikab+1S0Neb9fOOAqmUC
ec0U7VcwfL53PjH8UP2Eig2SgiLvUTno+i+MFg5SyjPUeuA8Qitze5k6PHJkXz5Pxu5hvStnrHwF
MjUpTpcOtkPT/0f/24H0Z1HF6sAppaykgRG6ZsbRXQ2041MAz4DFpp7axClWQe0SoCr7l6SgpOkk
t6vsHmO0lZAGTCMC8rNxo2eYwhT+9pbTRckdv9n/wsWtd5wSK4XrL/1McYSIZiWhLESACchVMmz4
dt1CBaYxkY6ISscLfGJUyJ6YFJee43nikI3MkEjhfDSiSiCtsvvp5t2Jyk22KVcP6bIGOXORtbYr
3NSLeHSZhZIogfUoaHEF4IfaWwLNFIUxzwUWyeQzZjSyCY921ssOKv5507Lod9CgiRVX5QCvwnOI
+Dg9GBzcQAWwRaWncBtAWtiztVWj2QjTADDrWhxUf+4kcpDfXWgTii0NKu+bX9TD9gPAwRH8W939
YS/McWr8rrpm5aJxx6J1tONIQ4NUAeOpGWZCi+lyvT7Pt9iV97Bs79mDEfn646KURgMukaADTxz3
nxpvpYt9WtFrXRgff6jL/sNEDSCgTBJzcqcuJCK152kdSZk86PozDboTZGheOfxR7jr3znxGVPNp
YzE4uubi1ENOYQOLVCx+TJjtUh3hA+T8iDJSvlmE8Nlqn7H7IrGnidyyriwVETRRTJKwtcGBZ8zu
3ywaDVk/EroSbExUk3JJyNcyO9ZQdw6GJUlycn8ynIjfN5TiOCrGMjpUPhlXlaBBUHU4MHwHFjlk
0AYsVc9VXDl0nkGnIDdD741rs/0w0Slbngey4F4coj44NvQay3qydaipA+SW8vL/b0AmItcjGGge
m42N3OUldtvQAXEy7KVKKbmN0QoJSK6S3qDd7U2YZtINxL/GHuWDQ9z8+f3evgaNU85iUb2FYVLq
1YA8XhEUepC8k8UKQVhbqandv2aMUloTbhsc+L9mZjStK4VQtaQ+ALdy3uHaSmlXyd4lh07mC8L8
I2SWPicAaUARfq6d4xSj4HE8HjyI5Kya/H+dUjkmzpHqzYHBjZXuKOnXtV6lSIuGk6vtwFvrCZF/
IjZkhwIcjUlgLzmyKxsvoqcRMctkBvcWNs6zm1hmSUPFytGo86Re1g483QwGCwfaCC8rHUgjKCfS
FCs/IkDqHXRBu8SVrbyvOUp/ye3NIKRjcFsV6B+gm/Tq2OtSsfGoXxJmJisMjwqNniIeEe6uss7S
nG3ulwK1n20FeoTqhs15wcElIlF1oIk/3eVJcL5aWJaZpQeh0Ld1BVr3a9FXz1sNmba0FWdDiyth
RRtqwSz3kMPgv1OAuRmkmpZpmIgHbbt5POI4+7L1kCNkTcBFdxwWny8KBLssZA7nuwD+XGIa4Vxc
AlawJS0kE/K5jIBoT551iF/HP5TQdXO28ErEWVTWFAUOfo9BfROl1CZ2SDW0G4aGqodrkh8te5fo
zNKjJNlCgR7OUwccQfaj1AbjxmLgtLQyok48nXQY6cPkiuZB9OvnW38bG6NSayVzJEeQhQO36pe9
kJm+Mwjz3fBjpudbffbJPdeBeh60WixOW6uawcfwSOm8tbP5gbgRmr6m/J8EuGyps+SxArdLBGuV
2BiMpwYRlrBBbwICM+i3GmCvxynj2usU1EQjA0uwfxEdeALtFsEkct4xkCxBBP1fX25UephbI3LZ
cP1IUGWEIbjWcEvFcJEBp59ddTuHWQbhbjJ4bth9fYuLrH81HN1RUjTZzoscBH6Y3hqbOsNG956b
r098iY0+vkftkKxeY/Cwg60ORC7uYupCy5meUMM1XKvbEOZB8gjgQmKCHndXF2HU/Xrom3dNeKaY
v/cpCRQi9AKegqscxwxsPKNg9OBugwmbjD90XVB61V9o+H96J3Cao7+AVG82egOh5i3TmRuxP/QK
UjDaF5LyP0Hjv6+wp1qAKSghUa0pvIxZc+J7/i1QnMaWq5bYYjdUP/ZT//VHYqOHJW/1gH4AdaxL
hd0ojcGxGqx1e89UX3/VgAy0g9Bo0b8FdVlofjJT0r41C39eOAecL528AgakP87lQWTnUAbg9T8b
SGEAeI/npZgdP9q7ZpvFlAzdvA6UsnzmBTZ++GDE1Msm8MEyAORgpuJYrv1dhPdtufqGVKp492sY
arcmeC5Mx4TzN3WcuQINL/VNLjlxUlv8JiMBuafJSb7Vp5L8k6YNa37BFC8y7UVXNade4b7zbHAS
5aTGiercV3gRBV83suee8RZ3tyu1Zdtvdw/j4M3byfpKExWSPbPnidwmn7ms0hagOUZ8+AFMbJsc
LVxaqFz1PHHyRm2kcUK+wTL/ySWfiSmmI80IeMRK7Cku5F1MJrl/ZfL6uw3TBeh8HPLkrfdYx5m/
YHForQFzn8ulI4NDhDJJ34z5Wjiy7storWYQcRopPp0D2TrXvRww6+sC4X2IkkVLa2Qw5fIOLFvf
hCHd85S5yks0qwCyZODBahxq4mlzKX6le9W67nKzQQbBeXWD6wiItSpgdyMiGDJCiVvbhAlk0phU
yJb9sj40zc1GgLidG5lpQMgjGXUVBDEmPseSLhr7JhokPB0kTgYSjOP6W28oyaVaGqarYOAElb/T
E2QGUQRxYDNgbFAiOvcUYTzKFnTTyUaEZLvmzVoQObvA7OUsbvVaMCMH8XdU0IEd/8V1tBAmg8zS
TEmKQbEz7DBwa06mGfZ/s+mVIxTfcWhM6Ggnxo5GiYNHmDcqeBmYLWDVM/U+7m9GYZJjpYZ1jyRy
rBK0D8jC2ytwCGBHU/dgR9MTpUuDxZudw24HuZnSQNQiVW/wWN0FpX4RPCAxuO97gB6D4m63eHlr
CTVMeb8wWzMsReF0O9m+JWT13nVsNjsPCnuJVb2Ojdkv5plRyvAZLxcXczax2xF5Kh/Eanjr7bSF
COt2wz70XDfVDRf7M1s3vPdmA24hKbZUkMH/D6vAXnXZhpAVrGHoDxbpR/WV6MYgWjT6z0z8PeOh
tMhR7m3o7g82bzzHYjqvYUxiuCJOfs8xjvKzbs3sQghr3pnk+mhCQUrbDoUhMIRy5qRbdi49Rnbx
yhIQYs8qAINT7MGmbiQxGPxPp23gSwCcm+fk4ReKEtaNv6claWYyt/rr2D3gYiV3mVqI+LSPm93q
m/yNP85ndmKy405Tbr6aYQHYLUYn5c+W52gnL2XUMj8kH9F69yY7XCtlv6Csd3BBPq3Uy+1ut+Wc
apdmkNP6VkHkWVBE8kVejWiWtweItwNVpcu+jIqeFF2smirBbA4VSmDckSlQ17mcuhDgFunKkvFN
6NKHRpbKWPglRfJGc4ft1v979QykG2q4cQRZxeBcvzDYR4QM4LsxE08ZRMTp6EmHhVYFKoHUQtWW
cmur57hYu4WquBy468dIBxAwvw/Ookdtc4V/E94OKb7tJmiYRLGgsBIXNJ2r1DhAEogjEeAQLM2R
tCOVJfnJ1i9rIiiVUVRpfUnAvs8opKDGo38YavMMA702FmITfilH4vByLJ4Zox6ob2bMQJynVGI2
/GGRejRNU0XNPY+bXCfn7ij2K4NjjYEjAe42BPkYoWyiKOwWoUi9cAbxjr8idJVjZ95agRS+76pI
+ZyiEfcUjV3h3yRkDrn1veTt8AzyUIyl3Gqb7QK8XHao8CS90HH6hL0T98g7fKmlH/InNUybiJpw
BEpWjoEPBQ4WoYQiPFDqDbnERrdaiwiu01ojRMeY3UaEsEodQZAGk9ppHFoAJnGQbyIX3/wsFnU5
PpO/M1omFbdB/DWR+SjV1R93QAkZGgvlco95o26gSteWQ4BzSOqr0RxSCxSrEIfH55Jw2o3OPw7O
uIDu4mbOTUviIutktHlBAHjk3FKafaQjkQ+3/r3oQAvkLsY4EDxigOFlbr/5gvBOc7EqFCVhkltI
ZMlFi2v5ikhDoUFRXZfMHdm/3fFg6xFZFyKOSTo/yFJ6y/1KP8/MLjPzajejHSyqMIbNZdJpSh2O
uZBGxi3dXNDVvjJ/hyC/DWOgsovokCZPhM+V4mEG1x6XpiqnfsldlMkFX75VAwW7+Em40U1eku1c
UREDwXzWo7JHE5dQDXVEJNHNVNMD9dgrsZypRDwCLhqcKFzCsyt0pFh4Di5uqALeimOEKITogdQA
C3G34UsPUKsDPIkxGQHoasN+3W3GRad9xcr738E3wy+FTf8rb0XVM1iLK2YsB4estsWsmykk9cNn
oILQG3Z1+3xhrdc9OZF85s65uykbsLzf6epeadBAQqhqT5DJ4JPd/LbXEvHNUlbi9+w+xJhlB5R7
fxDXXL6wD/jaSAI1YavGMPVEjCD13GDp9TPT8y8RI12icLvkuGSeNAVNj+3ehDkEARQ4rp03evJj
9FBBPx/W2WwE8NZiv6jxaG7xUO6dvFzFrV3jlm1H2ZxCSB43h2C9rDwNUzatSsRatdiYSrLpy3Xb
UK5ybaXaBsQploGFA3i2i09i4BxZZcvm70ATizIHsAWzFTn1h3Jd6CuTX02GWHcpMMlRqapRKU8j
60nXEcHIP4Tt73w/pDxCSEpsKwRRq0MQwueo+1dstQg03J1RAMk3BTZeBO96QE2ZFWRkKXMif+CR
JYIDbDKFr3t5zrRVsqI1ZsgEwhO0YszjmsjPyL69QOClFySMuhl0XoqYt7IuwsJ5iFhW9Tl6kv6e
3f94l3/G1icTjX/AviqV53WcTy9Ve7zCIoF39i+P626vmHEehZ0hGrD6RFSdZFDhcJd3Mu5onRwJ
AL+P/UoijCquDz1w3n0YvtG7wX2uRjuRI4bYrrBja/ZzORXXEFObILPT4rrXd1usE1Ekpd234mfE
Cc4leTzfltcxZyy62IPrFXi1ebo0CCC9kuCrlkEMivgborHSC6gTGo+mFRybIMJ6dWgSy82JBmvy
pJ7SNBbrX4cM7Cr0NMxMFVbO1D4hAUwgKwkmZmdQhP/lJX9oc8Y+ctsEiT3hROeOItT8a0oPg8Jw
mmBIMtWddqNWXusIA5FCTZ0tldBgw17vovcel5F6wY8PrtC+AD/rAF5KKAQgrET6eL88n3WneLzf
BOBAcjEKGK79RwBzBvZubKIt/ft8pvApjY2SnG87yvd9mkvbJslyqE81eE3s6xzSorzFflxUtSL7
5bK9ctPUJoKBJ8SFmSrjNRwSKrDkxDkrYoBaNs3b+BLP/0j6MgRblIWo3aYcIdJu3pZJpi0s0OBC
a96rQgzlFiXt31SlCzMEDgWsJMrWO4P6/hnZr1XGxkMgvxGdToDkMhGiehaWFM6sOaHEGYYCiSw8
nwl01zlDGeTyaEvILVARww+dNqPcAqJBj/yaiUHy9Oey1PNrXGlp0SzSIxw/c7AiBtxQca3cLhQK
3pT2DfN0sVvI23BO2hd00ycYyOxBscqZK+Vv+pNR81nj26Xpf5aqYSkBJEqDjszngz24plP8aFsC
9EgW3Y2uSiA4T8X66chzhrLwYelAJxvpyQBLNGTby1msJBKZLW+Qq4jUivyvsBRgOz50iMMQ1ssx
Gu8/opJIxkyKXkvCjHWoFQof5fbVzXEazqZkH/YUm8uNMVP3NYiRB+fE+TjVaQOnuOpTNxmDuTOg
KJCMeFUFgawZ10U48uAysQbA1uqhPLiiJYfPk5VJa7wgdc0dq/p6SLXKb5pze57J/inldqErmHi3
jwHa8ZDSL0cus8eGvc164On3EPs5c2c9RwDChHKwUAlfHqhOdUvY2bmROaHd5Ye9vGCuXwHaKvJ8
WnnYfXVzbto/Fapx1jNz7VtClKDX4xOo3yUXr8ZjGxogEBMn+Dr3XXNjCVEoRET0VUReyLatf7Ig
N9oVFCAtAyMIyeebX8vePps8WN2dNFlUUOQAa2GPdf65+nD2q56oTtzDpnqea7m+/bCFjOnYjyWI
OJi3s/aWNjYYx8YwNxuL6i9UMRqBkyLYs9iLlp+fnUgHsMiOxvsznTiM9fMj1qB6EmN9kuZEjxsh
3ZxhJsmtSEx957uzYjvTJRL4LHbjWNAGBMfcvdlZn2jP1R5285kFRba8cyxjeu2ahFMZ52He1ZS1
Bhf8riyIO+qUXiHZzcsFpRbHTqyGgjP17VsLlS4lWiSY4Ebmv73s3Q1ofXsnrr3UkRVdHQDn0fEE
cgFdtIJBn5iHecAkGoi53IMj/2PXxQtCUnfd4Bsy5MMtkIr0M9ylWyqpqfvfoZCUdaLrntJIQDLI
0e5fiFn2zncS5kct49/EXCH7K88JMKH/JYgOsY8NRpDjpbiC+wLERBUqRJgmlG8gav4QxILqMjF2
GUMjshEveLrx91Q4WWLIu+takLTWxVfX0R9d9KJZjSt0cAcAqyEVBgeXNDdnMriIfd60wObGnjk4
F2XtwkU5TS6afCB/wK2iYUcVdq3v16jgBuS4PyBDP51I/clvD/Z2I7uNBagt3IdkNAYum+kxiaw8
EMSz0k5PF3qBxp/x+kgE/BhYlF+1af25FIjVe1Kvw8FEdDFX7gEj9JuGO1RKaD0FlTNGv/I8BAJn
Ctu/zvwEow3x/odqv6t12NNnOlhctQfvaRvnBJ71os+jK9DBXXU7QhZqx5tBPh694TsQPh8k0w+q
HhcHq+3gtU7wcSUg8gNQOe3KddLLeygu2+dvuzP/DSKjxOTxR07CetqYONUg4CQjjpJOBd7xiFDD
yeZ1atvXsaJDTC0RmRGEjf22r07VNpveCyOezrFLOpmsbSMy5QrdWlC+5bq+9sOi8TZSSinFBHXE
ed5vZZodPK+v+S1BNS4BHEBnaBJSUiDqjYrAmf/XlNHN79QFOOVy4ZOZfqr6WHu32pN/WxKwpVq8
aXoxvy1O+Y5NSdSlwztjD5VB+89uCXZL4X4ZsgdG2PFhZ/9FHIQoXzyzQBcKH/acMjlHYunptigb
nHWVS+gLeVuJ7/EfWjOtLGcQA4qzWnUAlDOXLfcNDlvs0Falr1zRLOW16vBGwLEk/35CAZ9bA8XK
3Rkk05n8RfxMUq2GU45cMN4pyC0kR5GDfWutQxnY/xPZyNphaRAroLcQ0UrSxrnPmeaTAqSn4Ohb
bXHjtY9+ClDKIw3Gj99NIzGqC6dHjz7wT856sQuaK7s6mdKyNJfb9ZConypalDlh4hciYKtRQaU7
tHs2HJE5vbobgv10kv7Sk63NWPOCGNx9D2IOccFtAk9xsWpjbI00XUNTndqTRVwhZPEviXVHoVb6
iexxWF6yUlMoFNvMueYYpog5WPY7OpT9ay7aJa+DDeQo/DgiTlhIy/0oiHEkhMc7SP3pj97w6RYs
4+Pw0ayoPkWLmv66LnkFGxIBDsodcGrVcslDrsxmB1rzrdXZ2cy7duoalHRAm+lRslb0+losbIWq
Rq/MYamn7Qn3/b8sZL7u/pxLCh1kJ2Sfax2WLsYE1m+YIb960EWPQPDb9lNQRVumTdaN9vrWLacd
Wg18JR3vdBUQkd59XjcNRu68UUgEHTQ76H7TRiE48NwT8Fz8JnR/SAlxy6+Xfk39Pj2qKxW1ZNOF
dDZb8WWa/t0+UH5+Zofc3433q7fL0lOFnAMAQQ7uk6M5AgtQYOavZlrWU7QDLyPfpjHoKDjl+X+n
JYFJk/D+vRnUxL4wNLRAn8o7lhjEXXbgtVTkdPca9zJ/yL6aRMDDBe2b5Jf8qXPGmNcQgBCeC6Tl
Nje4qvRD/lhdIP/OPYVuZmJ+z9zoFUobqkps0nJtBF7XccmMrEMpfDX4Hx/TxnKWTM9dJ10y7Kyg
DptFyhQT1Xy6feO+ddr2bgjpeZQlB5n0+XrR4xCszWlIPbCQh7F+FbzTyKcW2xhbV3+UsvEKJjX8
7b6NHhcoWdai5i9VlQUuUFhRfX6a1deFWAUgBxarTKSrqG2mGGcXMGDNLfIW8ftsp+OqqM4W6I61
VqtHNpy6qsXgrBXaNdZHsvHXtBOonicSnKjroDFW+bpRo4mCwXPksJN1uJ781aVHXZkkgunQ5TNC
OnoGoLOVcfoIof9ymmMHokCkODsxlUf7fvVCAIRu0LOv1oHrvNezS8qtJJZ8335/SRjCb8IKRunY
modrNMoKUpODTLQ7zBVmoL7L/2Bdom8O1VpJaplIy+5kmjtJykIdMsxSyJZ1n5lP243qbGX+Jpri
fmXzOkt9/M1GpJlm3rpU940q0HadLmUBv/TLWuewriCq3D+W3i0oZOx2CtX3/5N6+PkpSPfeB1/e
o784uZGwlGB+YdbiJAAW27Vwa+ZgbAfMPHHR/90BumsczPEow2J37h4mcCh9omMVVYKb4kUurTF7
gf3Pe1lzu+vI/BWGL9bEY1KKzBnl2Z+FlFVv7qZIl84xkTM3dSSYuh2uAzVhwOoFk3EAozG8F49X
NsrabMa1U0mMSzKbk44NT8QDrziv1rhhq7sRVknHztKmWkRLTlT9RVw1eFcQzVyIhF7A+rhU6k2j
NRGg/3dZcZc0MUdItOq1ycsJP6whNt8birvCBGSRKLymH4EyT/kWyXjCSgGuYlXBeWVZ/72nIU94
PfK4H3EBIFu4MrPbyByJUQ+LF5erBNG8Hw43854fzKzYk20lIKXyDf7BaEjLILjVU4d1yrqeaURm
p3A2e+Q9pNaLR0oSgDyP1gSSTpVYkWXiKXkZfkWr40hbyt/e6osbxNxou1B7LQ0PNWPhAkdPVcff
vZaT13XGKjdcUgTqWp55i87L409v7lLw+gADaiT9RRyu+XGlPNbQQN0hKPycVbrjxvR28wUqmLZ5
jRnmL6jJBuFU85PEDrZE6ANXw6ZChHabhprHbFK4YxU4mIU8fxqwcpiJrvjvmyriOt8alefdHE/9
v7QiDe81jpleuFCwyuXTHedLZRwCmHzzkeUWBeRbVm78BzSWawAJSuylznTUeQMKFAkgAMyLBhFQ
yP+MiVhi+b04a3rBCedJyTg5cbM6tWiOcZB8Mp1TJ6eKiCLiBvAX8Sahl0+Qvo1TQmGBJqMgGDMC
cLXUeI32U0fL/ON4AwAlLYPCwgEv+bQiMgOlcJs8+MOBJYkdIh7pBi9ZCLgFhtGUIJDyfAr0PzlW
pJIi3wSpxY9E22IsSoe4Pueq+mFNObaPuRlEUSFRD001f4AZicltW1yHIfc0TA6oiBKbjALtoP0v
+H5S+YLrifz43yH4/q3jb3u/jaVSl8piCGnwtkkA/lftj51btfG/OrWfW5aO2n7mmaswyoe8KIR0
T71sCe6lZt/vu77N0COoEpJXs4pIH/kgi5Do50eBWfO4i5aLFcddlmzBxjyO76yUDVvCAdJwPpEN
zHy94P6F0xnOaM55eNhM31WznG/CbTXfzaMDWTb4PZg6AxvB5/MlDro2FggmoV3bEEfHOlm0AZcf
pwiIDc/FhMZUq8VQyha8F7YN4Z7+qrcnpr5I3zWb90q6cAntlVXgflUxZMAnzmTC0oW4o0GDMqzB
FDk6toKSFUDJsvCC4PouBbHugJEnn0E23kxBP46854KX35y7VVXtrXD7N4omwrSCcxSufZV+W2F6
pl4geX04978B1L37S7lfNKet3Q3ed+9QmqST6b4JvERxiJk6dPOasfvfUVV8sM31nuyGfNBzbPi5
D3PID7yPhUNCnrPPwRaKpV6e6CDmkZEiXqCTojVQcC/7jx1pa8Awvmt3NVVsdfa7eNHd5lj1lL9V
r0GfHsDiiw68aYt54uWpK/mUFpHiAtd3eLkjSpycKxmaVYLkLN3ba8HVVu0qpeWd4DxS7w/+46pf
URZaOsDDXI4ZNCoq4U6Kf9zA+wDpHZOzQwbx5kEk+AubcSDr0Ii7OcZHWh8dRztNZhoPwxByl77z
CT7CeYjzPfCBMO4RLQvjC9fxNaoQn/KnrpxeQ+BJvfImcAr6cVYlkSWgsu8Q/aSfXTGcNQGsZ1bD
UIn22+nsXk9j8ZGm12Z5G3YYCVpTovppWCZ848K1jRHaUtyYnqL5efwHwqTt3RP7jFdTKLW0vnVm
Jq4WmpPWc9jVPqjIPi4PZyce7kFoJKO+SrckG5w6VumbiLd+XE+TJpRcDS7p+SSbNbGTaIfjvmQo
DXRt8/4+JH22kHmvT69e4buhe0986K7dlGiVOpIb+9pqXqlOzhVbJCwUhiQVu208opLy5+EGh3nc
sx77LY3bbK7zuX4eo6X4S3+yijRAjIxH1EuFIBOwP4xhppCF2ZJvwkJ4Oi9/+HxsvjsaczgP936/
cBuQ7qB9SBzAGHGga77lFIHX0BGXpulfI4kL3SmDw0EWB+D4jSGiXukEDspzsnYHPY6vrz7jTb2s
SFBq9306t5JFuB6i7aNpfhLPJjBtFNk8K6/5hdfBkDwMMXy/js6WUtP06Nk8zmrT+bKXwbK9R1V4
umbNnWqQd4GMNUc08R+WOYLwYgoimGnjj08yP3kijFvX7/mUBgEgIToNwjFQsgCWzs0NDw+Tm20G
cn9RG9ZxSRiNYmYhn638hLgsP+uh25oPpgNE+E/76jMOmtYQBC4pdb3N12/9vzzfKoED5Cs8SLs9
OSq154Cb0MZP9pxA4VuCzd66a3oiZwTebJZzgHx3pCV4NohrG+avJPtqzUjTeci48cuRUBjeR3xT
wbCrUgG4rjtgPvJwBGBPrGYisfXczivMwi/3PU9oYVPShjxclyq0OiOFuicmv/LvfgIM2dLeD4d3
2pij+N8fUto7rq6SWZ2H/poM5DIuchBxwqE3aV/2bwE1fx4ndiXxy2SlWsnNIQPKw8TeRJnNxJ8V
D4mbLNYE1CH+Jm24fUnzrM3+7sOV+pND2E4O9AQg7p/UDz5nTudaXovyGNYasUJsz9+TrrNP1Fdx
paH5Vh4hoJotVqYYUNPHRLS2JEyMHvgx2RwKjcIGWdWIq0otSwQQ5rbOVR1twkBhxceSzzybKgUr
p9YWhWXjGrc/umqIEMkIyTuTdiYWt/F0WnTlI5Dcfd0WwE8DTksQMrdI/j4PAUn1TVfgVz0KdQA8
TMR22XQZ5q64nQORriqjNh2vA+y2db2wD3E5klN4o4Qk6HrP6l6nq7e7twraCVEYr6v4VWOOJwwI
tF15D9Se8Hk1cTwmodLOgZb89S2juD5keDXnzG2V6/T5Og7oXsZioxwwA9u7Ex982IB1Qe41ijyN
GlzYv/V+qBfdo6rUlnLBPFDGrTq4lQwsXPJEstjD83gfZG97toLqs3X5xuH4093KKJu97DVGm3TM
vX5S5uKeb0h0Zp934dElllYVUs5CvF7ZXzWktb4ZSY+RMNSHXPOkUuoSbPgj508J6Sc5d0JSGxmx
UEGP791Esyt+L/RqpOnwWmg31fEn2zWJ7NNtZHjYQCqXoqx8JNrsN1XknRfFOCeC5IoIhP4tbuBy
RFbULvHaJWZzFvLVJFFRuyzjhCWhByVakFz9uOI8A1LyMTQvNPtaRg3nmxljanyAcXoiKDFssVBT
xwfsw8Z3ZPYo0YkV4WplAYwhE0rgaAbizoDVqWFFF3lT8rfV0iMAUBK65Ry7+DMQMCqA9hUssvjA
AlPwaFEnJ6ot3KWKyYvyiWi+dkfJqIumAnPdF6UUAUtISEdX6OSHdfmSU+32k4DV3BDn5HP6AIhy
jxLFis9KOBqlBJVRiowHxaeoaZ6ugzsRtevisu4uFsU43ayo8IGqFI4QsZ+IIZoikGvm1B/qgLW9
kC3eA07Mw2JJIlZayJduy7JMPMfPecZSFUqbYaYJ2IOMGhUD/OnwxcbPeaewtO8i9uLqWZsC4Z+Z
aOW37wbEMAGZFKMN8kKXrqTg+lFMd3yCMqKENXZ1agXsO93PZcAdP1M6MOwgmmhpexGBn6wSOwir
4HBFaGO/17+XJmtdTbC0xqeBPTnaep633ZpDDyNc0EkWJSIrm/uZk61jOWjeRGAp2lMCuCG+gH/c
s1qxWvdv7BCa9xyk522f5nPYjWb6QuRtJlWrG9vJKB/lfbz1n9N2gU24XrieIa3KeEYaOcimJQky
unmkSX7wimk15QJysLEukRYV5PwQbh/kxLqCBvom/CT56OEcluiGyv7A7blT/kttq/apRsnjrkZX
rWoBRtyuIrGo6t+X7X3oY9sGoxPaGZj4k59fdIwgCgxUI9mhp++brNNswG1uaPjXsplN0yDoDQhu
tUkV4+1iQeBQhPwG4e59iRF4ViOoXh3kOw6fs0dSktM2Vr+M9uMh4ZTSV7/mN1Au1drCU/5jpOXK
dxDGLNFI0YjHRqIXTsT0nbmAsn9xzvPVfs64SGsmKW/SCqefO9q9NyQMawm94fvjtueYNr7NCFHl
d37QzfA7HcIpK/SBI9BtwQuKTxgjbCbT0/bt0uBhRK9AMUb/OxR2ovM1UOPaYWrdqVPzzW+LD0BT
sTxaqK/UfkN2O/DgGXitnHqwVArXjIEWpMsjTbFFyEonzqmrrDdXBnxGVc83GL2vJSEM40GvqcxK
hN5NO2aFbu7Tiv5DwEbQQxpMBcGI56/UrAQQh6I2RMWeEBoofWMP4+51ojuo+vmDQZHTvXM0rQCG
igNrDIRJD7+oJtqP3JZjZ/yIDVY2EFPlZ8S2MJXwl3jKW4uyKG0pgy11J9JiOWKX6XikNpEO302A
f90DyhEW5EcLlP8D6L5CRMyvDj6HGDFEQxsr5QCJXpSMCZOYUn338KPVENhaLux3mzybxeEtpvCZ
s/7LQKogXd8jaZIz7+Pg77L9K9sDUw5QAu79/zIR5IQV1V31Gh26RMcfZ0xbXDRSwi/80EbBK1jW
rX5QzqYHR0zlqL24RCMaH6E/fXllmgo4lB1235tOyNg/akQdRyTYb7P0AslJGYb2YX1imFNKEzLp
Ek9ehcZskpBduXyXOb7IJ62osZGZDZimoDRj54+Xbc9SupEOFq/LZriEsKZ9aU4PQIglkOYhg+08
jiXXyiicCzFzZgAfxFmJVW5LirsdPFIBzMZyrwL7N3oqM4OC0bASchbO6vmflIUIR6zOeOztC7Tq
RHafvPuplT5TUQPmfgxWbm8q1sNf7JGtiXDrytyU+wBth/YdFEFHL79ie7NhXUySWcYiyU9/Vo58
97zAINZtU0lCyZGvVar0a2uiAkmuZda/jfpWTGEHopdEC1LrH1AI5Ydo46RWH+Zz16OZVTdx6QT/
r/GsnacD+89dyUAW/AnmXaQBmnrR4dP8GPfjB9+7ZMyCMeP/9ANQLLi412P3gogQ10OUYbxZLsiJ
HgjNNQryXNNKOoS2ePzWYuvmtxgp1bt/AjS57Ksufqm1kHmI78T1XeVLhKRBauFQjxrThMDnVeeX
k80rXNSzQBAiEoiyE20elyTB/uxj0p4OMHj38YVsRautM+Eld+MmWA3Jg1l7laIJFsdauL+/4uej
vjC7cOyc57VfFChf9wSz9oXPY6YleUwdLwsezouD5WDLeJ8LatQTy6kT0FxPYinjcd4t1MeVdhmg
mKgZWPSywIpwsumrjtY/lp4um83jZA54zJlE5j1Su1LIP1LJmRza/Ba2kLqx+IAjX6qtIcAYxw1Z
FcTmjIEoQ4tSNXgVdAuPX1QqJB4cHGwf8TqwjzYWqZB0zNNqrV2P+Ku03viyaGdEJxbemwQ+2lbf
9atGbCA7I4kVz22gkPqee3G4+7wX6jL7AMHOvXT4hi8rkbGPMjd6c9PWLK9rNdsvF5NsSBfp73Sk
n+zPF6O5cub5+ev+mqGuGQ+tt1h81yrmiIq3maR8AhdGO3SEK+oyVfqkWNgOhc9GOuTbU6DDBEk6
wxJDxVZOqO2rbWc+1JVGy8Q9NDhRhLX0Gpjm4GtKtIE14zxGaF4cW8e4pLohtqP6NevXFyoPU9ex
2OKKdfVMBUG0U6i5RFup1PHd+g6VuhOePWAt84yfacO1NcH/NpM+LPw+VUrQGdewHdJqud7oLseP
y5KgCam4MAI65dTYG8r9kfte7KqhImVtDY8XgytMZIf1Huu49y9LvqS3M3O6is/dhLbF7nF6J8yU
IC+kDWX7kE+PUyjTTGyR+ZwLRx4/MDNRKAuU/4ZqtuWwQuopNitIdSQIyzna4iiLsNnVkkH3J8A/
PGtIyhjYeB7ps221SK2+ZzxcATu80ovUbrACLn5bJCZddP6HxVkhCoOeQt0bvES56GFzHJ8FM9LV
pZSnc8XEAdtzb0MyFk4shXzMbTGDyuoXfj3KrcIPcUbiR7GhSSpPTT09Imiu5l/BMyMCBvgPwLi1
giWV5RSMQFEkkBtFxOeneFSnV9760DVV1V2d45+AyJCyzFf1HnkKghuZzTgkg3fRwYzoaX5R3JkC
h0ASCMCgWAIVni2hfMf2ikyMmbYOm/ra85J8R7JPy8PCv9rH9xfoOYpK9I6ERwUzts58cDe3JXtK
qTcD7aWi92c/KYcabzsBgZf3wRi2VdxcQk41F4mrVjYbV+TpeglyPUD9FR6iudyezHf0x8zo+fX8
XsQuWnvcMeRw3GS21eMZ+RNEQ9aTBY3uzfJokrnrMtYdpzHOHcve9oUSAsepBIC+UYQdWUH0CPmP
ML7lyJD4fNWbGpvPBV7SNeypiuHwpUQiwYSe6Re2pHw9NDfbzEeS5fFfc5hu+cvq/Snd3abFJSsw
FQqbUUN1FbGa2YoL8w7iB4JQpBVSdJL8RGJxJIV2qcM6AJ45WwjR5VwRMU7V0phchLXd0K0O0jGT
nA8M81FSuxT5rLd3vbNHAR26G5GuIiZmY1eod7iIwegMPYjYAcLkubU/vsP5mrMrslI+e2MAtpGw
5eXmboDq5ffj85kIcQVvtZ9zNYeJ9vaGBoygXStkw7PgVgYg31DJ28F/0lzQ3b2uRlXIzDwg22Cx
b7MEZDDvRqAWFc7TQ1Uu+vVaLeUin3UcJNFJdTQ8J1Xy1xCu71A4Mu64o62MJjPgf9kbduWOt82H
3vgLtPk75TBmSDbnKKU/GdmytWfxA8hIq1uU/PTV6OU4yvseH/jnVGdYEuE/hfSr/dbq2Wy37+Ak
yK2wDIscD7uCBD8CUsLEIR0kgBjCNUEJRaGkbCWhzsBwSlb/dY5sWMz3CEvlyH8wJ82CT9v5bhmL
0+mFvjDEIzVlWsXSwt0Qch99AOo9tPa8dH+jvwuHv3feczXi0Zp71u2omH/NOd0CUy0gJAxk9eff
4WqVBmhoVDeywdhT4YmvN6f5JWVR7H2zonWSmr1rxM2x8dDd5oqCMeDURiJb8wB6PIo2oAJx9SIs
dn7rL5vnd0qynzLZVLhyn1J9221EJvHRdasuwwwajo634ffnt646JHn2x7vmqX4/gyj3uoKmYq7T
XMhUJUyFtHl9ydp+nx2ITOJCtK2Zcx7ZVKiwxfAtomZs2IEJRYjcMdA2f/RO1DT+eWddOaCB6d5p
9uAyJEHely8LIniTqUVVWszSMeGkrOz4ZgPjQrbG9vviH42xfINb16z0E2xJ8T9yIbM7iEpV4okL
yiys210vx39GDAu4UtYWEp/h7Rydwyk7R/3GYhWs39uuMFuC9yI+rCBw5okPelWXiHM3/EZWaE/1
1HG2OpCTrHJh/QBRIlJMHItcV4Lo/CzpeDHG4KnVthdWyayKb67pZ1lIqASHBffqdRw9LfsxOPXn
iICW21iK/SkDnmBgQCmvyda6rEekFkLidT2i34kH8MVGS1P9QIYY1M0wS1ig54z752VTuEr4Bxcb
LmseG5Be/Dv/EtDOKngVxmLfHqflGzKwazBEroIqgPFefdTHDg5EuPznCPzn9BTqnb+7i6WLJD2t
c2J2MHgfzPxglwx9B00EcrcsmW2Zm27LTJhfkVwqK0eUqqfGifKWuKX6vC0fsSD1V/vuurifEA86
p7vIYR7TqQruufeHQjsoCxd9FdkE95fkx6IRzuDD18ULkHQugEPLWl0Rkw+oVHsePx57LWFQOrbP
oTBCt4T3QMUUx/QPP9AE8q0gWmYzJgDzaLeRXfdh0rFrAWi/K+60cXhGTc6JbHJ4saTncQ4PbU23
J3AM6kZZ3d2DYeouYHUgTwyGr4gYi3cQhhiCp6r8oHB5ZgvXExWRqOGR2gGH4YV6EiY+I8314X99
hXpPaWNzQNoGnUBVGeIsCX6VFujG28etGTDhvZwfLW4t6FgRIlUomyMqJBGzri1eYFH4tRMIqBX3
pDSINvfJRRerJW5pgn3wNY2uilosggothsq/oTl0CH9ZaHdJCRvHbq9EUqNfK0nwhFDtWV3fAIHV
r5AVRuCrEJlLE7TrKK2XaEwLxQ5Qd+T9yvGPWkGjVfRaPsg55BhH0iez9+lL6oQlW3kq5+6+ha5o
RWqDWs6+m7EMbAOtenJ6GgTXktJiRNt8RTwrocP1RsqxeoSOGc4pjB2KXen0btG85ZGI0rEL0d5t
f/7JLCVPmBT3p6C2GRPDGzRDklW664CcvWzmgXP8alAT5/yCRHshjRXCRxelhiAVgYzqq/CY5rHa
AsdiqJSVI1EGwr79NxX44NstalS0bb8LsCoYBxDRh8v5QbbgUKSqXXrR2Fx/ltTwR6+kBBopGmZC
gjKplt/xEKdhtOBYrm1yWh03rM3y9uAO/CvRnP+cSc9Uh3wbK2JbLnygzkwwZU04e+zd/lMO4Cuq
FUx67ye2t5800VVpBtHU6DYx3mXrb5N0aXGEt8jtQdW1/9ylbvGKewfUNttTRqZz09eR/ZM7TS50
ESOLKXg+msNF51GY4wCZnUW783WFNktnv38clpfEZPeMp2EIuNu1RuhaAvCfJy/z4zKPDt8QENWl
Zkddk/4Ll7bm7ExPuviRRWrcssiqh5CQaAywotQDk1qhJjzKxUfaXZd8IiKpgVuTDtU/QoNlgkDQ
wOCDhRNulMZ4sAJF92GIqe7Kt6tC+yMkoCUbwv4JHZJ4nUKPXaFrmQYb89ApJS1OoVz3sTh9XEKW
JNIkPEz1qzeLBlSacs4zYtgML4ABUB3dIlz130dWGxFGaAllqcDpf8ub3L0Lj4LoL0u9TqRP214n
IvmFGdsClhZ8QlOF55q8E+PvZ7NaeWcHBLaGVqBt8KgRrHAg4Wh7eBq63V0PvCw2idasFs/eHmQO
+tFc/ia+psGjhMr1NL9/dRwK0dp2anuHW7D+gX+w1K6mJYGpVamvw7iF2nBE0kZ7N4a+7xu+/Wav
jENN9xBMMZzhdfP2ab7wUmA7aLAvgVdBZ8LD8sW/dPQv/Y7SxO0ygGZd/A1MYIG9nqa9ICGH6YVz
me13caG5yGLS4aOeFZsLK5jsJ8v4GUfrZ3kiI9ArbgdmJLN7eA36vt6gcyA02ykY61pHcePFz0t6
RHh4HHVUbhuDEjfEKHnU1IdF6rumS80xmgQktxayVotbm6eHceyHP0KXTLSPKkJYCmOsjYoep45R
QQrZUHXKMwKCp1lPffJ7Ue0O4bM6JhZGbFwNx31W886b4KpqBlor6gV0nPgjvie87NpBtWjIih47
49+rSYykNipEX6evtILL8sFwQjykDa5H+64VM8ZemxN8R/LfNNd/mTH1U85D2Fs4Q8KCG5qP33ls
BdB3hg6gwlb9B61SDzygJGD264iYCXCjHiJqliGIHc7VYO9vTAIPBdfrm1CXwnGcfRm+EXj288ik
Z4L3pak9g1VwGpOOnZRd28p0TWdp5DqHKBZKj+1/wcWl1gfhCe8KkmoLKqJwjSWtH3qdsyvXkBHV
HlYKYSi+hTu/fgioclNnZtOBUdUzf0IhmGJgPvq+GvxppygIcsjXWiFafto63kVME92z78sZf7CD
SOXy31RRsTlZnO+ECIBqv3YS7jGjd92AJkW+v3NZq5vXN1IBP5jhqRWd0M2zSE4GISrqn9+NEI+R
0KJ376MFQUghfDk8XgqXfFvDdl9gHDjfchwAzIcNOAbT2DgFJ1hHxiUrU0lR+yC158U2wMO8ywPF
nUXeyt2kZlQnesCMgM8t3fI1ONT/Gt8J3k/QFDL3PaqWeMluWxx2HOnui5P/MTaSmMzBiAdDdZrb
CNNVFAPHoAimjfONkgC8MfuQyV+WmAqhraM+tgVH9H2kbnl7UW7cTNC21x7fyG+PEypDQZRCkNnb
AGl9vgm+lUgpm/I6m3cs/q6gcqsEd9W/Ba8A2xcU3HH1H7uTejM2q7qEoxHzbdhGGRiVYpKcIOJd
MPQtm1/3nyl4CIewdaRa423NkDJDD9tuUDhprUzOf2JBh+ZTodT3qMgouPx/9sdBNC4qpuhoCxAN
RSJ20uyhp3YbalSDA8agO7pBVjwuQkqYBcTTHpNQps2ZD270R+D6gV8nIETKnXFoJevbpe6rEUwM
JJAs0g8l0PeVODRn/x6l9d1ZZivW9uQ5SHExD/kwCAI1kusNpktdXcrkN5NdpNQiaLHam8cWXZEz
Lk/ilJNQYQoIBzTjlZEmcukyiCdUoayk5BxGYJsxoA1JdFihVtCQylgGgJghwXWfZGA0V5G4XWzU
WuGKLty6Yn+BbQPuD6Q5Q/elIB9LUIXacz9sNMrEksI1saDjmYYHxi42uPEByh9ICalj8J1QqSS9
p2sLiPU58cspetdzR0resNMymdqgm5q2+KSbb52hKEYVcI3YAjLk3FUDJOvE8G6m3yn6TrdwOYR7
/MyraRbX3R9MTOP6rwxVEXi9DQFkWKNzeCLvQmJsvdi8AIeAHXPHrQxDvfqEuMPW7Oh2m+5FNxyD
/aiPgK/4ncieMO+x35hEcqZqglFT4dS9eT2B6HN1Dw9KARhhffhMyEusYaZn/zwruwfWmQVRX0Nf
IytBB0cEWF1UUwyMbYuMcsKvyf+Ri9O7mIUClJnOxZFEXfvHwkZ64fjy2KZwlG2wpVkBpkFugR8+
kB+WRwmuPeKcPJABQdynWLYsvualDDzk/AykdLesHxe5Q7XpECtv7JScYnLYM7oHGjTgnhCiUge8
RYwAzrn4yQCF5yx9gT03ir57lS7h+J3qt+aSllTEhp48UoTafQ1yyJOPUnlMls3dxUnjBSTozG09
l8hgcOZ39+xS/wc2GvFKx+nzJkcCPcxHwn3OQpH3GKFKhQ4CAuZPxNQUSiRUENov0FUh5gphwTfM
SB7r6JCXLnHIbjZ7rh6zy3CEMiVj3H6Wv7QaVAQfQVUacbma+4kD+Yj4p4J9ccwi9pul6CN1Tkz+
mya0KqJzZ46MViQTeqpvQCpd3E0hfg6awSVkFw2s0mFjsRAw0A7asIK8+7mUVns9oRlBqhcHo0sZ
BSyIHxRhDw7IxLE40n5qxiL/9KW1P40Z+Glu2794peo7O0Vvit59Rwd0kaS1CDBD/YabNYcIaZnu
GL95BLaWBdghhZz/cLSJnjLz4n7Y2ZJPgy/+/qXDIavaD+F6MckPJZhrO92Vz74Q04N5HQeDUfRh
hMSsS6sIw4vClGZgDSTdkVGGfh5Hk7eWpqTFj2P0beSi047su3U21/02jyY7q1Bo+PGBX16Lefmh
XTHb7xz9ygUUxvllxJn0tRGf9cqBFXCFkbkGrnAVuU0aeqzYyTBKk1Sg+aNTfTYG/rAR4wWh3cgF
kIQ/HxZneQPzdneNBlpv2MylFHvYY9S9FGu5JVHRxs848kV0Gb1HZv7Ofq8C/miwM3j3CeP9S35N
/TViOA2AR9Dn+5JALGQoKyZQDtpDFSfkOnottm+gIJpgUIltUid0/L8Xd+B9LSucCP4adaRwbOvp
E+SnhlnPXwoZcJSAIw5hmXwR8TrLwltyhMpXPboDLjr9mHkaTJVLtssNt+YDOHJCNgFdTWtNWD5X
UvXiJ17rNHYpSYGfICaCKnhDbJSsq7qhzOeoseueFhkh/h9PorhRQZqZiaxvOuQFalYRQ8Nl2kGN
k5exXrJESeTjhsWoENqHQgCYnZgBE9iOxDNWpLyoVr7WB+y7kBGOS95bAU6PoaMpXfVhOyjDR4pM
6Qv9bK+QUxV6+mDPmqn4H0jD7oV4imrkbZ8A0aj+dINWvmX2JJhNV5TMV3rnGrRorUcRkP7jV4Oe
RBLNV+BdwaC6WV1chqYu92dgOJmn0TSzy+0Jeg22xwdtWKH+XIkjin5w3uiXioNrbgzCWNCAkCRO
KoUJdKK+ETZt9sc0X8QO0U0wyt57N2KDE7Oh/mBjSzwRt4x3/pYDNPIZXHE7uDjZWUDzJWKunnFT
5t7R3hJC8malgBGj183S52NJbK/poacZwZREnxKOXBigEegi+yzr+b14ScaFkojWyVISpnt972Fr
ac6Me1sZH7Tt7mfK32FVwCaLrc3mJ+oyNDAUUfMkkSgSDj8kNbJRbL9G1tGbYg0cVRPoonWJAdFz
g7A8rUrywqAIVMykJW6J45IcCS0HW0PJzRenOvCPbwMowOS9HmgO0PCTOQokat5AZL0DBX1eCvLb
tjjDU0mWHELSEO2NO9r0nIu3wH2slXdWEmZC+rBcSqy7i5OKZNUyYi+oPYBbAEK5hl2azA52nyVP
hv6XAvC7W6Tlpge8tYxG6jlB1+WpFyJaw6svQcf68sa7gzT8eG7pgVbC6D+7Ev6fXdo5vVWiBhDO
JyGCNrF7VlM5PFY/L8RwvngCOdk/nElkN9XoFc3RqQgIf456ycTik2stfGexK30q3GYhD6Wol4Fj
4RsFVDNsU15ZKXXhzwGcF3z6dKMFuuIaOB/hTpzCA+Errlfcg9tDjEzmalXvQxJEpvth3KpSj24c
oZU5f5coGnh+IXgC2fYc5El5RFi5ZTAeGPjIUGZyVVCAAPDw+vyR3Y4Skfian+EAXifrtrYwDVTj
qnwQyUihOjr2RIGQ5Evwchq7y2I9ZFdBr4dxtOMobJZLisra5o13IGNUIo9sL9tNqq3UpVVPOTR1
rQHZnQBVrD+0/8yFrEXiAiFV6ZamMUknR9wseO7tLMHVaBpBPXhoCnAyzkyzeVwtB+dliG1FjUUn
pZhRVlre7vbTDOfQ2bhOaSXQjnuLItCtbrcgwi7J1286bKVxAeYX1zC/QQDf0UdjNj/CNCUtQg9q
VjDi2G+7MEfkKLOWAKq/L8IOUl8ebWOH5tsd8g4+Gsc8x20iw2NpTltkg0gmWftOXY9cPDOjMGhe
CW7NNKawl1W8ppmn/ojvhtVaVDapOCOjYBG3c8YC2LhGU//uSV5iuOUxRXwcdv3QDjrFQ2u4P8v6
rrpXfiLw+HZfLg7qHyxZfZusHpZqnK62Byy7ra92Zd8MHcLz6WU/5Um8S3gkcHkMn5v75sUNSD8m
JuEgUSmEldkVRjlLAcqbol0chCjivzgnYgxqnZXZdPxLqqq5YEHoWvftbbdlHPgEFr167Igi0FYN
8z3rFco4RAXYoZia6tsqBCBckE+DYGcPZ70t65jgEFKTyJK/M4UnKKRGo9UQxwoWsbHYhvf6EdrX
i/Pn808mshtXecVy9YI/AqqEnMGCqzUH3IjMleX4U6s9znI3cpscYszGmaWDLWJUQ3vQ/qXOb1g0
dlwt29gwt//VL0ZbpD6pqki1egdwMcW8y3ZO97vHXI1rqP2+SASNCkITuHQ3Cc4M3VPWtg3Z/Wiz
Cn8ccqLMMFbIO1IO5dVT4N8BxTAkgJyLX3UWowPO3Qbg3EDbIsrN6Acwd5bCQHZvEfVzsh/P6CU2
EG0tbYiET9nOi0hWudV4Jt3FWHie8eInucJLbnAIG5RESG+fdwq0gSlLievs8O2FlapZEV4tppVT
vf2dW5Q3zsh8eP8V3qArMp2UbxY2C1DRSHeiix6hr/zwChzi6bpr7gxBXVPxBmeeqdo6Yfq+f7vO
n63enKPHxsX5rZakACexRvr8s3XFgJ22k3n5fIAN58t7LONASjXoS9zMxz8xMVoZ70BeGl6qsNLD
7b5s+enq0UJuymXG3EVJ76rxDiISbY7WSxdz/lC5EoGsWHGXigOp9nofiesP58YZX8QTpB6n4XtJ
KyZ71drqH/xnCFnbZKEyh7BLZroNV9Xd43o0oiFIfJCk+YZPpwyqNd6RuuZD3hKkBQPu252y97Zd
P1qzVp3GcH0gsqD61kNziI5ULVnd9L8EBDGIJvgTXrEasoXDULEmNy2AYt0M2KIYTnGF5WxD1Df4
0cNG/HaSskEWowbsZkE/Ok/5vjMuY2rnWo8qi6P0yHWZsItXfZHOmftIpkhFKeMq5Fo9Kdbf6SS7
wI/j5m1/n3kgiikfDltQFA+722IsWYli22O0W7PFydVjSJv1h2VsyWjhPL/awuhdzVEeM/bstKSU
ex91bpgU+g2ncOiEWDsEWwJ6nAQrlUMJqv2FYa5KXYI6MGgJ5mr/SmH/TfjAdpeSrN7vJI/YvbJ3
GaiKLRSDooB/Hv/4IIOP11M+pfy+Pii5ijucrDWh6f2iX6pUbWpZMuJeRSbXX8RMP7ugwswk93Gf
4sHorfyfTsxkjX0LzLmlTsVaB4/tlKibobLulPjsMURzAzN1lZ3tnY9oirJuT+ObwYq1tds3pv3C
0xju7PHFURI3goLEghXyyPCNOGWXHH740dlkTexTtgujmgTQ0QEIsXhkALvU+rH7k2a2CkQUodJM
oKlK6fhF75ZVK6LssNG2nd4o6pBLMxZg/hjyOTjnvp6KWsRSXAnuDmGO1VmCepMA1lTfuq95QkSo
CYQqEM+EMbPw674LHGvF9PZLWnhdZ2E1daiV+vqzvg3mF3H47gnduFR0K5l5GgBKJPMVNTRj/p0S
kQo33Y9JeI62Yze9kOblnBG6h6k5Koqau5xdHVMsdIeWmtyX2Rd+OxpszRw3S6YTccukmVdbUEyW
qyzvDTGPvmxnAxhXmT+R7Zpa60THNLkVlgHXxBxdIPIYa6Z5wWEAbEMzYxixHFCCcc5XfgkRBTC0
pX9+rSpShUNPIn5retkee314Xudl36WWUOstZqZWfjkMIkpCxRzF38PyP08A9d3lZrFOfLvBSIrr
+O3v2Wt77+U8LtUGDuI40QLoQAgJEDLNA5Srxp8N85pIrDPzZwYf5tMOgV58wXi4cVABSFZbbaif
YcCW9K+mJalkcCYrPJog/vKvQEtQdOSHNeiptiturnAY13RatZmx13np9+jKh110zT13+dBD8uFG
WprObW4DtudlYm3W9skuINaOujRHIqf5+fti8u/g4sbKIoRRcvASCkZiNitd6r0VtSxvlHp+jC4f
0HM1m1DaDMwHuph5opQe06qUJUc4o9POYw4bmtfh3iDy1Vb15nDz3megEWXExx/3OgSeRPFF4fEP
YN/CEneYjZc+ait/yNzx518RR9q2nZai5hU11smL6IF9NzcikgOVki7F95n/zsFEJ+zXpLwx9Rj2
rgE4zdxe4NDeEsD3C2IMOBw6bK6hmIpBOi7u52kNuNkw/U1hlyRv+F3XinytJ2OjTzgP4wOp7nqi
8fOamv4FOo27tpLomTLw4AMJ7Pg/fMJp2LR8odjNwfDW3zpj+HnGp2Eo+MyII0Z5sYOZPib6AQ6s
I7bNTZp9Sp4EZfFbLI/66cVqPkl68Ko3fNq2HTcHbdDQhzVaNvPK2ynbMPUlAkRuMacGcQq6LQlk
WI2HuGTY2ykpJj0qo08yVMI03OkZRvpTo0EOYxthwGQKa2CfkWGEwJBTUsVUXRuN0X8UpVcGJJyc
1L6E1Jj7GPqqfa2lwOiQPTSNebdE1cdlISGVKyZ25nKkNP02x0zX5FpjUuTCn1M1dUEZt4QjwTAB
4k9ZwkdkhZ2oHCxVlGmPgKIDhbIVMOEYivwk4eb+JPsevpjXD+50ZQ5MxwUCooyb+qI2mhouPrxG
AAEW9aYzaNe6G1ArUs3YU21Q3+bVPTJhl/YUeie0/BayedE99p/yS+Uxso1Z4BWVVxzQ1/lt4v+D
Xs6Y87gVvphf3Usl6r1fol4HJ7yFqtHsKJmfh3pvjDGCZg6+d0uUNroj095jQVL4kA5TAcTN81jU
bcGNMrNfik0wTPXYIX/b3pM/sXxZvWyqJ61qKUs6cF0oqtbD0lG4l/AZIfEoMNl+2Zuw2TSp+Q4C
xuKvJArN+tmX7ZeRC6F8azM7O8VaJrlVL6wPkjGwqWnQkyQFzONT1GvCpmFsnjHK4h1uYOAsCqKR
69hhNDlipHc5RxvcuxVeyPPkCMLOCOv0ogRP0cX+ZLLvCJTJu1gh32tiz2smvdAKB/4TCfQFvlzc
28MCCoCbORCznu3XMrgwlJORiqBzCkoQuNFy9iT3WMciONCfUHiNF4JYYK34JhYxEbA7Wqb9Vzsg
HQiPwadczMD7sfVFp+GGaEjScA9ys5uxlxMBQcRmbQJ544Ap40ADk51UBWcZfqLoQT6W3RBxfRtH
yU6YPvZk69nRWL8YtuCnLl7V38qmFVUVYlWAtekiXkDKW9ksGnN1n3FLWMatSK9HAxRGNAaK3+0h
kLCY1PW/gFxG7X3OXJBKyypo324OFn5WWt9BVz4aczNocpWtGHSEVcM5221kclAujZiEVNAZG/YU
Vs0N+DrzeNLwRVqEc5p5d5wk8MPHD4Wx8KyZ6WfuQAfvMjjVTAXpSDYr6N3LP9QM6WwbeWFK4Z/q
R2/bXzzMjjbcULpEo0ITXI0Y0GMFpRm4uWWaakzusiRyDK4+pkEUMsLL3THbZ4qccODRCdViRyox
h8f82KQjdEIY+LKUlirQXQuZ/BYte6AXozZV8UKct9ApEztHCRKR8jlW8Kw8dgJq5vVMbL05vifT
r6HIOVxI01adczELDmGfr7Qf5hamxMmi/c0Te5UWwAt5fCqMqsxUsrsn3ig7bXmnoeguf37OtCw1
GTv83sf9Xv9xEFOqmMzbY2C02xIMrc45aD06APG3Lj5xPF8cM2wHeM/r7dv1BWPiMWjwFX92Jme6
BzDz/irLxm5VzJ6kz5o38dFyRsn+21wfQ1BdI8+12uNQ+cyRwU2Vu+qw0/+x7bgdLKzHcyrLjAOk
/GWA6x+j8ANdnKUW4JsdT1xFQ2i/uJ6u1xlv12cexfwmfUiLkq+Ua7jmrXjIaMmY5tRXassSEeJA
WjJhIuZLo4z22GxToIU9C3NWZlP+ZMQ5tu7mH6o5/upqpLaWxcDqojsf6/JlAkr3dtg4VPHQ4Adv
6xUzalz0DPNmPkuv8HCXDMJFtV5RUTjDh75dBB5sa+4Q8eme6YyEgAo1FW1fARLfnckQFV86rAy0
UFxp1UjEIKd0dQ5gPAA6byZ1HJER3Q6z65SYJITft7+V0Fw2QwVEOBu6nzz04DAjCRMUPIrLmKj/
efAX9N02D/M+pGT5h+/Q+XULT4SweMwcToak/pq48g7jv/6A3eLpZ67FvD5zmgpmj5bZXY2IrXRM
yiuIzQ7PtPD+Hm5Jw/fejV3Yydx0CW4INPttz5Dv0RsONCmSb1weD0JNb/8KfkaAByR/abcy/LjI
B8Bn/h9lRJjRivrxxGmUBC+G1EeSkERydlPjG/GzVjDzrKKWQQIVxgvPZ2k04DJSvh1ZEdpHJZSO
FQaQJRZeotGdDxyd9Dm/8nrFugCYuEhIAv0yA2fnYTbepxWYRjFERXWAGPvZLQ+oNuJmOLrIC2kp
QMcvjrMZOaPQBR9THKQey37Lm801Chlz2Q/2MK9AKYVaq3IVIz8BAVU/jEZXXSpIXLWocHn8mAYt
sCz8QPMEDf0/q/19a+PoYL5TvprM6QZ8oOgercAWubb4cmqwCPsx7ZnvP4o8jBssJaQadDzR7KbI
KaNX+MznU6G0gklu1n+QzxNSxJdcOAx7NiR74blfegBEukVjrEt8guoWY1WjJU/UfUvYnqMHsZB2
Cdqy522FG71EFHF969GU0iH5SndLmzZwipoXNWf7AN9k2chLUrKB6+biByon47gW9KBAP6ZbwkgT
v4tjhtxUmbrk53nmBOMrlr+nnAI3WTffKdlS6uB3gsF5HzIzpgi14FZ97QDcaLYC7S2CrSTWX57q
1bYjkL45AAFoouqzyvA4aLcaxKxzWuQe6a+wsSWxsh+L9GXK7/FyPXyf1YAUYB1+iBSJcM8rRasX
RXt38rzFREu011pDXN/fiwalAFXDx1b7mjeGIaRnzubmMQzvbLjURXViGVd89LyNy9jtUND0yT/Q
TFAqggFacCvZmD8goNBxiLfSzlnDEoJYgvo94u8OdmeB++PBJqsfGGM7e47BcrmPnQ5qii2U7g6Q
tZcZGZ3H6IEbxcPnTXfuvanPvcxSg1eGini5rfpvYLAD6Je80RSzVRrOaAZYAcVes/GLuPxQ28DH
jgJg0q487KWnuOrYkzQg1TOEs3zTPXBDJr93tmjAC5Y1xMptokpi16lbX8cWnQ5g2egcgXiIeeW5
RLzyEAp68Rq05b3c3Fqi05+JHpGC7z85ztC+qJnU6AlQ3GAFDsiAz3XPykjl5v5TnKXUTiadzBzE
fSI2iRCa3Hdjfhmw2DpNskBaCXEN0B+In7JnOs2RC7wVcqhXrpVjcwlVvl7uzIUV9cTokD7y8YWS
UqVN7NGXau5qMK8lQBakcMEcTk8MdaVNnJ2KK8pZmRx29rcv7+PZrN1QS2rB9YFrsG9kHYRaKxGV
FENB2rpv9ZINkJagtQ5J13R7pd/5CV3KlITeWA4UdepXIHKM3CtY7r9tOL5w91CxbLPrZLMXk7xP
cBBphj0bBJuleoh623DPscO7GkM4W8chCD6gPQdsVNurEBxwVJ3tJmgWQdAWe0J8PDPPuKkkAJ2C
2SAgAXYnI0YO4LD7wRRZGsCcBv4c09Mkxgxv03rpH8tV+ilA2qZUAw1ZoC4XjpepfDan8m8yWXcz
kO/XC5fCrJsY2shVaOrQquN8f6UTh9wGCDh72X3mzL7EFEqxzjgbzxoymdpCr0LQpxrY4vvAVtWW
fJ1G2fdRiiXgopUH67UVlmJsFR82idpycLyMfJ7dDDFeTETfsVuMEEm2mO3EF3cUc7cWXEFgmexv
8xZLGdFbpFiYYwqePusMTGsjxxFjehHN34P2Ahnf1VYXoU3ED9zTlwxJkXQxw4MVwwIkDCk9Qsw2
TJraiUjz08RJNL4SFXCcSA3gBPjkTRYGxdwYei9fcuhxiX/F0M5KJ7kWVrLXsEqTY7FKsE2yGE9l
SvXU74zmPRfZzhIdrHQs0q4TWTqVfUzmsWXVI9r6eqmpBPAdzD6HCrpA8hcJE8vZ51j4I44fFPTt
zpaGYdnMUE3mBm56iDTXUF1716S2klX2+NemiWtYXR6/sTAEocWkF65VbjeeBXlmZP76955UKgHu
7EJEIi8vGMogVUaJMtcakj45LJn4Jp73wxYDGIHqV2lpsgJdawZZ6OzX1TyUoeruE8d6xwNIBQ5n
tRpLOdarv2aYUvmPb7nEOHMHP5wF1c35hB1w05ObAa+vGJaqJWDyQylejGrAgD/OdvLQ8m0W7tXa
KmErp9jo1O2e/7fdT7g/o049woYDL3Sh97Qs1s6V97mTXhMxF87qBwlddI4LHyEl2/cWmYnvxDnD
tI6r3ho31/bLY7+sT5jCQuCjYpsHeXPUHQmKw4gUFLosS2i19AD8q/YKUBp9czV+D7uthEVcDAGS
0Cqoa2TCH3saqNHBKx2sxdDfSAH5/xNk5d67LTstUP+YnO/hW/paS7+qsSoKFWiKqsgFvPRJCD02
ZmdefEuWbdTCLV9GSU99iMBQl9ZARqhrKznYkCkU+NBSKCnxAfyQGnFpcC6Yq1JIVDwu5kt1oysC
Yat0gfXSftf3Fc09sctrd85pSDrPiyBVwqis7vKvlM1Ej25HkWP3Kdu1Kh3V1n4tcNmrSs0MuJ6f
Hznlrk0VntSg8951n+vPr89vpDTqJh2EoMvRZAifwtPtQhx7gqguJ8oHN/0D8BqJbv+byJtSveXW
r9XvcuKcR5Yu5tGJ4g+irmHpxS5OebdicB0ih3eHOQNBtbGkJ/kq1q+BObLrgAp7OM3/RET38xdR
17mJ6/6mQD/CNLxQUXL1L32K0zwq7WtR811I5eW2myaqMex16TWnSNkoBQy2fpQiEcoSjE6uO45m
/pVqVSFl/QyxBUcYpzO86EBXkph1LRstZL0FuBhyVKmnSImx5cmpuNETm16Zg98Sv1ULsbkM0eIA
Xds8eqffuElnWNr4/smjSpE/1Q0JkxUVLpBPFYSwKwi45VK9jnAABXzwkOn7DJnSU8ZAX327OwPp
7ZuTgqA3iljAYD2RfMISOL1moomzfl6cfvszL+lLehVNLxD2/Mvc/2fBCV2fVHxVr+TEeK6KheWK
ZvfiF7af+aX8F/PiTIW+WqD8PS8f9Nx9HNsVmOJrVvpUy6tH7C6Os3YdcX0EWxzsroxfH0QyQS0D
9NGkNpMNtQGxoAFrsSd83mOXHLYOqGE4oa8dI2ba07Yt3zZAZfGv7IguAEVP3VWameXAFj3MCS9m
V/7SqacYD902cNZFsRIuMIr4yPTGE+0zPT6yfWFPaeiYge3fqmxZJYg5C7TERFixV2UJjObnvtyZ
JxLFFgiKIMeamNptE4hiP3ZrQZtDWxbPbcywS0Jo9D5y9ZsUcCaoXk8QXQF1jDlywbNyGxNjoAam
v8FKbjFVXlQovYHiaNxKo/r7XDgIQlrx6b3TiCmEFOaZjynClXwzbNXKZtmcLg50LghLAHl0B7sh
CfUDxH7qwEQeICfPso8uneAD9M9fcYuKfzpwpXwgTGmD69wWli1QuTAeUYMr+ISe2E5NVzhx0Px/
XxC/PufBotPqCL4JN6Mg8QpUvnIfrL9rMKkEhx9MGzofglhzVVykChVkC4/aUgkFM8+CV8L8m4dp
+e4zn1SgsxTSykcrpP1bm4KgDN7FsbvNCDdgQOuqYvDcv2bP/uDk5Zwid5U1jkCcAIAEgHXyNsqc
7YXmx4uanNPs7NxilT8yuffks6Z90hgyzH3IjEZWrtMC/cLsybEzZzgUgvzbR9UyBxgXWN5LPlhu
nRLVn8EzdklN3WHQovo+7ay3PZRRazdVx2LiFdHMNdFPCQqZuFPz1isykQ/rY6WjclPhf6k7+9Xq
0kgOPyRTEDKW9kSkW2yvKw93m9+kDwFSQPTYIel5E0kyx56wKV8CncGwAXt8AFoGQA37FAW/1Sra
WUhSQUi8oauqtQxcWCXIEdJz++iebqfXB+HWk8c9t1C8ces5XUxJbP02SmmcxxzLcDiDUZ+FRQwb
eKWAQIHeEC/1Ga1SSKg6BX5YJSjPcH7+UQdlohsz4tt8L7a55x6BjbehcS45jFWReoQjQYFR8tf6
0otoV7FpQLQsLJvbk0bJgdgmoEbeb4oplzi2OGindZsmaWwbI96iBKakguK9gwJ5c21G6Gar2d11
5spoEAWH6WHITs/a2gpy2unP2xH+8HkMahwJV/VBG4OaKU6vJq6kE7Q6Izrp76fPqyrmAg0flc/H
W72QfOeCi7kZFCY33XWxbn58sxtiYpgExR84pg78or7DVU3HtUyEWoRVkg0HpCSluDpQCB1PoBSr
HDloQfX6WlZfgoun5R7kOY8eZKopRFl/oSG+UFcfuLvyTjxeq9SZEOnVV0E3jlDPc6mICxn77DEr
YhhtSqMehHIy2zNYDH443g46YHDqk5IuUgSouGI7hKAYQ2O1UdczdZAQ1OL79FJuJmm6TwMX8rXE
KpTrij5NhoW0e1bYDUrndKWMX2iZ4Cg1MoSs8r30CPC+fqt4aEXGM/tNYTLoHoK5xwGKXhlCZB95
xD7FAU6URPcF+Y0NpMM46t5a7JupS6eFEtFfOdB/dsE0kxmdGFGKzYInExwX0/tO/I3w6OapWzCV
HEQodZbhDzLRSgOo43Sr70NmVD5FFzjxPL8NSImvsPIvMcBA4huuMtTRRDWM2fqLQE73pO0defYk
q7mRsKTpEZTI9xhICAbv3xivYaFLDqHR21SsI0JEEzm0S9ksgCjlf4o37jhHHbR6h/9cE1XdanD+
eOYS/Vq/GbUhVJUstWtUjGJTsCEYlhvVBnVdISOvMY6ouwDyDkSQxLnfEBfdrJ/mJp/eUA14fXy5
ZY7G/FkYIx9oqPPnx0xUfbZoh5QpvrIox1kXMSg1rmIZJ6gVyotO3JhTH6g02xPCpat6EhWGw2WL
YzwvkTf4vIkwdlXTwusan7dulZaNkpwtw3tvZ4YNqzwTmNwGbo38brCZwEGvCR0uP/lxZ9meI8/k
rnki4Z0LdAfhT3E6b+G7x8+gxFkybzGaTzSYyQ0vW/WH97SvyNQxH9vvvhob9Z0f7THqIWp4TOL0
082T/6f/Js7IpA0Akv7qtokEbOtH2NI4A4fNyB9LRr8HJy2yCMxRdG9c2h3GBnWtiviaKc9oJSqG
4RcQtjbwJAc+oykbCyV+nZl/vGqmqNrLaqP7r2ldCPhaedA6f6OjGCrhPGZEhR2s9Ko7aiLP5M3P
MRhhdW8j16/U+3JXtHIOiNwt+bkz2ssTqN8ijT7w3vsVtlIw50hjtyOTQkp8WRPJ6oK0gW0AWr+e
F3pf7gXbbC4BpCO7nJABSqPqoy6Cp9nkUY0JSMnI7Zv8Kln1638XjVpd0h/rKn+Iivu7ZZjsLqAX
QB9urba3EpU6AODe0WV27+kQ1rosLTcBR9fO5pz9gcIhvUWZ/QD6i5l91Qi9r2D9nn0DzHmyi1jp
jNwIVwHBKhoMIVakkar+VZOsJPGs8aL7Wbiq2hiTpwIgC8uDULwlUDs+cxhCRCyKQgNSUqxZBlgl
XCEvHVAwJSB9bjd139gYdyUKXH1QZ404ojXbhiIsDm0X1t7DClA7XN/+rS3WLpF1I7UhmsFrTLIl
Mw3XpSCsm+wFBQV0mkfacOGA+wFZ1lITw2aOe4dWMyt2K7PF7cuUPLtvYHYR8+oLo2VgLZZ8pDD4
Ybwj8GQIsgr9JMJ4bpnR5mEwtF+jruam10c7ZZsagp8D8auAruj3+pTThlXDNy310zIJE2gU8Cz1
exVxo541npmav56cHLX0gjG+6TkqZXoEoyaiDc4W1vhMkPFcZHIoVl0HFfojLo5aQhRTbP9xiv44
hkO1nR6/w1DAORp7jJyVRsZBIebzXysru1sikSCPDBSIvBiOMd94zEDwCjPo3lyQuoUo3L6tL348
6Y9N5IQDs+cv8vgnq6FBSRse0jonsb46YwT9WQGfp+0pzQAlvJFhsPxWsIU0wGhSD+8L8G2meAlk
hyzW9UFUw6fIp5aR/aKepiyLZgp+92YGK1sL7Ftp7ZVGdrAjZEWsDUBvO3Jgdt/PHFIA3jtrwYpt
tYk18a0XyHmfZ5bWL0eeSfT2T+lAB0wG60srtNGCvPKHykgTjegKEzHU6FLXeEFmh1D5E4Jf22b7
OzWTXy9m/Dg+Kmx3zBo2VhIjP4SEUVWWLqgi4Q9mkeYONB4nlsH+dLiL+gn6eeJvlY77R3ElEhf1
7NWKvRnP7ESo0hrg40sFU3DS4yYZcmLmRHIRGjkSF5v0QtXyB61iXQ+m0yr6OBvdWJ9w/b2FgKJp
NLtHXBSWLgAyoelLuMqUxE8jf76MsYTurHEnTIXReIwVHR23OMhjiS+VdCOTahLITgI2hdlBEipr
TD7IBfepEMWiaXdLjYzjmmlMBSZTOc/I7wIQx5llNo1lqBBsMutR59pnURTcQY118f2KVEg4UHCz
z4xids0iW50LT5jL7LTDbIi/+ZNWa8xNFCm1lpuQslxQPOolC3KzFrVrXwfF6B6W+WLGr45DQLUf
RXh8S0cTX+1XNz6rsj20EXLe4XP2ACBcm5Xu5plquLNb0GIdPKl4jRSagZzTDkNTKpsGeOp1A/6N
yYHDSofNiVd94Oklh23NFXoVzBpJgwO+CS/lbuzUiDFCW/7WOiGcq9O+4mmOesv/qyuYjUJyvLux
3h0xPz08hQ89XTVxUbKvJOX0EGCS6D7I6LZu9EhzZOYLQfqEinDuG7YfMXGP1hn0wn/ASc8H2FY5
H0SSDExd/Y1fBmox5mY8YG8m0Nn2M3aZ3/ZcKPXwk9TmmmDg768adiB/54PXxjH6oVG2gzbvct36
4u+/tl8ULNBZHz2roDwgkRD46+qy9riMlblu3EOsJqAuwEhy2wxGXjLRTcjA6zThuQoStDfswyxL
9CKL3DMxUdU9ZH+rBSDd9xHMCwFUzCqLf/RsPKrnRPNcOYeig6kDAQAy506sDmvV01tigKlZVOwS
4c0c4rEibhrHMQf9HXP7uWt6xWgyuIRvV7xCwu2RLphVPfy51YVWp40dTM0w6v16X+yur7fBr/eh
zlsT2EVlxCkQN/AokMr+kVrNNk6A3yMkFK2yTsdBVhn2WGtQnVxTRqXysOYA7M6Tgq9FMA3fiF0v
V+MDawVoUZsDS1PJ9F8Xlr4ET+KuvooOVmUK6xcftXN5bVLWrT/QmfZFvOOyiEgOGi71GUCqYAP0
mrLxNGKl6fQtkyECVbaUJY8k0gjadJ3oN+Q+qAoYatmQXUMZ2qiaQ+F9xqN8oLkiUcM32L4a+0Lu
8pFIE//nFr6+zOHAohWsL/FA06UTIAj0chiW5qNrEZ9+Lo/DKqKQg7QhQ0CdhPP7QsxFFjLMDbFv
MTcBn+N14lXdrVwiyENSieqvIZJRKrFCjTnl8IzPbgZBSfceAoWN716XZpx+2mceeZ4bYM1FHPFV
27UmqZYyCmYWP+CmvAyE4AFv5aKjtTotGZmqDh0RN4sqU07duMFtbPlXAF5KZoCmF+tTXML4JCXP
rpnqTCWFv80CJPmVzTNjyVXtq9Setzz7dDzsq3y+cNT0oVsHzeLAQPwQaVx7pjb2pcy2iigTF6MZ
wCHY6/N2HfLPe/TuursRe1dTXxW8qnUMpOA9bN8a4afQEVaYqp38woHjwRVSFSKbbDpxGHFPH1Nk
RTM1gxxsE83+MXBds3fd6xovTdzBKq75uxjr/wwn3gclSTVm5ZpgJ/JHfwlvC3hKP8Sjsck7kZxd
BLPoM12kbrQx79wZ3C10vzAA5Hrs1YsoGoVwlVilcgj3wIQC1+p74G+Fk1GVxcsLAL2kTgIj8rzy
UnKoe1TML4cTlKAbRgzBIa3cVckS02OVpDHuKbNegFtmNXbBxpM14eI4u4PczwgDT5xjZefo7hMg
othaVzU9MAAxQ87ktxhxWVaJlX4TJ24hhtlwC/VzmQfltmTXaIaIwhSz7391WWGd0Ksxs7FsuWy7
IM5hKnUEYlk+2xNuIzk72Z4dLSM9GloLRXK7vpvuvLhwo1H2NKou62OMy4yiY2YCrDTGyjZHgQiC
O0ucaCOUG/yE0LfgTrBobqFH4RBgtqZKevVtnArJhlmrRNK8qU0DGmrljzFwPF8HIjib6zLH6ZiG
Wl0ck0jfXbapfxVSJiOkfHFlNumV4Lz8uBMbJLHMV0zGbKBInV0Do/53lGgvNCKL0AAFjItqsmO0
eNC1TFOAC7er11+Z1cn1KXoB5nK6q91BL9vajUE8W5x1JvtjUq+mPPvu+24aswCOTSEFLpYTdFOA
irZxCprP5PwvCLTFnO+En1g7MECtVXhx7xBSL9PwO1fOXFsEw/S5ZhY1/KPXaIDJy447YLQHI7/a
x86iM9ZLsK8bovEwql1TJ7LGyKbXqrzH9cdPTm8TGHs7m2XW2JJIFD2dZteJLIAaOpVgs3SPT6X+
9CUip3bxJ49nj54QlWuMrmVTv6GAeBJiBoU7LG8Eb7vD182ReFN7P6GAeYw+DyqfZcgUn6GCyMUg
WF/Bv1heGPQWfMyNzn9hu/IfYPqf7Ai7lnUfEiZKN+Zdx1vQxEFDNQO47LxY/3i8OG4BHyhuJQ9Y
f5FtUVsCp1zXGSkxuUA72AAoMnh1Qw7fBV0AC0fNlMGUEUBbCST73mURCfvO8TmlQ2/sIdVCPNfl
MiBhTccGsw9LzqU48WTfm5MFucivGeTWBSTht2mkcksyoRbaCr02F6BeGkhncCJWY8i1c2XdSZVq
ELsWaOR2EmGiVOCQSgw3YLFMSXf+4M06Hj5FytV2DS6WVs8E7YtJpC0Qy/Ec/mG+eB/s7n52N2fX
XeWJ2VEiRNIHzAXBa5zNM2xLLuGgQ6/augkG5rv0DGj+HDax6XHZuAdLN+S9lLDfVKe1UDTP3i4u
bgHHn4dfCzQl1GKlLS0B9yyatHw+U5wodU6RmrkBdtX6HvZT/bR9V6D/UDGczLSRpzT7yZEFB1/H
50OjsdbZBnRGjyoyNMECd+FMj+eR9fkvEzqe7nB9QxLTWr7O/1n5yUTk44ITHZBRd+/vG1S6my0W
Y3pkoiZLPYkxFCZPp70xH6ZI33ER8I/fJ5/nZK4OxWvVphkOFMMnJPnly+qL6LdumqnyPCzjBhjN
9Nq47nFssPhhJai+IvLdM4d5x3tL8JyO7cjlHv5HUne2ZdDTKb1IWTu/k0fNxEgpLBgHF5zeUUkB
snv1hCUlvbOjaootmx8RmX6DjhPGQgCtKmDBnv4sOZSjaulAuycRK+kNiqh47NvBZjMXTW9FCLcw
x0InFKAgF644cmk302yP3C124AYDc7jkyZKRuvOMmk8BNy5g7nJ124HvDGeWVgSPYAh7MkR+RIey
vStsedjBsd3QkycqQEM9hxmOTNK3KjVDyqSuhlUghqcwxOgWQ7e878I/KTLW2IW0E6j60nwNeeZP
7AZiL9UYti7wFyTr08lyJq41DcuiQ7U5EkSmn6fHRV3JqdNp8+Y1E6+5isTYfdMgof6yg4S4vfXi
0yMGKKlfBJh97jaO4uv410sUDweEEVmsjR2Kdp7ACXnKEc5w+Du9fw/sRvPzvZACVq4yEKoqsi/2
eXjRsSJLCQICF64TpD/wAsAhc++yHNm+XHRP9bWSFP+Di2eBp6cZRParuYhoVPxuIjvLlgBuA+Mn
IPb/cZ9MdwmOBW5msyja7BScUrbBiBhOALavquFyeLHJ+HLtjwZQ1tkQn54Ag1/zhtY3BcObwBIv
8LqI6FkXwQvBvjHi6DJ/Js8TDPbW/HBoHPjTD9p5fOxhmiw1ZlHFlsUA2u9N37z/Dl7tzBmwEtRg
K9xE93FWndQRs791iFwQBJlxmFbX13oGFIvx497iQ8hjZovym0Y1XM5GcfiG8e9ltmklTieFVBdr
dB1cETELG83tmaFrhkac3i8Tm32hao4ySB1+mJKJ87a474M+a6beAyBmM0TUXntqVAfJOrMpIjwR
y44YqmFoBC15iDuHrQS1B0HTA0RZK+mszGEeS08cEd+csijMMGT4iOm4jPKuwAjcajZ2QgAwD8kN
4v323m9F8IbFyfNhqAvfHyq3ApYMtrMVPlpDumHCPbcNy0pzG8EfiIA5IivRGoAniJaxytJ7rsHz
pezsitc+OWGH4L+hE2SkE00FIx6NKUomkF4Nd+fuFkKOlcKQC4K3jAOwupeWxjLNhFC2PMazSWsb
Cm3/UifW06gMD4izfajJamfMtNLpf4E1M2dKnVDoeyX7Yruoo4T8y8vfgd/5mBUHGHJZvtS71j94
otFZumI+PiLkjuEbNPnVmoNFBLDrUOqZy386SwBh3ktfbNWT4XOstk0rujOQWkRWUUwmXItRZKEI
aeX3xn6KM96fDGytzyVpsdmrj328kVixS+j2SWfzBjSfVNkqEbZ1JSK3FuvKo0/TbEHuckOqaAfO
6H2/gd48NwBz1DXQ1ZkeGvQygEd9hE9NSHm7uUdEKv0EAWjyYvOtjoh1gyI/2uOCTLLuY8JP7NY7
JCMIT8wb5kMqUSy2ntsLo/7Pt667HUvcfBWZsQLOa2O8pHlCEdWYXDL3YrP0h7ONdkII+nwdnoSZ
Vyoza7W3v4b8ZbDZ1/oWZEgcu3jLx1qbuLhInYIcLLx8Bp4S2iMEKr4E2gue1DUNZKdLH9fri8hR
8KjuEo22OTjGc8BCyYmANLIxiHlOMFsQzMDxEAX7Je2Cz4apLVgIyUbEej31Vc6TFCfZ/o1a0b0D
9pvnkuSknH8vsqjaoDHXmaYJS39BZ2IoNg37t67FR6CT/f5fvNiIYip9anPIUawCMF3/MJNqSLPG
lAh4CE8UnVvhYCIMfqIo4twfqrp4tmH8Ki+qf65W57+t+VTjmcqYlMq4/kjZOt4S+UecCbxQoRd9
CO99lvnBCpuICugxWxNos5qso7TbYhEO0OByWOGwDesgvJlM+k4IEePak4RxVHlcu6pGKIuvC89M
iSYj8X3CtKOAxOD7cE2kHvsn+nA5BxWhIYNuHixvf/uvA7vToIEjRqRe9pu83/oG7RjbwobPuWrP
HSZkKr3FOM/ArWPTqnRXvVH+Fw0ylm5Py019y+byOeg2MzPs3Ajmto5kYyuh45Yk0dSaG7Q5Q6/C
vIXPej5TmYaJar26K2/ixKTVI2WI53ILyri8ULjsQrskRoIckENX4NT/iqH1HyHZGUp7lie4OhKr
XqFcxPx5R/dJGbyFPMtWY8kNvokUVpHxD7aJKYwCxbse1yH/xhmZ4L5SLx1eXDfYRPeDS383pw0J
+n+U25Tc6cyUETestbM6OYkGKKzp8yPMkcoJemf04vH9Zw45PgdP0JwzzpYT0vlMON9KAN+Qqsfl
DGsCc558YshsxhNUExDVgtx32JZxGeazRDPgMQnwj6F0MeeWg1c3QTwNOvJj4+GDsaXYE/a0dEvy
Axr1UBv6Uy9UtJ/DYSM005yCrSbdkNwo7Tso08HuhNJt/+hZ+nQBFrDftHSzx2QfUKNinlFNYM7s
0b8aaWQlItKU7alXnktg9Qr5Vk2QAHMMRLUo2ULcDakFquet6oL8zkX0sY6qXw/XQWmwm2attUYV
S8bxTnHU0ot2Kb32Irxvk3n+EWBWiJhUudVSdVnHxzKcgvK0JEBZhVl0e29cJR8E/7a2xv5IOkNX
yzpG2VOhL/OUBkZK1y6bnqASQdYmkp0pPcjxE23yn4f39wQVrseiGbeE8KcjvabmC5sZmhAvk5W/
QBxm2c99dtjLXCTl7b4nYuO6HbnrfqR6lPmo5qD0SavZgsgCM5aIBRCymj+CQWRFXeS9msmtNgDF
bFhQztVHUEvQVo75Ht2Un0BZTT+5EKzJVLy3KHds8p/pmNUddWovJ3tV/aqjmpGyz9KcBqVu5hTy
Mnn6rzKrvtqCLYNThLRvee9vlWSrQoNyOY0EOMhMdLTMyJCEjt9Gte0sFmhfAWHdkI4XLHLMTtcF
OlKd04GCpibis6aqyl4PVI6z2RfurxxVa9mncaikioD0NsMBsayLauVEmlZ8xTGBm0mPdhNWcMi3
hTtDpkYw5QhvjdZU8MU9ew9oNud8l83ko7gNKFNEnEHHDTllNQF/BemhngR7u9+FBEzO+7i6OosX
q26/gM3++ERs+680ZVKVYBFNvGm2xZHUJWU52h/k9UMk03MBxPupRJa4QtpWjPZYgrsPLnrQsZfH
Cf+etoCgAvE0d72uSztt9R9iZ+cbP/N/dj8B6ckyJ/R4DVGxdAyIbs9vHJjXlLCzG5aTYiOCuC9d
fOTYbXtmsIeERh/uB6X8aB8Q2pvNtP9txGMCQTiuNeVkhW6N2ZnPfS7KYe84qDl/35bOR30cr/4t
u2bw/ou58rw8PuSL49QaJ+7zjWigNv8K2FaKoiHM8c+VWxsdCDbg7r1ulygW9Z/i+uZCfT39aJtz
m5U/j4r4PZE71MVNfJds5PKMyTxJrO0x1Gn0+4SpYN8p5bRkJavaR/dRWDv+x/4tG3A96kjEU2s9
1AWBbrp3jqJQMX/FfTL8nltbtCbgztoURDKdO9SkFNKXuOI7mb6U/FgoeE5r9nok+yNMErpMEYRF
gVWTG1+SlreKca+i8P+gNZ36OktlGj9RtNzeEyanyIA+xIdONSkND4qCttpbAkom+A8804u4CB0v
21BL6Px7uW9PftITNwPg5PG34z9IJnRzuNprSL+ww11QdOHcLsNCJADeH8OIme7VTkcd4LTOOz8Z
i5HsNd1WoaKVUc+9e8QzrZcJ7lwhdSw22l8EgoGdt2Qx9SS+KvJmukj/50qxG/j0zDYWOYE/U7F3
pA2b0/IYoaPkknCb3ZK7Fa2GHynxHigKY+od23Wd3l5KaicDvOQPJncDoVnMBv8eWQHKOi6ZMc0e
9m+I884rkSF+4GP0rxM7d86DtbNIM4NnsY3987ArYVWa8dROuXGks8sudYPXSe5hOtE49Sw5GXyl
KdzzolFNjVv9p6Mt0aR/YzLIx9esOF/esH/+wlhMHnJD1klyDtBWR29dpp/ipKoeIhIWgP6AsVTB
BCTHGLRhE2jpg/57jfSqcYNW4Ua+gyw8MS3uyI8U9Fyl14m1hJxKErExABVJt+U9IUTDN09sRhoA
vqJKqlAcC0B3bXF766tQzrC8PjJFAkOwkdaMzxYsylY2Qz75h3iGecxs2HYNbOkktIT3h5WkRdli
NSyBZp7pzTb0kmrGo70lJtNek4DOkQCvDZEqI8MWNHgsnB3a9Tuggz6XLhW2QAKV0nAs6PWmqKpr
tjHvtot5W+O9f/Fo3O0/qFi+YXpLUVCciSmXlSyUlyJim9kX9I+o6CI4Tty1dff/O3PjBSYfBcBB
tsRalhdwfa0G7Id7fGpvIxrdsoHzNW/iY0W/2XYm2BUO7iPte6a0BlAgUv7dy7PfXkTYGRy+8c8t
NljhNVv3wpcV+eWspXYiT8dFt41HBkLLOTrloXWSGTZvN9Dn0qhPxrQR7fZkYKnCN900Etw3xTjg
ll7EptACwDWmGi1/qcJnO+5oKE69ApmDHWQu8OJpr7Pyy9IZTbJWhvBKttqQ9t+L8kd+1s357kBC
KqzH7gI4JICM38G5Zcmce7ovMqR/oHx/BEurOxeIGB9GuSaLstkRld/aeaDle61TpdpOK61H0xRw
DpUIMx1shpeepKD6/9rRlkVoVyTHpPLHR8pGCLRpHv0Yh4NFNaPj2mRneQGk6byvK4B27w8D0NEy
Zg08EO+sc+ZlTdXFtFwweX/opZmWdKrut1nnBQhKbdRvLBLVO6uPO3JIDJpGuh1nmj+tODQ30Z9C
Do3pone+HWGMbM8O4KDDNuAc15U2agtqDXEhSqVmhWY51wBPrr9ELO4j+7wa3+8xhurjj65NqkJ/
Ost5kQlYqOPwXG0Z5U1zBg1LCk68EZeP3Ex4aRDL+4wQdmUYG+ppJGVMKJe1nMn+b6/7Vz45H2/K
qoq7kfqR1XMjO/d8sAiI/qYLTxgxc4w3Xli9A4WXIU4Jf3C1RN2nc6lFEke/N7rLKzayV/0VWEuo
Y/DgGsD9oZrY9JuHFMeG1AUTtwNDAyTXeFB9K7OQZS3P6wkqvPDKoPPmMRkjn/b2roTxEBK+26k9
3gbswGy5WGef/0M+K76Q0gjkH8J52jgts5CHksHwQ1waXjPxI+J2igb5Z+lKyWselqnXGh962zPA
hT4GnUEZuFOUUnwJoocRSOXCm10NJJuya86H8NRKjd9Byybd9i379xwjn5VshBofPj1zRCZuOnmE
nJFHdKuAYxJQX3+Gm7L2cCC9SRLRm1N/ApY3Cqjk4Zn+Cy2JOZ4YzyKwjetzuFmx5upiqSb6KkLK
kdLMvSvfECQy/UTx02NjWbmmRI6nwBAkwCWTMWKtHol5Tv7TloiiecjwTBkQ97H7/+mvM5q7lSRr
BSxjv9zVsHG8eHM7D4zJ7rIX00nPRMOxYAzvXlO+8DECyzElHxyKMkSDnuGMLxpW3QkDngC1E6Ea
1eoRbd+ZgjShZi9NVkH7Jig6SYOIW3J9jr3OzaKczCOCQvnEpCQxEdSfpsfTSCG+An9Wal9W1rv9
aloFlBHgmXkSmc4TYGXUY4DK7iQ6Ym3MlqZ7forPhJGv+NrHFAWJoB9B0+FJ48WjANHNUI4zVuK6
B5/t2iM4C6XCPSeWB5CbXAE2dIzGjJgzwj/UfrtKKeYtF0eZctptQ8IU/SbZ9uzpgM+gpJR1SrR5
B+phjjZkbO2sjWNpzUV81eyu3dRa1nxxR/HNGmRSwp1QOd97HCo0N5hbUgBFrGeVA3WypyhORenv
8bDeeF7Gnk1eLZqE+B5eek062DNfcqJf5lBa7TTqznEWT8R/QQjkPTrbdxokT3gzx7HflD2xnSIk
QHzRD0RPd6uA7Nedfm6OPD7syfZ019yNTZT/udrQNEUvl5EuyBYlMXTmI/q0tGpXl40am42YoFiF
gVkYXIH/ZWBQfdtnbVZVfCndykHweGgr6J2lB6hY6NwuOtdg3uObXVuaKAwMF6oB8Ps/NsxKXKqf
61KheeeWH/MPyur5IG7iSBUEFWvyb63Zxhpnvx5/AR2UiEtCt2k8FSxaNtkWDjLUM7rMeqGWONSA
GCNV9eWkdpqTeXlRa/pMw2qAHe+w6K/7tVjsOp7K6sKj8k8jbLrSDBRVULSlggHxJhhObLWq2JZi
wGfQMo7scGh8O4lFlmZIXxDXGcnmya32I56zGMoCAGu2sNKOyxYlIqbYYmq0gsL1Mc+A1nOMAQYO
J5sbuExJST3kY1uSRV1Hh+A/2RPHG3N2LtVTRKG2SgUsoZdMnE2EygZoHWdZAm6fIhyJcGXV8S51
I3LMn95FeXiqdHP9I4AV+s4pngavlKlijXh+sHxuL3sm7qWnRz0zZs5ogBhGvfNjsiJy6OC4UAAv
bgdJ98zfeqW8N2L74lGwa6hWrjeW0JhnpjWuwxUK799y4Ftc7VWAFjrpQB65yzt6NLpZj3kZmXAJ
p0icA9pzTJ3axGZ0juBJNBCyZCxlkVXnV11HHzhWl7WVn22Xn/6mZ6FyqDqCWggPxfIygqkK3SjH
hIBV/H4eqYJ/iLTYvHr3r+EKNBL1nR8QMMSXxnkaJUtK8iFzGYv7lfik6AYtqbHxwowgweLkiwkC
Ff3+Q3hE2ru0v8+cbIi1ON0o3WzqNYArRppjDGUVQXjDvD+9xVstA6pX7EPVHCmhBMQK+veYkma0
Y9UBD04gvXo1ylLTT1hkffzVOSIKCIhzGfGp5kf7sqx+uYicgo0vc+KIPK9loYQgwj9QbUjWA2BK
rIqQiZ0th+ua8/ip1osVUv+QVa8Liftaj+e2vjpOxJwSORv1QtjW5TBLlE+wpdmdL/WhLCUG6ZPm
QocbmweHUbwOg/rINmubQFBOOgRP/fKgqy9OejjpYEbCtW7ZiH2EtuGEPUF4Ds9xMPm5xe8pBW/F
Jeoasfdb3yIMCfhDrdZTIZORT6dvqQT3qTsnZS+9h8yVx5iwZEwEEw2GBOPiZQR2dJJTpYDazod2
gqmwPjQC1z4JBTpHeRXGj+Lq4BPJ+s4a8ZIN1l6HDyBwTSqKQmNMVQrjipr4UmcotvU2qIOwG1Oq
4bzFcTzxOXBOSRA9U74j7m+VQbAOy0UIAVUYjgQXLSKBCtduLJX1WLzbYxy9MMOICJflpqnThbBv
CtolTYULNoK71LVm5gFdyKJUGfZKPV2Fn+CzANK/unXxBfM2hWQERK39ybvQxakIxR0Bdso3xHOs
AXmgewXZQHrAeVkpPYdjauHuiCr9hIZafnbCCkp+SxMhTdOHmdKbbT6H/YUzLXpBC6rEfqYa8snl
QxZcXrjcH7bjxQylXPTo6Of3VOk3VN+xqqcKU8sheZt6vw/xXUPzj2OuaA7kggDX+2vttFm/AZ2X
jbs5NmgIWf9aO2hJVPhJlvN9nvzE3CY5VnYPSxUT7ove66ER7zzhUfXki2OKFZZESxioH0SWx+s2
Y66T8PMDdCIbCcjvQUKS+X5I3JS3fEnTXP3DhkGETOCWLmaR0CTMjpe6R5oVk5MX7lSFnhmH0ENp
31On1e8cDqCcjZ184mRzBD58LgPh+KyXPlgrinKOZgfWVI4YehOlxkdi96ploMZslIP962qMtJKV
6aOkplvWsBWgtPa8xTAziKWoJ+fMq/C9HUoXmJIbcShIyCXZx/JahZgETjtDKsoeRFs5ymT+LabE
IrxORaX6f3HrKtDXlS3tMO3DBEpnpZ5KJw8ElgEaNGtL891mhqn/zfBaenOl4fEKSi4nJXW3ZA8g
+OtfofR8yMNBH84EK81SnaCetX3ZsqDjRV7FYRuvwZaFrp5+1kOkIQiomnZOxAFPHMXZcyUh490Y
Wkt47T8uFXuJm1SDLI4WyRtATOFU6M9B9EQxUAbNHChsEwn/hvT3ZsrupKMjCYYbvlIKIVxbfDvd
lRyVym7ZQvDuZ3IdnsywZ/iY2mHlQEtTnf945FvG1O5m/ffErtRW+dFisy90dptaV0siIzRBKWSU
e+2Xw4kIQZgzzO7RIEXml4Rwb4HEF4gIhnEr+Dws598lP//m/LcEiYNTy6K/22fB6wR+pJ25EMFQ
NADZ8VkVZ5NInpLn8UdoctKPv48EUEojuJfyZOBr785NdRtgn6vXVONo/CLuuqMDmvS55UE32OMm
1GMzm9ZXdbHPWPGah9dx6BwrKuixTlTBpfNQaXsgnwCKjnjn6uX9ayysqRzlaJgWUKWQarg9Zzlm
sir29SxXI+IFj2ona6UeJHo2UiXULz04BtGFvJphByGxGcL7nRtPDLt+wqoAb4QI/IZDdaqnrqPg
yWf7ugnBRLOk6tn82diclFNCAHFk9uYciChLFTu5Rwq7FGCkiRT3RQyHzJJJLvsBE6uGHt0w73qE
fTBRfUfftxnH4DJx/9xbzhmBrwPJlTwrLPIYZ/wXHpkKnDY6Ok8yFTD+yN8foNeBnKK4p7+q/hwc
vEWGmpWOdEAuMQ/IqZ5laeDVLKOBkB2IGnrZY64BDABiOJSjFcf19B7OlpRxbyMwopnsIm78zgkX
FR6Tq5MMgc/5NjAv4VrFx0oRNtMDO7OmQjqx6sApyldLj/gmDJTTIT4o7APue14da79BbYl9VJbf
d2yWViTrAfgim+AJ7F9wT1Co9E7cRK76jztxQGccEHcQfhrzvt1hDMcF3iyeN7xDAfjOIpXTvffq
gMLo5xq2m1oEMVHDsD6dNwNW7y18ANKXnuc3uZDm40DfRICaAIlXf0Ru6HEkJvT7BUczmEL9CGvG
JcFDunBK/khmpTkN20BbniCTZYTAxRPOl3UyvBOi5ryrbOJ7Z1c8dO+mxjYAIQhzcT0TL/3/cvz5
o6CGNUPOlzDouhV8YuXCkBgoKkRIkd64vHY3ICSEfT7MLz2jdpUNsk776Fz2eBfS/S82kMfQhJV9
1k48pJeIRwi1wcgMZDbUx0noivl3TiI+yC0ea5C3yP7Jkkpw+71TnFcQZT9RUbAe5LL6Prgo6Yqr
Gv+v/vFVbpvCXAtAjk9LPKnzrojZPzVnUSLPUdlhRQ1oj7Q+DJu4qS5aKtHNnOPLoKsgeks7x9/h
xujyPyAGeYl7XTMzxCfDYtX7TXWBtaGc35H0Ba+Jnqplhlv5g+jrMjJHwuuAHvC5TvrSOsooW4Xs
A7AlEE3fBke/QmLKJUAx0D4rFG202SsC1Bp9faYR1ncSd6PJNal17+jGGBLMEv1VPx66vS08seJg
GChaz9K82gZxhvetoV8t8X1FGi8amK+xs4oEYSByaKz28RE3+9Jx0cjctkQ8UVgR2L8qEvUGu7Hu
7p44V5qnRb1kBs7ha+elPU04yK2aFpPVRSqIgAkCaId4MWxvBD9U9krALlLcNhvnk8MzhnMHedsQ
ywlUoyAYibB34JzzGPaclEzxtWxVfHVpuw9h7bT3d9rz7AbOzi0Hc3VWJf2mGIVvNDRWmIxfJhlp
6LKg/bAcArG3SLJen6VaIxFUwL7DXV6M6mS6LEiFfoQmoX1FpAFL9OszjEV1X29v2oTRds0PbXmN
S+NbdAABZnrjTn4+Pbn900zQNJJpQUyQKjcJoE0ydjvAEYPZ/ynQuiU1IHaMlzRoNLF29Qv70ORc
PZ9BsRFHAsLOJ56fAKQM8Xkj/XJmPkFPC/rCu/01RYavO//rgdwc61XihMTXzXgqjM7BZKzBcAgA
Htk1vNzXmh2lmTz5ZXdCiP8EiLdvG0QasRoU+Ijhx0ZIgxsIbsGFGyPVDHaocC/oX2oQjRyhznJt
SHg9bo52Jhx1UCl6dyc2fl/2KqJTTnohVKhJ5Byx5F2mszCfErIbbP9SKS4lOKCG0c6y/E+wcAke
6O+gsnfm6SnD/wyDEep0T2iZLh50hNV20bs4U8J+PCQKiXMIj52DrvVCA/4rHDgxzoVH+TqMTjPz
Wsv3/JzNLokh2+DE+5clAAyuOYhm7kkxgqyayv+xfycJL49wu3iugDBgUyD7ghsvrTFcBRgxiBPH
37kWzg1gpJvZqCA1wamtZeFMn6BCF3mWNOA9rCzsUoFyLaP29GLbGKx493aEKQk3S7FNB8t3ZWHm
vH6iF/wFFg7p53TbQfIM0C1oDC60PMa8RlBkwh5V5Jhkj1VDn0vNCTTsAb7V5cD44RJG0OFUZnBg
Gz2CvLkqxo08jfi9fHxqFrG4DogAXl7zyHXrUVS+mUsFJQTlPdoTQnUv/xjXLEswIpQ3tz975+R+
0y5Mv1t4188uXs62h5lOJ2Y6dsNvXRWxdUNpGcYbx3nP4Xhj3M4aSnsiyWkRwiQZGkQGet0GglTG
kxdAdp+1GJa597PpDuoYfyAKKXJorAHxAZLwZ8e1Jsv/d1x7OeUWFZGpLjVaHlw7WXZKAtQI6A4p
QP/AykyhKE6XFlajOiKmhJ4SaO9Pue6syIB42aeshDroPCSpM2c7Q5t/3oIg1pNxDWRkDPcmu/Sv
urnirkd/XLIk/8o3xevVu7fNSwwbQ6P/+0OvOvcURjZoknC5s6ZhPbG39xPB4f00O8sxPgvxesLV
KQWcKb/0keeUrdokQGP829ux00clWE62FH8d2HsenjvDGQIQIPkngin2O74upLQUk9BSyObpKPUM
hp1jntItx7L4gji2Mi+fIfxe7dKeJNg8lh/DoSH+hqPig+kFNgVc3ZvmxmChmLFn2LfnyowN0CMH
MFIA7c6iiXM39rTg0zFLNuQeX1Jfa82usdJZ04qKkPVCBstWVUDyGjcqh+VRb0zAx4xj5+bNQUSm
LEx9Hp3T3a5N3WzNhF5QQkfYb/ggH9DfyyGHy2hw/yuo39jW6ZdccMyhuHZ7OkrcbXYFBY1cZfh/
i9My+/UwJg2au+EPf7Cj3ntN2mN/81LXwOHaN5MJUBQhw+CPxZq58CWwZJs+GmuH4BIke7v+74r5
5p+axnHi2TMje9QPPow4d1G0ZxYbEoFQr8Az+lJ5BdjCYAMpe4dzlPp/jOIXk7tKa8UFo6I2ZceS
Fe+0J7KpgFBclnKBsn4TJ2UjCqtVrJ5jYp1ijWOlY4qRzlzXaab+3J/420hoDWROxoydxpYu5BO1
+JEpbXm98rwqEJzrKXTNwlEVUbrRXkrbrWGTS42biBMmTCnFzlcnL3b9iR8r8PSDmnUSSmpY0vM4
+mTYFBGH8BkjYVE96NTGhOg2CfX8rrOt/AySC8h54oLhAr9M/fIrvWkluNCQ+CPvEMDUg9Esnvis
vF2Iz7o0zptaj07yGY5eZcbhuFmCtbMgzj83oe3jTLK7t+hnRxfY46LmbtLYPsuUTFOTVhXlFeF8
Qr/rPH2WkBzrPB2zYpvIIAlq617ca7wMDwc1ZeebVl2FESoKqDw6/cBFSMIO8w4gxrK9ROkMVWaV
k+XkG+Ne8Blzx75BtS/GKV0o3LGwvKTogiobGOsMOdY8mjUzZ/XkHSTP3RSh0Cel1jpF9YnlG0hj
/C2/q5MDQJpNsUSWlBEL0pv+LPPnHurTbjmEdRLwZdjasUv0E+fwNtNnMJvibStq3i5qpmjqUIRG
w4UiKgShh1uhSdcHtkZiC+q3/hYxJJMF1GiiDHG/gOrdBLIuJyvjAoy1Q5ZbtbzIydc2VLiy4Zo3
q0KMfHjm4WPt9wSvdPNxDGEj6yl4N8CEkaYemC2QFQ2ZkaS5+RyiUNYvUHKvwik9R/DAng6GcvcY
wP7pHZXZiLaug9UHuGOra5lAYT1MR9Lu70lUMV/YB5MNtZkpkbHA9s5+e4VYJ5yGZUxWDILXLWKS
0QqEvQBR+Jv+52UlQXy1bXU/D+/RBVzxoVwI+ZNPTZ2ooJ/C8shKldk8uGJ78x5B8JpXeyUkFI81
jBzsW2ey8QmOEaqKTpBKw7nuS6plzgtUHpCTLqRhwWreDYb5Y9sOSyy0muyuuGkmg21v5lFPZzrM
Jmxm5wgX3GDmEa868wH2aLi2+h91p8j138necfy+vc5GMqQ+A3ajODr6y8X3hniovAWK5ivepUnO
lfNRYahURUGAIe+j/kZ67O7EUDuofLDO7XfmNcZunuurjtBIiKGo2RsbbuODUJsDo9rHnv0scQfb
m9+o/Gfl2rpdEe/9uS7/rb0NS8zrj02dM4NlZ1yQsJWpv5DP0mwYCxO16ma6eDDdEHOLhGJsP3g7
IuH6/8HY69qhDYTSR5dU4WnlXtCMDy3hUZk+EpCCuUTh8utwtptOKnObv/gPcDTUyEcX5x4oTQe6
4SfglVPlUk9lWhJ3j6P7M3q8rVqvvl5bKSF2u9ZqFT23+tyLkGwRiGjPizRjyenRBLWDK+ZFPv//
OJF8NIP3pUEvNmLWGtr65pUFxbnWdoP44n/4c22PhJ+u7tHbIS2wfuLTzAixZYd5oKrlFUFKkFZO
mdLzYQPEGwJitn1kGNfJpAx1Xs9hCnK2ZsZG+6wgE02gobG31O4wf43tHEQAkmnDhyu6mFqPhJNp
vCJ3iYWwTjqeH+NnGd1vI1KZ1uVhnb3EVR2/THzDDuQcYufCSimHh+WowVNwRJlWmzkleJzt++mj
5wpTKbsYYgHZGIwOemEoc2/+auCck79L0JydiYF4vE7lcbJMdxXceuIWjJ3BTPra1sUXkJEMqB5E
46Ox1BYYh02eFc/l4DXL4/swBqMdkimDAMb1jSg1/ErP1FNpRZrP7ky82lixEFS1Z0YsBVVzhq+N
Y95YMzYwNxwF3pkqbzdcdkpspUEwKSSedhcvv0ev8uCVbHIvDSyuRAAMMWYFIHeSFSRaRdMS6Fex
8fZLhNaoavWece0QoKJzXWK0E4fVlq3jOSRkr/bJYb296Fuehz89jXowtvFYV8loU/+zBPe3m7HO
XY+zlRcdQpDOVzUdtvTqhQZsrjCfPk7om9olqh9PbHGlNpGpGm50nx6L8d0EJciz6JBImHxbWj6T
OtXolWs1GZWDSHwIAXvUd5QcmQ0Z23x4iJAY8rV2JJilGtxHNitZZtNq+A3ltJEYwHk/dasscKrw
eP3a/ObYmzDzN9zV85AsGCgBVKt2Yka6ayRstMvRIDh6vM1hOq+1/yRIM3gQrXtAom4Yc5hcHPf2
Q6IhMu46a5z6R+ZyoE9VzYLjsSIG1Ef+j6GP0zu9aCGbV4igFPtF/YmEMofKXmYtEUtdFXvT5XrE
0QOH91j5jssPDb5TBmqh8cxX9Fr3kd9xYLk5yOPupYaAeEeOXyXT0q66bRjOS326UyIHA13iYbus
IeBLyCUorZTN9TzlRq7iEAQOq4zaNoaTmzscHjK32ClIKXF8l6TTb/uD7nTULzZfPZqFAXwxFrVk
5c+Q9iWtSqkF3ZXuYXVeSIX/KK/13JGh2uc59t3TtJRgZfSucYJ/SEC9NELmjp52eG3ZzE1mxyyC
XMXmSjLIpItY61fNGpVna45BPdqSYaQyTQCl6/4MRL3eKl6PkAk4l4sMx3giU7hgiYNTTIoTpoPu
hg8ldNtzgdKoR+52rvtD4al2+GLb1qlJnQVQamiXQll2GIFmfvnw5dAy5bSLe79dqj7xD9bTTvQi
gySztxfH6d+EybBz9pDqBQsVdiw7L9VeFixUyDHo4HxZ/G//6xgemYDm61ds9dE5IyTiKb5jDlgz
cUTv/6bFy4vqWjfTdXlLl9uHPcClLbndmW9X8ATROT896VcysBeRzhhFM6peq/uCp/X23mA5bIqG
2GWRKYFCQuJ+ln9koY5JORxgzgqaJDz44arV+vUDQOXT1mKejfmLfTusR93vCaevIr74XUzEuNRp
RCyUMngPspiIxhDi55x8PPbzUIsXDuUJZYA1tdsHCqMtW3ySsnxWsO8Z3tT/PKa+hmJN36eJf/7P
cV3KQyx/JOwpH1J2HtSVHU0pK6ytqSrJIUjXYuqqWAx+FVoE7e2ffODmyNzEyAgQTmtIXch60cIZ
y55R4JcJtRRwEUQDZTdcwBuJIEQAhX8uo7lok+LA4GVA4p2V7qPNHXXy9xNzwP5Ey8U2nzKlBAoY
8yKWgcgZcS/jdflrFqVSPdLQvLAH7Q/fgzWneZzvASmsRzjx0vfzKYT6Cr02QiQPuMpJuhX4vH5E
eFr2uAJLd0U7SZP5YMTrxKhtXW/NIAX8Vezr7GNwJQ8x5CJ4kjLd0EIZ368sm1vPyS5+WFAF0Tzm
PvxplZRU8ZD4vXdQUuiAk0+aCz6dnlIwFYgwHexnAfkkp56LXZG8fp0pKIDaPLTjDHt5oCqChl3P
BKSJiMKbUpbpXsStY7dnyBWwzMBHJAjovB2hXFi/1zykK+RWcrOqdKSPHiltGey+BaaI8v+co47Y
5yLUQtg1KUguWJx2MGR5Cuvg/SMl1dawXji6hNWnyASu/hA+JC1GSu3LnFi0xOsOMvqAqxgq3hOJ
H/4LWc96WEpqYVX90ZY4j1f7vbnt8kRLh0jffcMAltde4dFuL0at/qT5IrMzZV3IDf3Xw1WLNgG7
p1w+EEXUrVsba99FK2cmcjHQS7FW85uN2WMOror4IFDi1jfNf+dzIvj4wwhjeBhj+n3BIWZNnndn
itJ4SWY3rcfMKNayCKt1YnNwcbyjVQR6Wol2EarG6/HFNrRPoP1P4nOp0rbKx5lcVuxenWpw5Zw3
sD5vAPSdyYxjUit5Wc1FZhE0Im9Tifr4MUU3t4Eo1Zd6A9UDkh/93l5go1rgGHBChNEGnqC7uJWf
e8sNjJONDOzpUggIi9G5PYHY4a4rDlynp4g1CWRnsXyJn/Bv+FSbdD6ld4lJjrEnWyehDGupYCu0
iXQGMoIvjRECj9+oJoh5iVDlbz0HSsLyA+KDLOsWbL+MzspTyyjMA9kM+IsYGo6JU5EM9kA7ihCn
IewWuipVF0MAeOPnznWpUKdXREMP+WC8yL6D3dvJQRuPdrxVFumaE244haHyBOk7DtmKIPAR6d6M
aACg5WY51/09OQocQotPe6sjNMSRjlXJ3WS7bkiNAzBo9n8YW7+znJheXLYZXjGPeYru3AZzzPN4
MQr2gs4PFli5/PIw8dUKi5WHOTyIzpJ6c9hS6MASEv/7YDZHZ/MXf8yTWtgUG88RYdiRj2+wYqPx
1KmDO0lRRrPhuHVJq3lbuAr7IWrg8wZKoM0mDJhKdRSNpWSXovYmCFq15fN2sNUlD1TKXqi7L8bW
RXs6Yhc/FGNS2nhVWN+9s6QAtlkNLKBayYNq8pKBLcqy/xTPUgAW/y9j81u2rrskbmDNSve7obSX
v24/dZIR14CtaqMq0Awk7bKgD0xIAbw0VGIMKU8GoPxtfFCt+WNKgIQzCM2WGkUx9Y1jGQZvojWB
ZmdZb5XtSx7QtNfL80AfSWDB3tNjwdMlk1yLjPjufyFPKahVNzurwr1KS21kyKHX5dNdu2G8+u+e
XyS4B9Z9QfIc42AigQ6Ss+Q4+caeXBewqgF4qNdkajcqGIX4xPgMEh3oSl7cwTM65wVxlmauQXa4
kfzntxUICPOluTOWAD/5SlISUJMRFiBvvrYEUwoXtSM7iWAJza5n8cyHACGsFcQwhCHIUW9U7W6/
sY4hL1I7rlJk1aw5VnX6ZXXPTXd3VdJpHitN1nx+Br/YzIMNqgaoVmVMdd7rHw57Fy2ahJ3WKqPH
zWkrUCHK9+yJMa0c/aZeUF+AlWckFub2M7SIXOQPbPGgQ1CDEiJScyKBLUtnEi7F1YTg/Xf81iCa
znkU9zybyutUf8g70Tj5metgB6Ew0wHRRP4IiqoNy8LSMqu2FblJXCrh5R4pREmEYynXT4VWvlnF
sRsKrzqXTlviTJhS37AGh9vSyZ6Rhc8X++cFplNhOGpnoT2P7Ene4jri5Y7JPzV6e740y+diEvrA
Xq24XpHWcZP+P495oSn/wWv8VcN5wPhVnxra91On0+zkm+fgFk1hytegGhUbZbYiGIkiKc80EwUq
odsptxQ04+KPkr4TC4VgRUpZhpPWcdqmrBC0+d95vKNxkDksjPMhQJHwDkPeTkz6SUPKCbRxCowi
+KB7NywlcDsZzrILL3QtY5su4wGgbzEZwYy2d+uhMrelHlIlJ8QgxknR8m+HF7sKLBCRkMdJ5iBp
sIPlYsNxnukU4J7gL+JAFVhaUoL5VH07v1J1bXJeYBSUr/k1QDjil7i25bYjya6dNSUYXv4lmbJo
hWa8k4tEuNJrUEEwFfm9Z0lRYWIBjKVCE8104eGL8QfRUEDEgskJO7gUM8O9b8qxSm/buLKMCB3t
HFSqL9qyH95Fe+XJrQ/A+e22ZSry2wVurvIk8PURLujyL5dlqVc3Yz3XEXbA+Lswb7GYJmG6kyRX
t6y7wqbwgT5udPJVclff8dJAWenT7b6lhsOhP1H2Vn9E+e7WZJOS4nVMLbSpdQC+tDeA3YldYZO+
PYZB6Kup9Fi/ZqPvIzvMIE9fOa1S8MrezvCBpHTIJ132sp7eIpMPV2ZmrbR2E/LyCCqqN/q6Qw/7
aY7iHS6/0BMUPiG1cgUNdFW3/1yYt4AglY82p23NQAnp/fK0445mgscdoGrlP9uhCl+ySac3abxO
4t9FP5VsNgxDKRl3nrP+xJix/TRYoBKbZn7QlzGciontz/KpLxOOA1f1Q0PNDorJs3qNULTJZur+
yRYP/eJgZ+6dSmn3hLEbteEyqtz/J1APXulb6dPnZkrXFgWWNO6YS7Ymgt7i7ZgeH9X2hkJ4oJRm
Vi9ufWbv6URBSL2AU/yxgF1TEX6Xg18SHfJ6sgL8IESTxPeHdpOullu3VR9hD0GU+Z8JC+/VzF+m
zrAKksP4+sOVuQ1IwEP7D1Ea7SS7X/npKbi18jQxf8oI7IaIVZoTSnpnvpr4ob/WtopMEw5LqSuh
JRmoiJN43haR0NTKmbWJ+6Jd9n0WuLD/9+oEdv1CsTG8uNWbXySqMFTHZajVsvtSF6eHj2IVNaeL
/sF5g3KqHuouZUUoWPdz+uauoAMsnGVS+h0HhcydSyVMA4qGIPIzq+uWiCGMenJICPn6hhNcYPB+
Q89ocDpFWv9XGzDaY0FEUZpAfGEsw3SetnB0QHUeS2DcNNhUOqvJ8n6FpQB6cKFl6H4x7SLMr+gN
LNmkQJq2PlqJqUzT7mOvGz9xgCTUXFCZebSYQYPL4zhUN1hDC9udwRJ7yx60fU+Bir9he6SJELt4
IjXvqDT6NOCQ8uxuXGKrNh6OwLj/WgqcBrbk2J3hshWjnTKSX3x72k78wtO+34wEgKMvbl7aZYLR
Dubc57phc0eR+MpDSzdZ/txH9Yd6isSkFpA5+ySBCHqW6WgPsFYb8OuJM2f3cpRl0ldOAwwlEP+w
YHs5IFAAbczkXvdhATWpgL4wbEETPGMuqwTck33Eluc0Rv7rhPU9oWnjRfCXO75PqOtx0rnmRcpw
4vYKWhWqnV2jyd6wtlfla7bFRPceuoYOS1VasOQcxFI/Tf+IVZW3Aqi1wdAV3BqZjNAwvfqkyOe8
fFLCNWcoxcKS29bwPROruFnIRNbDpgNTlfyzEkPdgrA4hhODCZf2nQcWioe+sdwDliwY+q0H6nZF
lQdZQKEANBzRUMqFgHFh7sa4cofa6gh5gFq4qqcXAxTpS1oMfBKvETkf+RaTqCwaJcznSmgHcMad
atz23sQOpgYPtFRT3m/az/7B8gUcke8jEXLW4qNjeYYVxL2ycbxPmxFxRHC3WTwClxCVxObrVMx6
OciZCz+ioDLPZz0M7Whf9AwUyy2Hn6hpiADHwLjarWLiGDDzDGMl8XNJuTW/V/onTKiL5XPbzmx/
rwgefNK4f0ddEWI89vXKV8PhU5YqKD+ILJFeJvmI1OydUwFAfB75EzqknTep0GU5+uBAzbcZxiFM
kAiNCXqhbO8KdM2pHMBjqJAlsFcAcm0v2wXxNhbUOWjV2Iz25E2u5ctXM0S3EISjuPNlyMzjPNXJ
eqKzfJ/V7GGECxBvWOUj1xYNuxPLdLftyFh3kHeEzvyxqF1lvWBAOpJIMY/lFD6D9xoDUDaDxk04
89/7AfqtdPrEP8v7uEplSGUXqtZ9BKPM1u42QxtbQmIa97OInze9S2M23HWX+BT0XWp4x6qdhOaz
aEzwjSIZhnQCn8LFRe3STZ2pM3FquXdBzOZtJq5TsuKXnjbcUDtIL7ramDORt0LMkjXvpdIidmsV
1HMB1Tyr5wmMRJmJRedDmCg6S9r4i+8URBIFjRm+5EJmMP4KaJZxndZDbkPaFu6NgvOnv8EMMpU5
nwA48AIq+TJTYnmJYCBS1PmqjksEXlLo3hKZKUp5SKwAiKCFHNJaYVGda9CUYBjXe4Yzl6ZaSTuN
Y68MluUr2OCX9SYmKL5M3ZzKwxwm6tl30mQpGBYDdtMQNVQACJPxbmXdzh4lJuGhAPR5UkHizLlh
YhxWrjh3o/+7DsllUx6R4FCXX1p8APbil2AMeCUQUF6FJyLubwwY78ArL9INSEG6BmYlHDrR9+qG
p/aeBWBOC3dfQCyKtBbtPYueKlZlgUZwPPvVwL3w7cT58PvFKKGbyrhkkZF7+59mcskU/N7bAEzP
EuKKJwmWTkm6vfMqQtEOlnCSZ0HdyVhTJ9IENDkegvJTn0YE/S+NDZPnvnYOlpRUoJfpgYS6/Hjz
k/v3F2d7BH42+2XFC+VPKuGV4jdIgFR1gxju/N9LUYRGB7NdDTCDlxmzfdwrALuSRgiqRgr/CGUe
OWqJZzNdQ2a+jOxtmdH7IKHT99x7Qllg/zBf//CfV3g1lJfPgyfNbwKqbKO3xvgyTumuZjnWwsM8
7ZxJuK6WEw1Z2Pul50qB7kM220caYaY75zh37jPrLDcdm/WnYKl9oTLaGIypgpNJp+gxODKFOZXz
GjxJ6vVtDTvI4hCT9ecR+ChaUIMiv+MzHfR0DYLcsL/QnU/T0a5fV2kvTyhX5UpAEB1Xs7sU9prY
bSh+eQEutxEnLXLwNJoW2jcusL3576h/5rZO4L2LRlUE7r40t9qpCEz9S7ABHV5wzFahEAUyVw0f
GO4LTbDzMG8tmkYY0/3kGQbxPCWeQgG5DtyRBZzn3CARxWDuHmp08Ms5UUd9G1xoTSSmlMIr529v
Ap7aihy2ZiowVaPD/eJSHmq+fP6QnMYXil0uW7frqcVLIw4vkuUbjyaurmlpz80tpITq7DnXm79p
JN3uN/Bdg/SDWSLNk07nf9/oRaE6Ainbd4ynRn17LTsJsf8vQfI5sjO32uLxsgLCaFu94WfA0Tsw
2bndsGbZlOssQaFUvRmjaO5D2Q3s3P3Xtc0qQMlIr76+SL5j/dac67NE7f5Fto8qR2MUc3hvFYNv
+qfffHWL2PolILkx6JcXPw327LnOq/W4xFI+Tg2gy6JL3ZiARQ2gblEqr+vTMZdNAYI0mKmrsTOW
+XWph+Yjs3vLvf9GDttIJeB3iFo+ro63PLT6wTSxeO5Nfq3FcUxCdkYj34Ckd4C3jDxvw/Q/yhr9
881WiMgZRSRr7GhCdPixFVNRe54sNQz5zVNixPLA6ywj+iBuZSFwiYcR+D/lJ7RtHbVxqBHOrInV
1cvFTCWwur42ReWvBIn7JqlNG4uN3Zz1pPGxVOBQTaAwDlcXWq9QiB9MwO0SA1xBu5YxheFVzkCr
Pc/1J092Aw8DWTyx1814WOqVoGCOAvwm46GDvOtRgr7HCgt8qm/fE7W8lAcyJ+PeTmw2aq+CYo45
oeok3LDwnA5uH/kyRlFs1C4KjPHKss2fFnEvnOYsc8pi61B68Fk5ba2VZAcJBjXmjcFPaMHrl56X
s7d3Vf9HywFYTtk5Opprw5TH0tNJkpn5rAC33uWwCrFoWfgME5eiE0yVn4TMnuRjZOdLd6uk9sLM
YlXshiYiSKeZluM5e17BB4By1IAVdsiRRgEIjHPkGUBGugkB9De/kowffupUyCBK+wsL7YtN+Nb2
9hVaHeYnf7K2D7tp+z/TY2lMkcMJpP0jZr17x7/Pde1/5Rij0OFla8n6/vIr0PhXoip4JRZGuJT3
jzp7HhpTr3Ci4+TeR25sZsutOpPRtH/hNuUtIEHd027/3/olN+TbmCarOvG/fP4MDdE0uxXIXtMG
7ZcAW5wDEk8or/5zMEVyCJH+hOr0+LvoexFz/QSc8fbtPoqnv/wokyG4D1BXN+5gQi/CeWOh/HnJ
1AVcgAazv5LnUPesTJ42InxiYGU5xoWBebsTl1CMhUjTEikQfmt+MOGyWmQotLBGlXtPjHGiehD5
rQqvAhrnaRbsQesHeeLzAzwLdFgkkDLvuWAAa7AGHlvbtHUfgXCefydLlgtM7YPiRU6zKocicu+V
dbtiGHNm/fCHusjXWgLskMn6zIYCK48qo714YUVESNp6ono8mIt1GlCTrWGTQQj1e8q8SW/LVhEA
3rt5d/Fhws9WWZi5ej9mguxj3GzkZZOLTqN4UGRLwv/DzjSMwgbSOMOhZ6KkOQsvNrgZtNa/dpp3
+VjH8K0cME5+SXU8dR2xmj6WVwvWR/yxIGXbEmFLObrzM8tUtD8swQpD40rjcQXHkvagrrif8Pa9
6d8ARVUkGVWQWJrmhvc4WJHCZX79IG9vtVpHQ0UE8mLuo0QbNsLn0gcT6UE9Vl/VB6tRPk7cv4p4
ql/F09JGZ74sUM2Gp1xAV1XPotDEmFcbqrZIZxbFRRjtC10tW4YlxWDuM2XZ96dTacRFJLzcPqho
lf1ZlMdyHMe6Y09LOLJC9EGxoWCqZyq2Vd3gZRUSskh2r2/YU2UOBHThxcAajXzoFXB+iTi9zWjy
Bo61TXzd/o/R3bkel6jYNlUmKEsgNwnZu80LN8/GYaGEKbMDlpZ0IlaJVVHWsZHzI9kwhoAKd88T
Vw0R19Jw1LHdVaKs/OPLJ2UPmroWKiwcCTFoYXLeAiJ4tDvRCqfrZXkNdMRJ8iwOKbv8EwVyB7RQ
g0YsZ07uq7smtVxjL0OO4xvLQdig2WZWFKqJ3tjTCaMBGIjeEzzTQHj8Mp6DuHNBTlsHHBoy9/Q9
sxWDVcX7cfWAHEhMPJwUiihTkeBpY423PhVyQU09kvlyWjOJhrE52QdQ7JBu1PWEVNAJZmE0IuFy
dCI+EJcR3WT7MoCtKv7rPModFTOo38pybx7xgx9QoreYEOfhFCR7bfef/UxDLcKELHsvfNhScysh
hZogtBA1i4jNGyCwezM6Y/MURZb8rMRokeCzalGfCBeBluw1ox4VGfleRgmrtAKh4PzJ2+z5eq59
9dDCzWl6G1bljg8x17aYvJfZ8rOMHo5irQOyA8ZeouyTKw5yiYXv7VQNOGumEijwc7dNPaXVx1uM
zT7iXinqzBm5Fua7Sy+kpmqhxLNImdaiakuWi0y9i/3YlfQkPy71MZuMoxW77bQrYeWaLNZU+w5w
ot2/MlHo5rOP5rn8EXbNh54qFgROs1L37AsAmZ+nrp2BaJHfvx/PExwzcIIiYbiNo4OwaXYjYFaZ
0kFHA67zB+jro0pegW0ecSXky2e9ahKa5nPXjIQxWzSWibcuIKPaRl0ccdOI6opR9TBDPf7YaVSi
o2ubAS3yxHcjDsud85jQQTKj4fugNzzvDnaZ0GziJlVRZkmb37Q8PtAbnQEmA6ei402H3sQzdtdQ
w2GyFvfHIh9A8GPJ1L/4woXfcxVopNLFldYYLHggnVccy4AKfwF8xD2RfjCS8IyOFeIANWJxiiHI
H3xqsuMpXE4fkSjKSDPb7/jvbnR4CjxgC5IQDBnOqZ44Cc0nQuhDYrU7orMK2RlrtqlZv1+QuAln
JH6aI2IJKSpv0i6BvfcyTwsuCeW30pGn4inGeWiqKMJ6wUJaSkFNGPHljGXsquQMLOeeowRgOSxH
Qo3lGNDUzK3inY0eOTELTg4O3qTUphvjpUpRipwXcb18oOjN5a3ZSvuFaFtM3TIqPmYfbbNNXOVG
dqqwuaimCR6jeL/Ih9nxNuM3Cw+T18r+VcsXSGNOHW/xdor1CoWeOmXsjvtAt/XVQlgoFmlE6VWt
SUWa5quiavCkWPjQiv9guShqq1IB0Wla325++o8RZMjCkhmVUnDbn/WZ1WSD3I0+3VUPKTEWYMSd
2VJD4lbETc4Kpifga4h/dhJfrk9OCxX8jqImbFfXycK+1OwqSMqvbGR6LRqsCydCX4iVCUblhr7b
NG9Omww9Dtpf9FPeAu/Q7VriI1j35uzkTZ+wTT+T1ea/S6+TU6C97WxE75iii283qHhzuObPlgA9
b42QNTP4qr5D1lZxGN7/UrSiTioHyyD+UfU3TzhS2Li1xRlyN6D8/3eMCefWPMP/CdBue9lQi2o5
a2mI+uiNwVKXRf5T2I2Qm88YHCL1EFB68btpTVSCT8h1mSfMJvtp3UENrTZQbb+qaHaN6wjuDR4+
4cAXj1ZntjI2Wcx1xwx/PYbe/JzD3rg7mUI47BYD+G45xBgPVqI9B0qipGNVjQRSjHgnMhfGhz62
YP5kLXjwXHRNOITeQAfvLXwO/ZESfbgJvlAPwFWR3e+1Vrd5LIQQFXX6hU08a/9BxHf4qPPO0yxr
VH/Rp6BeLcJ00TJa7XcTbdB6qLXzGGPAjMRmzZfYkXRgeeLoUxdK33kWD7oFeNIuprgUT0j1pRfV
j//zDZOxJ4kcQ96KE6O0GCGPfTtDYuZ9amSvPbALsgLss55h8/WqOvJ0biYQeSg6Ea45fkTbTGWI
SzWX2jMJNCaDc3RoaTb4LzqCA7KsqraeeWQWtqoKvgp0uLiKXWVngjyK/jplX7hpjy8h2g2pT7pY
2zLexf2qtU6WOJZ/MTBwMqTYGj6YszH0O9zV9gtXjXochwHADhzHfMHWJEkNj/8tUhjYiZOtALoi
4dkaMlLB3iidxY0tPZML6rr4Py2b95EhyweGlY0Qp9S91TvdJLbCJ64PHyh0LYcCcjTLpZzcH42x
oAA2f2k2FM0YQcLFva7m0+RS9MsmeKzSJ/XF+HKOX2Zdhq5Yu6ToKIS2zlTVM0y3W64QllLBlU8m
BLcNj3e13SmTuRO14MRYV532gLXfainTDIv5jUFiS/ayUDk1DHXU26fO7B1PqT+fdjKEfnQK3VJe
vkRQHUldPiZ495djzmWXAnWtJXJ87uiAIt4GOMilwpGMFifh80gBDJzBUy4NzV9g1NRuR8JXgAj0
yWmDKzj5KGBTNFxfhTmEt+3rDlY+Tz9sA4cgH5CEESLeVyEkYvbspl4B4i0H8kG7MtlJWj5ubVQs
nE/lYu1oZnK5DmSk1AkEEIrEZN+7KDM6VcO/qM1Xis4h5Dp5OBtWiZ23fcfqr7VKIVWPqMyATPx6
0RgkxyHBzBLyHdtCruGuqcvS3iKLAWtEvHnADVWOYrtCUwNqcI3qR8JMhxTfRKwY/VYEd3MDMNO+
VNRyrjyaoL7NKIrT4zdica+oWMR9+2+m0Tz7uZAyZFwzQPve0j7jxzMfxRKrq1C5jAD8tSAL7ntm
sCBjtgLczoBsHzIJPjQocC2xK1FRo9d5wKJkwC/Z5qLlYE8QngTDcyuTJNFstisqTsIjfWQ3ytb8
Ne3BPm0R26syKP7/sdIlovrzo2h7D2ZNjPFEF6bXJCCld0UaTSo0lvb9vogOEPS1f9317sSkyCya
lfmkTmy9bPvGyFz9MmZTJAZg81DmGN97tNm7djM5f/+jmbvJRUwlRuWL5cQJq5BQ0JG1R7CrazuD
jvjXsZMLQLdjsytrh9U+tdVufB7M6PxXZTeoBse9eYCqThLTmGdUBrf+XAQf5BqcnVp849UWejYL
LcN8+ymzBwOXBVPRzmX+mE2Rf/bEpaZUr7PvM2M3xp9bcTTnEin3eBYpHFGSZs65aYOVMbMYa47d
J134N7AA9BDlgW+6AMmAGo4CmjjC3TGfME10R5BR1bP/CSNQCIyZZ0znjBqC8+6e5gMffqChBpA/
vzQWE0PpDlACcedOV2TOtLmiASUpuS9+ozgBDBIsu1UV9MiVtj/FV9xGbbqTm2Gc/saPEoIDpLab
td0iI+41PtHRRJWxUs1UrHsu8DLRgh0Ar/xDf9CT9Qe5T6asARTSVlXjg5rF8FiZhbvuBxzF17ES
RVA3oE5Ut3SSL8mTu5/hQGNgM3Y8i1OxxSvjB8ndQScyeXqU1s6RnJshcZSoMig15DA5tHuR9NsM
rFqY82KnGuf1OWVAtHVLlSuuG3edm5/CVb2b1G5fYbFTBjVUqvXnEqjjipu58u7U/g+g3bjyl0s1
4fGS1X5IbjbR1UvG75OtMC6vWCSxEEK3SPFSGu8Kexl/DS1Rk47J3+jeiT6jxVNcalU0BN75ycAX
xRqBp+xrtREgYXMwuEY5r1reHCo5BkZxjDAoCJ1wfQf9nQGZfT6dXK7ERf8X4nWBwbcwhGJ9A2WG
+FFf8RWy3/uvqSTBqrKU9Xqu+GG3GmFkOT52IxrTu/tsFk1d7ZFHXJgCpEVOhkDRuFLfMHaJ8TiK
QKWZVOgd9Q3YBWjuduCXB80+ENhpNpV4z5fJzL08BARLegJAOXTjPu6aDTVmuEWA9uWfYudK6Ktc
0GN2qoa5+rg48gWpRhpjWEkMwjAjzR801nTwFn0WLlV+pi0dLds54keaOKT/Bt7TM2c7UsHHZlhX
Wz5duOQ+XoPeU2knKcnvfVHDb8itDjb2cBD3FrRnJoKAKm+jvM5BtaOH3g9ijly4WyQSkfxYltST
ACDd7AQ/K4ZvCwtdqT+ahW7dCHtt+s8kgjbZE+oxQxr+RIDNwAJMyYY1ezwG7uiUM/SIXbGG/u37
ACOoJgYcQELMuhiJyEJ/TL45u58Oe2L2iXSUkmre2SLR5fwE7I92Rhxaip3x1SK4CBwQIxofCrFy
mE+ZEJvFSJBMp2mln0KngrjmC5RckBd8bqvXYm09zzJcfj/y7S0WxqX1VQv/elftxU/YN35KZFsN
3ZMYZLIN8KTFAXBcXio9lGt/2eo1EcFgJljiV2rb03yqC0p0dyWyCHZiSnGOUQdXhF4ESNDYIkDJ
eAAgo0NvYyxEK5UOoSZ5kU0Y+ALe9c6Q+dYLwMWksir/jc2EiiZ/5+LyzfHJ8UOSSsxZ25haMBGJ
HOCCTGKspn/8SiWrUHiTZf01vYMunObNULln1Hh7UEqDLsnRFOM6/aNaWyHZRfe4Y4i7iJwQjmso
nk8a+V93Ndi1zvINU8IV5zgXCnGap93HOdSpTjdinASTAasFqxtJ06EfVA1OsIagXDijxnDUQwQ6
uwbK/+RbwS9H/gT5gRq9I+csMdhIzNIj5Nr364x626+BUyH7fin6RzRB9X/sxtFbUHk2EmJwKb/f
85eESdV0HQ7p4g5ErE9JuX0XIeVXNI9RNkdhkHd99W7z9paXDdJGnzTK7t1Bk1iC8wrG6AVvnLUQ
vMerqgQ/SpCnbUzbC4G29zSf3L2gmwtRFc//+De1r6jYmbfMVotaoLgzGTI4x2QHv2s+Xnx5/hFB
tCGb30CW+hOxdZxwUDVSs2REZ1tg0VhYCMJkNHPuXVoZZUUnpWurV5DpWpoUWBJHG44jOatYR6d7
r99GtAB9dZq/GLUY/B7lM+7ctdOKJtA5JEJwtSGhvq9xvnkZMm1T8bhAiXbBglpJIZOr7cc8zrQ7
DrjpgJeEfoCyV0Pent5Yca+Hs++PfbkiDDObhE9HBY2V7sJ6mIRwooNh2Xlg+SRA3wyPkH1aoK9A
MIxA+86IOhv5Xw0YCNufwRn5f12MBk2NkFeRVj7C9Vrx/MkBDmmIi7p/R6QIVPLs61678eTGT+EW
grlK7CS2ugSEmPDtxoagFFBZWogDPAJYcfmM8Syrs+BcTC5BcT1LQ7yeNdhNNOOT+oQ7hSHYYc0E
80VQxDP5pl07J7C8k+fBL6SF4CHJVaIOMYq6L8OzOhAqptZ58t+zeP1XnC5NDx5Mds79HoThcaeR
oDREHk19TcKIF9VZHHuD3YQ6Mi73HjpEhErDtxUgx7qZhmeEhCCmHYUWiqiyShjCpDEFndeIgbHI
p6esl2dPSkiTlQRoAgkLR+CEllnrtpmw//SfSUUqezbJWHNrjatyqnT41OgudDqMocO0tjObe+EP
3JbP1p462c1+28Efm8cbkyb+tsV/LuZwztQSQnYJt6MuCRpG//hKFpvEQEtx3X6QgqIB708XlTmH
S6CWb/hcpdK1d+FZRwKrgelIOW5TJei/pfvgoVwG2VH1lQGwLMNPCxNKfHmYqpcZ/FjeHOl1HFbM
FVBosgMdq3be2ePUvajyphtPCy1a99Ic5LLR7lSptwkyogJLiaLjuGjNMJB5XGCv1ZAOz9eecA+C
b9kOH/50tO0G1H6YGJEuEb4Y5oTbodcLhPG2znwUq9f1c1L0nYu2o7mqWA/GH2QsLBNxmuNpxhTr
pQAariPCtLyXZh/o4+mYcKuRPyieRVYbEAyuhqzpbokulng9dwveXY0Y5SlhfpG4aMho4DSBTGZt
WeLNrwawcfYCgrJ8THBiStXbO1lAOKV72Ae2v190pKxb9fRJugTAO83TYOGbqodsctGGEoj74551
j8DN0YCr2o1YP4+gvm2iMmx7vYLyYfXzAE+01V4mg65mXaFlJ8pIU7zFNYIcFm6KpzsNxJRZlOlT
hyH5PMI/pboWF5taJP0OYPWqPPHimbcdBlanxHBsSS/a8kmZzh2TxD3QeEJt7ZgN/LIx3kqOjInP
EFU+Xt8nz7eZl5Lcnzg1zqgR/5UMEmeHws0g8oGa4Yu0J0+Hie9nH44RJ2H5HWbF5Q42G184o6oP
mrLWBjoUK4mB4jT27ckGLX6lrKuZPZUB9VdouVan9AK/0HA3no0ZiDgVrig804zC6K+gwZFA7RC+
RD7OVLmCyvCdCriMkSEYFmIfQbERApxGM2cvTVOjjW/CRAbtRwbwctLmiY83OYs8QiFqfwbz18b/
5xbeosl1DQd/W+S1krDAKTUxEfObj2UyzRFacn8ORHyNoy03CtJ/P5DlmrmMemLeXr69iCnZmQqu
wW+veIeA4C5W/3I3pYDxjOA9rFuLlxpXFY6C+dYjdY1uNfQaSKlGwBP+U9jwVNAHv4SZ37zg1iZt
v6DqhXSxKNcJRMFgr7tIBgfwaX157QGmyndr/c2VomWTMy0wSQLMy8u5E/lpKXd1JyjX8XAHBP3V
dPbi+v4Sc3WYc7UOgK2c4+8D6R6pLYFrKUWKyOR8pqOpHlSGPGBVRloPaoTiDa0ZGnXgl5b3dP1r
/vjutew96TsfWxo+5O72lYuMY8DTeAtXMBKyKAS2O1oclBJ9MOBS1ZQU5UUtyzPocr8e3dXOe2pl
EYEDDYSU3Z5hpo7M+kfZCWTypXhc6oNKO3ZqqZ2g8WoH1VF55g8VhpaYhd6tYXB+wZO3UMuY2WaK
xNhViDBaI9D1N5CAtMy2zxLQili2/VCDwd8lIr6UIAkhMia/XNCGS9iV75MK4SK+4w3I/huFp+HG
ZkHz3sLI62LvVPaX0YWggLoGCesgKXfCwegkFij2oUy36fRyF8hVPO84uLrKv+9KLb30Rj3p6oi8
oQY68BN9MG34YiHWmPHCGq2vkd7Z4TCUdRzEgTKL6RTzHfiMZ1tl2qvTWIbRSf8cR+k4FHAezr0s
wrkxQMzWLE80boIOOzDlvujI1eYtDHrqcAhA8tylmQJdyYm2QaHtaaYc2oPajErBxxGA2SF9zMmF
GnRqlreZMAYn01R7dI9EZt9uNK2TI6zvhNwF83J6KykLg9FM6qotRrXP1p3G/9yBJ/SHFBzLEJLN
NvNezDBbOmNcRUWLwE6Jp/esnyi2HFwiins8K7gZN/X9cNcDXkivYX4doUpgpQJMaFt/ZTkks2GI
h5yjHtOXv9XSUZ+OKAmpcR7NsymYW/LMOVhCEsxN0XjO3rr2rpvU6UrXABP2gGkOV2rmT24RUlnC
vEUyj9QyOVg4jFAXgxVLQP/ofJ+6Xc/6EVKCnGjW9ANJr5EUcGL8pDg5RQeYsoPOFmFfLYdjuVxb
cNIsurvHqLw2rKyYDNz5NGIcvGI/txtgEURG8XZLYZtaLitD99q5MsyAeY7P5MbKB6kYEtcQph1X
3GhsNOTicAtLqPf0DdqJ+6+mHPI8ge2m/Cw/rbdgaW1wFuquyXIAb/5Q7tWCREzF+2SIF6ayOqka
LmMsp8y3n4lshUyZoG1S5OzkoAUWpk8O7Bk8D6G04r8ByAY9gnTizRllUwdBNcfP625gCrfvS3bS
YQ/Zy7xVAv5t2ZZReLDgc+KfyNCErFFRYl3mw3yI0oOO7Hgn6UYC52b1zWEovB2f/3atrCmPgNAN
W0eQEziQFDX1J/rGJqvV8AJXwwB97EbwBaNCYha54B1PJFg9h9LeV1F29+JqEbtNQL1Dp7p0bDHT
e3iVhXmKXF0BA89Gx5kG/vwx5YqOobNqNY08GtkBhvwXpasgPPARlf7YjWLqCnj8wjGChP20LKuE
zqP8kbBMEs8Qeppb6j2nLQx17d4zgG+OZLPfEvpfi/+0HGThrxELv9SHQ1wm5A9tbd05K2bBU4cM
Pjs+ncIJKnhpsbLAazst890ANuAWNEIVXVCQlFDb3j+8iiPc4E0EeQ8rjLWFkDsjfSRLhiszBFsP
qjZLTWrntQ6A76rQmqYVMtbKhiguSjpTbTWnJoe/AY7KZIXcIz2+fHdnYIkb0jLmw/0Pkxzw19Yw
Q5XoNfxyxq38BMDYXqq0me0ASAPjlIA/XeLGBEobqotxCVh0tCcGPzHdFS1r2yFcR+Dpj1taC6oS
PykfTzYdAyuKq8PALJL1nKT1VpDMr0vTlnmu8ySNzEblOqbCYOQj+iewAn2lykrI72UbTklhDg4P
OEC8Wi5CBN8MYXg/y3yr478NeD67uDB06MQW8/sTHeV8l+vvt8giDcrULORiYxCjGwFPRir/44ia
I/4FRwzZHzrwmCzkgsuQveX9s/GECsDVe7IjOLiucxJprxquaDnYKy/nOE7hY9SR4bMD+RqrKjVi
1CIJ4o2B7Gp6fMJ3mAQopEImNBvfqWqIgrYyFJXILf302Lbrov4IcuxW/Lltz81zCrDZ6CHeN4Z2
BnZU59aHW3fzLHWt2f633dzYx8/0KBaNASbUhAHY4azRR9rVK4bNNOiJHCWXLF3m+Pp1toTeZ+SL
mNMaWVqQIecK3hMdZ6EXT+MMd+ec7ThHgfFiu/MwtJHUqPxqdU5N32ZfUq5xyqGHeTf9GoKmHOHp
V0cgrgCGsRlaAizFSD+XFvwYErvT3bXI4gqg17f/rgVAwJzXKxLkOSOrXGt9kAmMAFhUdwiI5w/9
p3FPEMr+C95eX+xeN6Ac1fvJ4kMPoSeCNTqSOQmOxGsS9cYTNFYAohrXoz+QqZtjHGE5AIjbp2qB
RoBjhJO+Hiyl3xl44HoK4M8suLVV8LinqCI1m9T5/8qCMJIO0R7Qq0tcMuLFb8QKUCXMAZNVpj3P
W9o83TZUtNaCnwcnS8TdrSOsSlNfQ3UI6anGufSnn/8wj+5ZhUfwMe/0bOvHG3M14U+8/X2G9Nhb
mkPAd36FhAvBxNq2+dSmbUfR1fhrY/VCQ1+TdI/51SM0LHkL/eo8NncBeZfZyCXBzwLXeyequJ0i
QYmI2xihm2A6yvcHKUGyoAhB9oRBGehSwMu3LEIcmJpNoz+J7tWfWG//VbKn0wDdFhL5t7OS0EOx
gNbU52LLRYJLdE6/GNS28DYStySWz/MrY4r5u4PPmW7nBBEujKItO+/vtdH1g0IP+Mfs38YIBS/1
6Vcd1LIUyLdh+kdtiK15hN6hXj1tX97OmgolUbrwyzFrZGTrzkeo6DCYY8zHsx/Svq1THhXgQmN3
rlF2XHDY4mezean1K4jwgrwHZiLbA7ihOCTJ3f6y6ZYSCreRilPHUL4SSD4SC8NMDMrFpAW/DEAk
gcKNIXC3T6kv6KE9eBghzhGsHWGOSWjPS7YzscO+3RD69zFgOBxmryh18HeHN0n2vprFMLV3MLVz
1LitCDhC2O7P92ei9R0g15R0jA9c/xV2RQe9kqT9mZmqyzOvOgeAwnsc+JA4EJsE9r5LRVHBSGj0
Gf8iOop8g31aXCWxP9sGo/+rAeQfSV3hjLBnvtemRUtdYT+R41AXUY+1D1MVttviWIh8U4TMaY49
YfPALtvhJWqk2Lb/1kC5WxrpvuQc3krKYwUUBKPrmXzrJxLDDwr4ADpeL53hqMKMui27QWivLvxq
oznJ4fzsFPvwFU682lTh5yO9508HBJmt0baAgaIv6X1RfH8KO2kPzSt5ncnM7Ucplk4SiSLepnRm
KQ4v7+VZfex1Aiz0xSv3SfFc5YTC3PCxqm4wEuzyOf++9wq2nAnKxno7l7nFlHZKJXtDNqI2tP9W
PF1vdUn9JeR1bOxEl2GLJaV4eZ74Y2WGjGAIgo+lHOFMVTyCZ/DcCI8Jk/PTTOTfc8qLxnRCqAPQ
5WQIukZGNZWMDMg6ICq3LOih/qIZ0FqCYrpp44LJ+O/1ybX7KbIM3benlk9zYyUebnizaX6aMbQM
glXW+8RPcAPSRs4a60GH+oJT+2Nrxf9aGCS5jqT+WTDfP8cKUSOZQKYC4QsBRZ8JnGORLhWQz5NJ
AJ4lq7Ui27PHUBDU0kJQ1NYn1Jmnblr+n13pFhj+LkX2803OFFs5/AQASNliv6i6VKZfTVVvCOGN
CacaN7N8QclYgjw0QgOusgdWNFU79GiPYWNU53qiUf0Q4bG1JtdF7GslA2C0DKGVyHfUBAU6E1Qb
9gcH+T4+4q2askiPidPauFEXrAE1LUc77d7HD5zeXjOa7976uwVJWobIq/HKCV3YbY3BoTovGx/Z
N+trKaQNdc6sWhUkvK244R+3FbgbA8onXKaPO9UHylQdD3qJ74x+14pUXIc9Eslb61tLoKOmxJ95
eNOOtpCv5RaK46UNT7rSUsP3ELBqBxWPVzndxe2adgJrdAkHpInQk1YRhE+y5LikIo+JftbpTSK3
+G/pBIGD/bwhYwPCyAPhkjcL8+DyJvrdQtXkadFuMLI87D+RLucoDwQyxNx20+M0OZsbhb1iHOOF
Jct9uYU9ecnu9Fodpgs+vzLu7svWc+j1Dp64SRmFBQfBt4QTKZMAgfPdl4Pa7Ikzxyslb4mMX8iK
hIxNexP6YJCkF1Jgz6edMmG7ZTATQu+5V6X6QHTbL0N4c2fyrf7TCthIXVlTFYSMnge7GHa2+DBS
gcq1w/ylg9KPHopRh7JFey9e4dpRv+eYTlpn+NItT0VGkRfrDRz8A2oSuUPgsI57/fkcGNVGCU4e
NOPycjYJpzRLLIBTPiX0QsiydNx8auuBp2BeMMjEuvxx++KjJpdTyvV4BMG8ml0Uq7I1CWHdr0OZ
yvOxJhYhpwchlOwZ8W2TFMPkqMtgLvYKGtznkAHIFedhd3NXeLSTTcfQ5eboXK0P5DKvMHdpXp71
JkGisinuDCM0AFvKTEaFjJs/Ya3grXGhAVu7myZiKU1IitGIqdWMw4r3pKFyvaDrMlpI4HzSCNwn
0l6EvA1i92cvLm/MawRvZNEN92gl/4dqotGeJefKOZzXWkaJbo9d/59yKckIbiJYD062y31Mkga0
M9lTL97Wq0uG0+ap7P1Dz+zmtL0KeqhiGS12qqKOeseK+DwI8KsrONZ9cUgS3LHPqJ/K05p+cVBO
TKSnnWDISkmX3RxJIX+tRNXuq+ifFtrCeBp5PVWgbtVbaKG53fXYqsdALZunV/kM5hb5tTdB2nzv
AT9HZ1w1zwkHbv/lgCW2mKuAfyyIbDue2jGQXO17KLVZHR2mu6ecbK3fiFPT2GVAcXzsw6D0kYcO
Uc5isYxfY73qsOL7Fx373TDPJNuhH4B+lNwzaxnJr2cPVbHiCCQ7pDqvuAUot7nh38Ke2JdySbE0
dLpfahHNaByNooe7kOgviYoj3K4bya658MAdma9rM88j7O41m1dG4/9iyMz9shCVZcmO5bLb3o3l
6YccsbTwGzZ9TpyhWu8Q4UvIDGWGr3KNSq2bz6sru31XQ/6TSYLccoD7Je99LKwaSs9p2p8A2Go8
pjqDk0f5uUnWBWTX4/W59zmxDNxjuztK1uhDRfIEAWoK+CRpkZkO3ldowSFS+quJ5UDmYONyohZe
PFlJymiUweLYYWe/W3iNmb2fNcxkqEfKgQoUEUwmO6wwHqRfO4hz5UsFxFDBZqc5YwbEtruU3zmp
OsRD5fzQo7MbmCxqPHaaIBln4P/HfE2u2rW5a+See5OrflF62WmEnXPfiF022Wo8YufsZPP2vkRA
VXVpT0ioQhvFpGYVbWSbaCoN70rWJ6rNF1PYk4FEUW0tP/SsaO8Ei/x3CGTepKXfT8o036PMzWWD
9amp9/KhywKu9Vil9ZmiYIQWG6/W6/9ILekvv3XZjsFRQui428kr3074zd2q+q+gBs5frcAgm2O+
xrh6MUY1+c5jqnbm1du7r87g4CtFCqGbZY0f3OPB6vOKnzXkuvPEQquy1q0rgGc9RTWsKbwoSLcz
9IvH+IOSs9SeMTAxgnxiLzXMthkjnvdVJtH8rrS3tbhmxwdkiDP+O/nc6na7MvzUizJZcHxQRe9A
dWDuq5MYTZ0L0rpdnJfXsJNCdGpQmKBJ7S8XBKsFYs7qPDCXDBDNebBD1K0SNS0nHZyPN9+Djlil
64Ql0yJDxWAqsMuFF3/S7gZhzrNzjj7wuSjkya4HDuc7MEYIXUjodS/So8A7GPMtpUA6Oh2V1Lto
jqGtg2LF4yqEyInp4NPHWmWMVpudIVuhi9GDyv3yQ586SYBODMM9r+HjkxZRw+Vw87k0+tOxIRJj
dLhVhw3+grsz+t1abwJaKXH9mF69oqFynvO8Cxml9WnwPDWokOvnuuUQpAvNEQgsdl5xbMw58EIU
PZjRKKlhrfaf599Vnuu7j0OCpcLdg5r5+UbxtDYhtOuo8sZLAg3h8HsiL0Y7O5bAOfIt8FTgUIpG
Jl2Zom091IlujDBQgHYykaa/UqxIPIATd9DfwyLglDSa5ZbfP2xcvrEXe8BejvWpEVmCWXmW4rvI
HiYhifZkPp8TYpPr8fpXjJu+VmkYsRsss3ldOWy7gPlwm8jXMAEqlwhcWWUQgRGTMHpDB59dVhCL
zC+/UXqv2iD4CWJH7SMv0S1NDZz8zyMBD6Y2bDSI9RM2FchWP+YIs+aan9IOTlhAbPYR6JKjoMYj
HqGaTDtbF6RVI2/8gfgdEo776euzF2NpVNOly8LAWJnAhhG9Qkex4x0byv+xkicfSBpSA946Msdn
PVdd5mfHxw7EZVP4QfFQVQ9SS5wf51LVtaQalv1scKTWmOZ7QnEIcFz+tUZ9dcOAwu2dzSPpQUVu
PVzIXoXL6FKjX3A+HtZZtaauUA+hBxOt+gblH77YITonj0dRqxnlAO96HVNXlMfnhyv/j3Wsohb6
OEf1tLV6KKkXmgNAd4Uy4qBoNqQ4PwPI7wYY9qoYFybmifclzpQRdF5r+3U8uIbQw+jFvCGB1glF
2c3i+lZm95Q3kHyGEUKhTk3YmQb4ygOu4cCtq8iedpOHB4WnjpGr8G/zhH5JZq4cV94Mu9B4TxFl
hJD1/uGm8K4uEIzXgL5N6pO54sl2Fu9iY+aencr6C2+AASTl7vF46aWp05S2WFLsG6Cu0AxU4ADa
23pDYknyxtxNnJ5vlUr4AzxGPdP653KH3LDqYlCC6ukFzj77ezEszdaI/x4ol27qBIxHt5s3m8W1
3v+DPauZJt8syjVtQt9KMZCgF3Bi+Es9YCd5FwC3z6z4gKsr5pa9fln1r1TMJBkSIKmloAx1rzWj
D5X7AeOMVY6nlU2uIOeTCwqr9yktskAS0azIJaKFW32w0AfFNOPch1cmRYeNAbyKkHzPwUQGYwXI
IuxLu7uArlBzPCbiVjvCh7JlNeBPDzja+Vi1MboVsUHbczyVCCt8zobs8GMF/4y8gGsWfx1aSKlP
BMSmO8xjG3Ue48Qv4mMUxfdLlj6m/jLIluQBB5KqAgNloDttLfA7BxtpEtK8x2vgi7WYzrZG6AvR
+maS6sCDouWcQsWpJgR6j67+9Td1xxLp52JLG96t/mQ5yi52qhYOPycyJNyJMRwTVxxkQPuy+b8F
bwWANVWanuX6tzoh47CiwqKKAOdXhwZNDrkkwGq7T+27Eq5byDg94dk4rVFM5AdkmLaTaQgtx4zW
+fHI06A2zK30pWIKndADWQvE+argCYYnCj4RwQ0Sb07UpkRw7WjGJ59h3gUdltVkiJ5ZxpamtjBH
0ykqBGCpZHV/Zre6WaK50FQExog7paYK4nVvTh3czSXfvhZDhAjvdQ+Otfz8Z7N/p+bard/ybE1K
7Pk4DKsbCLYrf2bVGCHsHumexTci27zPyJtJvk6IWy5hhMhit+b7ZEniAV6F2C47buOQKqh/KZCZ
Cplk5zRvv3y4QsKbKt+QEEAjK0FoxE4tFwLQTo9JCvKynEsCWG8mwN/fO/UzBt20gC9XkZty1yn0
lSb4VvNvJPVqrDP7qL5ad3I6lO3koC2Y+SBr3Akj26p0RFo36aECv8zXAJF84DKB2AQhON5zJFIf
6eo79CrVikPXoq9Jdpm6lYNQQm6hq8i4PlwHbptFKfTKvVu35GWWZnnLApaJhHso6jq9qzcvNUEP
QJgiTuw+sJpCA9KWWgI6t0zMLNe0gf/jvQp9r6IFlQCnekNi8Xy8kkELtj7qPel+faG/tS8cC/w2
gIwdC544bh5mwaIAuQr0lhKK8qE6cc02TYrgPBoCTeSeT5KB8HE63mdMFIPKAxKVrA2EPsTnDk66
YjiRdQpZxw0broCsgvwAtNaot/s5c790GW0reJv/Esl71lLLK70VuF8JV/622KoNOjsoKUUCbSg+
DrrUF8amuUw0g1tGE82sjGihmSqu6OZOu5Pjcpjc5VJCVWyZ/JGlLxy/8SEvoD7F1U0i/IiSzJwS
W6XaQ4O2IUzxoKZmEypQnCg8FhDqGlJIf3JEuDxLiT0+cVVoozeeoK5BPEEJZxNjk4f6jkYy1qAr
AqBoHBxcL68e7X+AzqggjO+CYkMpP6jTYzUWBs2D5dKFBYwGhaO63MsWj4KVEl3mCRgD6d7ViiyR
BQ6ziqeESb8pnkfJvxgRSkBLMmX410FqevPyxUDrF6HCTGZJocT+IBRWbniZk6O+Z6cJM5zsOwU8
lQ7w2l9Xd5vcOLxJ9WQtv2pgoJI2ZwbmPYf314W32QmuApexFCxMG5bXALSuM/H1tvX7vucGiEhW
zHR113P0BlibiK23RMR0dHcqnOL2OtXXOga8G1S27UtDzh4uQ5nC5sd1+Roexfg4jW/1W7SJ4yuc
RXbkyMOjFUkxjRm6pSHF1XdrnnY89SkHLWSskhWduD73Cvy+8lGumqYPr20DttKY4ypPQyRiwyNY
xJGXivdIoUlWtSS9rJFo5+gSyzwCkATLBk/a68ih+Xv1XU9nx/BfCc9mupQa2m2oTquWB8G+1hEN
KB0wqYG3XIyTfu691fq5tMqgyiaTLr8Pqpf82oaSNOJrrZqAi3o7zf1XStxiz1M4SZyKIix2uKHP
8QZohJ5jN+nf8DFN6uxIQK03AK+7UzgFnuke4Md/eoBjTfv+O5pQ/RwG0uiRjtaXd0eROt8hSyZr
0Fox2D7NJwWNIYudp2th6XmL1w60EBZ/NxUKWjymtQLb6kPYkUNCHDc1vHIzJey7rd4CuGP3jUem
mX0aJvgBaoGB2Xa989EJ6kq2JrHBSqKllyRl+IaXKIlOx5a2X3Zn94LHhx0jdGCygxS3K5PRnIJP
+zfDMpWZNz1MVGR3suNuJnxJ8tZQi6Kke9DPjwJp/n8iKr95UD2g3+o2yggx3hlxFdZ6aP16Dy3K
3D38IqH6Qitzn4VfTdj2MtiJcbKLI6OdrmRC87gvo+S4B1X28KmC5QXi8rdwO4tK+pwwp/Wnv2nJ
HS1o+xseGr1jL+vPpQWoUMZ6IFXnzez26ADp9w/kBWhuFIfPt2EXEvvH+ABzBuQkpqyQgwRqlTsq
vXSppbQMYq1vRqpjN1BqFaIVr3FFhU8Gmcu85R0lLyYoiAZOI+qqTgb8mTlu+Ick6U09IkJFpwJo
JiLpHyYZepZmNd0n+15T5XbsRHkEe1RFL9MXZ5FPHjiS/Ye6Pyei+/aQVm4eT5A0HfMbK71TzS8f
J4CXtW7P7b+rh2DCwdypXVLyvCGp9g7moHF0C49fCY0ge4vs1DgIH6JiACybS1d4YgDyEczp7n9s
JmXVMZ4GbqgkaWU6VKdCYMtn7p5BqLYIifgGEu5wALqhJv3owPTgFn9/urM+vIdgvxIEO+gUuWBu
ejquM9yAZy0hQ+yWhKSp3yauA/tJ7WyxVODawbBigjXf17Ifl+c5vVLOonjwDJzmoR5nLvNSHdmj
j490bWinKNThD/Qu3GZjkwJEWrqBNul+fw48UURY9sH33tSA29khOusesP1bjOjbxNMh4K9u9Agy
hEfoePn3Goi60C92OvBa/vbhAytFer14jlAfSN5geF8+dPjI2vMght3yZrE/+2CGVaRYnQ/9m2Aj
/Yu5+8v63rsfa+vtD9va7H7ZX19kh3yZbwBJVkIE3KuIFsX+yxdCXCnewLQTLY3ObmlvrQozoOhi
B1HU6pnB71Z9jBT5WrRBqELWA1fe57ZmT0G4sUsTs96PdEoVfhFmJ7D1MPk+AJHQw8ei2CG/VAzl
gLgHtk8qYMonsOeHaWQvcDwUFkJ7KAaAaaEz2FKzyQtwiZd8iJdud1VGvV2eMNwPqwjLJt8jvzmR
oNTnq9LU3xQVDHseuzlTTfFAIotLPLHmpk6Eff6KLT735Py6i9zjzxu0wQesYgNhAcj42y0FbmJL
Npd91W5XYB+4NBq3/fBssuubLlVBhv3ZzRWiq3QtjJSzvw2nFnic9SxjvYg+2H5daQ3+X+eyfbJA
pVma8Wbdva2QXqYscuh09UpUV67A5OixBZYQoCE/GlZ94AVcfybc1KQ2uP113DudANw/e3FqBMg5
HhOLGM4UvSAtD4Vqog6AdqWMrlxgv/Ebj3pCnbA9yqxYKTh0Qw0ihLtt3vpo+sn/Vlb7xrsd6a7Z
vQvojtCybVo1d4rqWqVAcuTnUb28VPxfSxs6SkT92Xix151Uj6+aYE6nk8o34NUE/sSvqaKL92B2
g516UNpNBSSGz3cDpMFVlX7LOQzFUW1YYp2l6+WHJb4sogkD61YrA3xcviBOwEjTPlgn5YjfvANz
DfPpkBLiBj8L0l/qZXBsx6rD33vrdw6jpRt3Z6iaYdN/r3UvNLmVgq2t5XtBYTgQc9cVwaF1uLli
iL01wnAkxb+jxQNNjZ2Plg6Kug3zp06H7slPn0+IFthdgLE6u/U19p4hQpDEYfubXXJb7p1zu7Uw
UyNtAoYzQWs7QdyrC+3M8xsRZb3IIj0sRopN48HCYdI4kXdYm9RUER2o+q1fPX8Zh+Z4xyuuGIuz
Mrw5zoLZUzhUnLOWo2wKHjldAN9r0kqrSoDCX4ICNSEWJkxYNpEfS1WJppy/COQqtb3m9vIzmc/i
78JDWhm1FAdDz4pAymth5WRdd8J7Gl09XEn7CyiI3fwDxTAKN/YVuPQyX7yBeVsl7sE7c/8SuQwo
tjgkVl1m9V/BoZ0wuFc/oAjFK+LVhKEtjZo58zquc44ICvz1Gy/qauZsZyNuXw7p7LLgF4MahyQT
FBJpgXLyrpHpxvV3I7oXzJUapAlzBRqXFaqHAhQ/G96P1oEivAirD6HW3jWVTvGOkrdcizgfLJf+
iAE53YhtfImoL9MfrGE456UAUomlV8dflzsP2I1Wb0BePX5DqewzmqL9aQ9Dts0zT6z/I3H/Rzq9
ILGhlOYv7EVxI64VD3+LxnWpOP2vyQk+0Md74JIBhgFf+sGce31lTbwME+RofN1Cf3WQlVMBcq6x
X4BoMvexKSlRIKSz8+1yc9yyfMiOT1MUH2UTEmz5v4y9LVMsr50MliABfFF76W8mN/X+xF0+adaI
yyG+3POD9E4v0N4HRhqDlZg5stpZqQOvfkQiJdB34SnolIFAF0pmrJzXD5XX0E3zPXjAEkewzCsZ
evy/Z85zlwkGhem8lQsbonp3HgRKIrI6qzW0QHdGVUEbxjc63quqcLAMKsDCTBjD5mUePwlym5WX
QWrDHHtl7lB9K8GeUOT48qHpTHxzb3gyHqGBagHYzJVY/HFALm05ozghXXCDrkPN4Cya3C4pQEsB
3Fz4GW+SD91CVWBVhaSJG816Qgr0dSnQaxsC6i9mBuhhpkpKbk2+fpWfL3mHfTrqgWE0VfxjJyOX
83trPTeB3+XQAW/1AmT93Pq8ESgwqopn44OvL+Pbeo2D+zCdvR8Ty1Qx08urAAGjUj/g/jKAH1u1
Nr22vOI9K3LZjIK8LqH5sZu7bxfX9W9UUfaxwagSTP44W4LzkAtOOvEK/enopTNAmXar44Q+WNge
J5Bn0OKNiSvnhb6SokW+FEXMKMrSA6Jn/pFYnP5vSg9csp6cA094lLpZIIJSx0r2HE5ioxUzL74p
Q07aUat/k9kP8wpOKBqyxiUwwTSD0f0dsbY3n5FG2G3eGrthS1kp5KDJvWgDMux6YL+n7qzMhoR/
nCluGjeVFZ3oBL1JaNQkBCCgBx/kZ/AE5LAPq7QwEp2n2BqxXtzE4A/GVbZlkTaexqthwyvJIYTj
+usc/ltIhGc/2eTLMWgkLVXwrZbWBCXEMPQ72VmelcxSACgfgP8wyI71cBxGdRvpR0snly/jzpIn
hLIZz5f8jTSV90rjlPtX/MuG6Z8GX12xVdrUHXae38s0v597dkE4Nbjfw08DvCD9sXXoPtQDWfa9
bX6dvjKl0sFDHytfrXVK2/9IDxKq9aqYr7SxehEHomi022v+4Q6utLT0a58HMrDZUXOO7HEqIJzO
8t1PGyduTvakfDZJoiHJ+G4lF4c5dQ10XvoH+chlV0lf6oyLDpyKN079zHS1b6/jOftio8CKLUd0
KBPYAWllSlv6aJH5qjkQ5wT56UaerSDGafFDYA+j7ijGR4TrQZkyKldBCx7gaQiJY/euNjTbJXCk
z+lSixdoMPYtEWANmAXXxskIERhrY4D0nhjsweG0nt57R5JWeY+ajWv3EfBrXPPCLIK7YT5/islE
pwpgLjmcfKXB+chYaSecocLzFd1GsZtinm622ENY+dSVQdJSEMON3zp9+PRVGnCheTI8c5WcmMJA
fomiRESUOyexdjxGBDCUV03crv0Tg4MnBCXcNBRxSC2mdVaM+Uw5hm/pj29/VQILWL+V1nFIkZ20
7cxMk4XfX3GdOhYqeGzOM/IJ5qQjXxkNNoAflrNcEgcZVeyAJ8zX9ArmaGrr/bZ75X15IEYwhlef
mcIL9Jfki8qVEMNYY1iYt7DZTDC7jqeJD9kOLvV6JS3w2F4sq6WfyggjzJ1ufDYTesQSC/3VDsJc
M0w+47pJx0JTHWtNRdy93OWSFxEwZDj4KKGiTdPkZsie40SKCSEyOOnBIsPGVC7fnNCBHNSA0qZM
porQiqsXLZN2JFVmwQ35CK+n7/1vdYMCtnXVKuwin32Wm2A/TX6u05LscMLfYaPCTSstB8uBQUED
ynRXpJvxDH973j1Dbgeqdncax6WCc/uaZ9W1aioFxYS+dLIMarkMweur9jT0beWkh2qrUt9/07e2
yVa1cD9ANAFXzbziGhl/i5dWthHzV86Jm9q932VuZL1pe/7nkvIC4oMmt8CfcUwJGA6PzhGEdSmg
iMKPMOYMgUJJbGLQBRQdLpDU3cnzzO/qlafL5QExxJLYwPz0ITpKbZShNHPqOZQF3yrnHJbi7ywy
SQF4VbKfpfGEcjo5TX/ef1jcrYOTAffEwZMT9EAxzQBf5NOVHQYpp6Fn1AhNQMf4EwZJtLKRyfjt
1KVv3goJsxKccQfWDExhNl2BnZfcSiSpbFyxZUJYyQrTAUgP72/Ra0DbH7Y/LRon4F4KRm4Qia4z
s5/u8NgU7ORZt0Mh4aZ36tKRF0+7gbe4FO9SWjNBFfIsfanVKFTdZ+r+uyOR+PcE6fcaN5lPezMj
HI9almeU+tRdf+yb+tCj7+1IhJloontW2TfY5Q1rN9ZMoHDLDdDMI3EUJyX9CrOAxxoyUnu3BmpM
+VIkvGByyjpCY2HNcTtnBndlvJ+0gKK3Q0Uvj5/X+deI551QlhGCw9IdqK7N0W9mJV/aYutuJPFo
G+alT6ON2vbnZB6Lh/pzisgHxd3QonzJSDm6e88HLl+nsbufV9M4h8DNFoBW4DwPVsKVCPKZ+vk/
DlbjqAVHAuYhGFcX3OoVjThQ/L4Exvf4iwThlHFAovLscdBFqzSx0de+g6ujML53ZOGaHKG0R2kn
+dYNh0sek8789XhMDI+1x/mGAyzsFH6Y+gvMoO41cXXDKQ8gRaW/jRQNCBzRT43xefjM56FwkT+r
Sq/eykl4HekEEZkG/ZNNpSb5Ichbm/+YPgHa3iwR9BgtSfIYbSw/ImE5BTIO1t/8NtaBNyiThOe4
XlhSssAqSqF822SwsRKl99RCiqfak9hXdKkn1S3WvA72nE8P1n9iv1dkto5SEyLeJCQaEY1i5Ms4
YePfsT4LZzZRgTWdrSZ78WsIgCoLH0EtruxCXTvJhS8W9GZztL2d9nwaF47hFrYb+IyNRN8whopH
LlmT0vBJk7qbqcnS2HY/ERLobsTnWKfm5GhtYGdR4bXTSZkhX07O3r7W53l+sm2YjYzvBJ30rJuR
vkeHPAGs/5FSrxUxWLWwT+Cn/w7NUKcMd3Yfx/bORkTDv4CiyzhKdWinwVg/4Jm0t6Z8BZuZ/ujD
+EJWqTcbHwY876aNVVOmVxSIxSNyULBRTe9P8lDqQvxRKbxTpBRu5AJtrCWEnXFrEki34tvUiPGF
d7zK8UAsFpC1HbIuwrwlFqnWpH2pkx9fULNJjBJPtFgsn3oyu5h64MLJKIDKgKnKVZOe09uJLaRH
WlPWAYsWm/xxQfz33j0Gu8Qmg/wpsy3+pKef5VyEAKJl7S6qxb2sDWUmqZkzaMlQwuIgY/iPDbmn
LgCIoEqHienKMj1eJXKjo9rh1RmgW8EsncBPenZxh85xfkpq2+Mwq+XoJ/Qubi/l6xpa9R6bSjrE
OYczrdr/66l9HOYj56voeZcKBItRwnOcL2wkxyYbymlkBbn4gsEMm8jVjHnudmTpe/+conzfyguk
/AvXmb28qmf9lWA+cS/bsCq8v8Q46Sy36A7QifLjp57rlvdI2cMUptHX4WQ6owQyY0BaLSirFc12
s8148t/I6RCK10CUipsyfunpMHVQM/nfAreZWnSPfnzhbUWAmT3nREqHDfpKE98ngZYWyYhuZAiX
oMN+9CxfCJ0DBmoqObJ3Q9/HdecIc1mUhs6IoY4uhE1JoNaaexkPgDNrIicvVqvc+aGDIE92pd6H
e1BcEdN5l4RQgN0s5LOUm2g3zkUArTa1wXw0b+7yCbfuQX7NvHwLqJM++tB8IGR+aN26F7abr/wR
9ZKcEwvRbrBYyoTkJsBZhPTU/tAq61xA7FrlA2hXE4DRigpuXuwKlMvhFeNntMqE7ZmWGkFSDofw
hOnrNZghZ4JvxjT5WCFM2vlfFAe0EdTaI++OJPuruHpXErmHBGvHNRxAYdbU8bjzvDm9vRE4XhLd
iqt+0hEt5u3jkYJYvsbQDFFmVYcxnm8t1oroxPE57zhKyiNv72/H9ENl+0MiJC6VZbs2bHa3sEDR
Xgd9JrOkcOuF1AjKdjpRDVU4NxChY+T1xt+1AYYg6o0bWDX7pTxZ9ISNtCDAPWb/i5a2Z7+tfyQc
/mnlv6kzfSqzPkqSmfHXnDirP6VM9F2oAPIAp3gX+qUgGvcgBOjQukzDoRYr/kdrYQV9d1n7B1Bu
6ORS9Ouz+uQAriDy0Fsbe07ptxmjwalRvStR7+l1jIAhha0LXYpxRlJSianbZYeFtucTWcGYASie
s/oK5g/kZzlWXb4te/STzrs1gXmQf0E/tmJB2bSHVqpm9IEt4MPT3PJcaU5D8X0C0zNfez6z59Di
ufc3JpLn3yVg8FPJlDuCatLpLPExp3sjT3NIgFKRkVQFh+B4d6AhR4VCWa9iOLQHdjEnY1SpDlsw
DAjMGx8GsMwy8xDOPDWAT6U4t7sBLFDSDTxBXjMAZ5DP8cYmUyBGB5X8vjNv9MpqP4iiHjAjF8Pc
leX97ujL3liWYSgorM7dyq5H+jKT1R7h3PI/kDIAfhjgzb2sRgYHs9JP6OQImzGjHKEQMvf0Q3fV
9Oo/W2BC262OLUhw3A3cC3pruc0fH+MJzy4oQlqQ23mWsTVzV8Clya6ChGtOA0HmZSor0qPkitr6
CdQjsuSOwv0DGhocIqBM8enz2sVV7zf2MJJ5X5R5iRWtTdjTwdQk37usIlQVl+412YFWmkkUZxaf
pdPxrvaQlFtWQ0fAFbxs6YHAQ47bEziXYbxPxh8gEhxWkRaaSIh+hSvl4V0pSm4lVsurs1XyZJZb
6342l/u9LVmS9VnBs18g8U56zOkK7Ol8KTHBQNhk0a5aGqqZxCTQjj/bxw9jqA1oGU+1kZ+IMjwi
2OaCmSORkQPxS6MieBNU5JeUzw5cUw0fBvXicsfjnfTiKHS3DPwQ4yIo4fzAK63/WRmXd8VkzQhv
+8xFrqTNwGxG207SHKmox+vaHPf1B47Lsoo56p2fcEDjMz/5utCBjFVuK67yXu2Fa5tpgfW83a/5
/g0OSNu3IwC38NqOEBOfsSg09x5kMHLMj5fh8gSg2l9hIVzDPYhLHL9ODFS/UQVwdlO6VhcAuA44
Vch4JfVMY/ZAiAlo4Pam3izGg5qUtfrdzHPhp9LUapPjGnKlX/8cEUetf+DWWaFAF83v/v0HXzvf
tL4vdgLXg+8DcbpsFyikN1TfenCz3MwHMJmsAhqjF3YHs+xTi8SvgewQdiYdAkZu/Rj7KjMDid4c
XTZhNUlQZCOY/vTs0YBFQLY/QZxOgG4RzsSBg2WCH1Vd/ft3Teby3DuzxxQkVYwvWiYllVd6rLo+
2h9HNnMyy2KDjs+Z/gKUexxfHvd3UNpW4kIAZ60XCxDF6emt5CLyDNr195qBHNUHiCPP1LyBr8G7
I8gypY59eu3VcbbkczfgnvLG1IsLjC3679HXr5QSuViNpzqOc+w4i6lLUtsIm5ao2M/Yr31q7BRa
kxT7XYbfmTdBBBR90ItNzIEsg3FNKD2MVyro/ArhEFBOUi8Ff7MrMgRVtu6RseXsuvpXwP9YtpqB
zCM+36eLZ+tzzpb8RNUdXdPqzVnGZeatHR8lpTkIdkiFYmNz8rj9N5P7sxdz/slPjyVeQAxPr+uW
yRWBjfAI92RiYMA0LZZtKYAIfQyUouNcH/mg5ICeXenoP+WgHVngde4FnIj9TApgmpd9XUvMPAda
TqA3UMqLNujsSFUXqJZALMe3Zu24svSJRu/18l2Pw/66RFPUSMkyzOmoG+FSqOLSJJcatKzO3fYp
/k8BZ0UWDtru66SP9VJLSzEJEa0MxpsBy/wTiC/rGR7enK8jEan7YvFKd9kcCNoDFdoCjA5TxOoh
kh3v42R7ZjItKYqP5UyGDvYgraUQf88bjJi+HKQdr3yzUo45hrePilMK1qgyF59vebyvZhrBtR3j
KoHXHLD+3fxccDZldLEe5wGa38j0ne4CkqWD31oEMP5UDuED2G0EeEyhkWQzCSXJGr9a+bwRKqVp
bBVFPJI7HnCsOmhKLk2z/AQujdoh8rQG5LUuKZPfGT/QiC3z+wxk3lRVmfS7cFTIlszYzt9hzXxm
xQD6zJcv5jXjE8qEfYIqqbVFJ/b6wHWcUj16NaIaKpPCfY+3JRSmdNdSHGY6GRYTRBWyWB/0M2nd
43uPr3YaCbPYRyToxuoYt8nL7bO3xZGNsVcrBshRqjSYGOhJMy0gDAxUfXQpnVqUVHEdBNwzlrKy
VvHkGi5IdNkCyk91HoC0m8ITkjwM8OcXsjIlrRJjX3AXguUACA+86x2HHsLnLPy4mAfC28C+fUT3
YkFifx3Rv6R08Wq18I9nYSk6UXsr51aLpPTvMsBs8GBdQlO34EDwyILcUGsaCUvTY/lj4hTSdXgU
4rZ2+Kw36Wmo5s12LazHFodQmZMq6XgB5HtQw3zzNaAmb0ExjSeUtaPLR33sXIsPGvqdopQrCaSE
qb5f5QRklCZDgkX0nZOjEtIjKKg628Ud33xdxiu58U5R2epEqeLFuDyq1gh6FTsAJo7k/2B9Rs0Q
1S1pOdM/fawxzF24OhLGX1fba84zDxUTjM45X9WZW6QSP8/dBuCi0WChe5qK2fPKoyCr8aEiY8rG
wsxs4aNeT3fl9VyZO8RnxSmCZdLnJKQj2h8cX9Xx/IGeChK2quh/66jGLRbMfVtnVjLWJ5eUgh66
xAYSgJ6Gl8W+iiCJxfMMvv7r7hjn417N7fXbaiZ+W0GhRSwbqCQq+xhgc+AxAymAiaf1MuOX2Hul
kcIau9hseb41mcME9IrmFu61woGxmYbJFh/MO+fDB3VNlXELPHx63pxEQMCmUibYDgaB5mj5obY4
NpM5+Qfnf0Z8Qj15baSnLSO7DTqYa6uI46gnzE6m6cWTQGL87jwOFbIwaTPNfHFn2zDsS6m0qH83
OyMMYpf2Hs7jqFFDm62vSfLjH8rGPaWGe82594Iz3o59Yd4FPBc4vpVo49wbj1tzmm33HLjayKfN
CUbpD/lWI3EKCl4/RdyefVwwB1Cme4pPgAfn/dG3gLDFyhZWM8YNjGuAt5m8jcJSEyHhuqDDrXs7
DmAqO5uFMgJvLnV1FA+U1colVuqlGip822l9+Y6ZKnENr4kiihljQ4QZCBA8KsEmOsD3R/5OhVFh
4Fkf6VItzapcPVqjc9uZ1q5ZgjpCEc7HhsY8t7yOAR5tHxK5tRXPm/R/cCWKSctzMJLI5uWkqt4t
e5k5z4m8zRPLcy81gV/FmQx2hSSlFmbeakUMbEbCX9pN6Z8zUci/3LWOvZ4o1Ps+x6MJa+ePmtup
ZY2j6QuilDet4st4MsgL1rs+YkbPtP+UAIhun+oNndIkQcp4O3Z20+GWVCo+RdByEhXFBS0cw8FQ
TJhEpYwEbPBE2XT0/goUj9PfsnSiBzT6BUSeJRVLMtSaa58tSyUriDfYPZ62gTsY665VfsepJ5Y+
thrsqjkFAPEpD5pEcXGDSjjiBVqfl8VUndbnluKc7+pxrdapHghNoknZZReA980DKasGXNC/+rp+
AhVRhMLjX0Sj0sX3Kkj9bEoHpEdmGJJw80wFOWaggSgyrYU+9uW7EJwiPiCkWwyFfDEpBkXLEwz8
dXUh0bDYaQ5AY2QwP/mhaOgbjdEyNoO0bz/JeELoEGDvLlKS75Xiw9E5MB5OYAUPJmjiopT2WRA9
6fC9vYBmBv0fHNsicZXC4VMfXz1+VqEGxdFiZFC/oNBRnIg6d1R9pI9vgIfbaU/NojOH/j8jvGGK
Q2asqgTVd9a3vnE2zmUN44c9YPz1PGMdIdVaaAHRJijHEVvnR4SVPbCkV8pFyW+JVkxtRhoyl4+a
AnltepuiVN+Aadu4cvqPTZipuZJkJoHq+d+e3JMkLVcTZIOfoFOGJnUojETzP9qaHJe8gPecHYW8
gEmPdCbi1vZZqbCYWbh+1Vb+m1evIjNx79tslIPHVWc9k4f51gZeJS3SrTajPw2+OiwVAtmuqoOz
mGOY3gjzh2fUxTTcgUSmSJt7qxbYT/VZ2U8ro2paqszBTmx6yBXnKFvaUV+XeM4PtmWLu4r5I8g6
b7kaJxtdln0Ym7OUataY8LBMkguTO0QWXgaRcSDM3oYYdc/r4UeGLbz1AfV+KsgJOAqELGV6aRCx
aGVKzhe9kKsAQP6gsHVfLNbkdB88T3+BHnx4KzPLF/tKeMwKLLFrFJkC2DHpHK8UXn5wSYgMgk9A
onXteNPyt6cpP+OGoUrgrhFf99pn0+xS17gxp4C3Qmpyfkn5xqiqdt1WHcwVOpg6bbJoaD1+1b9e
iKncHE8aKkucc+afAW7TQRGyRt1l9Fs68Y3gLKb4OF7kK8kaeoq9CcqVy0znnC/aUQDa/fth8x25
U+N3wAKl4CqfpXZLV0Jm+nn7xPELgbhHITyKUr79DKQ4jZ8NjTAj1B9pLoywNXgRaiJDZ0p9N6P+
XyuGCaab5kxIru5jZFNyvW79EpbTJQCXYX7kIdX062ZxZQVGt0G8ca0Lxj1TAbRvYDxrGeeIDo3F
z1YRGN3obuyCzf5Qzg/vTzjesGK2cftxdHxmCwd9mIke45ggajpiGdcvSOCcPZwBrQAVAm70yf0j
88oL5cvT4R3iv7UVLQR6fiZXV4jRWdKfc7KREe1OEeGs2nPtxqo8/E1VJgliiZ+o5oEbe1ulhvO9
wBx7DHyIlz6uXrzpbP/en1xvAZtPuGb/KjXTxBRUA4haDKppmVt7aO/MTzcqP2HxaoSN2e3Arlyb
UVJXxUPuo7m+nFV4SLajx+1BMBapeghaZfEW65k19PMW0dG9xabn05pL52ktwq4xZS1zC671VVmy
eElMAJz5PVXNvSni1QVmSn17Cate6pBUUUlDSiOMvWq5Yq3tCzhsspGmNk09fF8yulMDae5RGPy8
GkWOj0Qxua73irB+epQSnPvqx090X2898qk8xltxSwMkJgQL3uS7TzoucLV1k1Y+5oKql1CJWItm
6gNWszeIf9S4vOPep3v17eogmOYqV78ZP2Ppxg83JZbtkqFrZSXvU5yuv5bx1wX1dlzmp61w68Og
fDEuwuT1iAFzNopF7eetU8VvMAoA0vCzMNoLfWZKPeG1VVjWstbV7K0iTpwvJSilir/eCHh9Sdvk
kqyl9yrQR4zeIENmXU7WpXU/svOZQpma5f3njlu9bVpyfTtaKazY+F1wRLqL7YVv3zhgG35SMVTB
p7UF2J6ekfOYW6BaoM2n9qQEmsDaREgYv7dYDIeSML6rKDLClNne+tEmdXEAcjafSjawlx4y7nb7
Dh1SU75kerq2KKwQeX9D30OUHFf/nDDfIFZmOMUpSWfdYA7S0nTwX5ACtWjFZ3mGYT0WLM6Gsg3q
tiuaoNgWp94FfVsseWqjLpf57T0VGyaSciFlk/wveIUtht6hTCdN0JVPaQA9lBDu+H7F3KYoj+KL
9JBHIoz5dPiieVoOWicfv625xr1SaU63z0KvJdZ2zsGPa/Vl8MVO/UoC4QavXZi0hQFdE35xzi9H
Jc87y+F0ao4ypBTJY5UJ72ooHypZCKyUOF3hbZGp7bNEY7dVu8tuDrr7X21t74LDYLpPeDlGgxV3
zXh1DF1xzxu6KGsM3b0dtHyqUGTuG4q/hbE/v11UCClEAXOTsneNuPWUNgW/A5aSENtmoWMIIIu6
GqHnC0opKA4cT73zqClrh9lwf6iW75vLlY/1y85hDgSe5bD5NtDY+mCR8AUuzFb8DQvkkVxDDNAn
3N/HqjiWqsZRhFjeb/rUrQ9xH3Op0bwIZetZQzz9VRb2GgS6nN27+cXIuTUpX4SJTU5xcGQGwWhZ
Ao3WmNQQCQUcoy1JcneoXHU4rIGW8ahzrf+feTTRKh3C8Hfq6LgUkK00hE9GKLhp4+VeNBm+JTsg
7Zql5ghzR7XngxSn7DCYa8/5oqOQ9RKwpQKtDv41TUwwSwjQcUjCl7jezRLbZh5ofAtuS0Elcoyn
IZ+JvcavGLIHYJ8WTgUU2+FFr3dVcYT9+VjrN7iQCxYqC+aPERgDgAPWlpiIeBjyoZ3S3jKCXrKF
HiWAKxnQP1zSZIlN8TJLK6Kg+rTNOQkh/hlaBMYtJotHBLzkWJfzUgKrem2AVi5IFUTSoy28EAVZ
YakeY6D/2xUPUXPAveUxp4bl5qzLa+steUiKrNoQN77U4lC4eLDmYarsVODTBrysfP98JXINKjk7
wL4H7pUbvtK7y8WnF18J9oKbb8POsjTbRSO3HCw8pdVofi4HHJ01a5jJ+Gnbd0oEsWCMoXFCTc0j
o7kJYRu2AiJ8Thb74NZCLRcKKT5PopVplbiLL0XIwIS3AaKcR4xf/z+l7oefs+a7nrqkMBfLNU3/
yKwbCkgM1m5kcc6TjMqLQl2QWo2u6h7/vxukd23btY2Kn5RuCKKQH0/klA0ng7id9+pA9cYGCCsX
gNhhd1Is3FEwQGu4zSPPpQZbOOo3YrtK26JXamZk9WEL7te+tDcowQj+7Q+e75C+ViVX9HX0Ebp6
LwSsVNRxntSrOMAGp/A0SnCJFl1Q4NWvu3Uv9ASXGvbFOThDUWIjn/Cuk7drzkReRAvlRB/qZaSZ
Z5ibqkBINkajl2BpWlHEw6Nl136LNqIlf943x7812I20zYQF4PEwtJNWeu1ML+8NPaQgJcFmiFIE
g52GMdXw/71epZFa87KMDutulBSOxNRGsQTPqK6folGpRFqS/85/kx4oj/mNw2XC25zDY2fbYE2U
e6LqxPzve0rwTJapjwmjQ6HI2N65fllQPOt9TPl0WdjRFhxvrKnEOXkavB50cNF3Y74dWww3BD9S
2tapyG2pBiW7h2eAzizq6PXK9Bm2ypS+PBzhvz9qjESNnW4Xu+YuDoOlbmvzl3tvyNlUJ8TcjZUI
2vvOZgQPeAkJyCEU3rSHNR+xWOaphqp1YqcihlTzMJpAn1n46WqjyxqJASEo542TYDkJYK8EvcNT
eT8OO1z19EauZ35DNmUyq6JEeQRFMiO7pfmBEEtf7X8SuNUlsI0SgB9jbUiHy/Gh//RCd2SRJQGF
OB96QpH2OQpqmMUANj5prRHvzVy2QC65xEE9SgF2gmkPQTByJ8vgxzsFvWXD7TmY4hYH/BuFGm7W
J6uumKYZVUZqwOmJr68uD8ktzskbpkZ94s35dCo85pthy/OCq64OqC3fP9dziJUXbo+BnSMbHo46
mVC0bdBh2OD1qatVDooe/TJ51fWhUqQ4BP7TKOa6Kq9XZUa5c6BS0MF4gZFg4qkAg4rNdgbmcxXc
LQjj/MANcHVXb6Noyj21c/vdPwUMGyIPXmRqWcB8xdfFWphmJSIbS+1LpCyahCCC+81qStPtwX/e
b+4D6YW1QUNxYmR3792fLywRJ0F3A8eZByIp+WnZK+nab5hx6GsaHMM39RuVrxDAg/nFmSrjJ+i9
xCmJERgP/fE6hrrv8qMqPIIuOVXSL2Eq6Vvxbs3hrh/WVhhcdMZTLMF4pOc9dU393P60ZfFgO28e
+6FvlRUVWsUz2KCbgKMB1JeejAjjKWvzoHoWU0rzFnR05fnwwiyen2T4F+uMh9PABBdvC2rMosEi
EAbHh7pj6BLLH4Z3aXVG80PIIrVOfbK85bEWyQp6J5Oh1oHDMq75tOloYrYDG9K9u3euYio8tEQk
g0GUgjJisczQQbnuz3XKVUYBodEpCsgTr1JxGiB+LWSlk0VUs8uKsENt1Xp61FjTSGHe6aAhbHE6
0fmlIg14hx/BcW7XlN42hNs3Oi82T+wPNCWA3JDtFnplWHNM8xhQRf7ypy3Y1Np55OT5HO5b48zl
1D8FkdX+a8onsHOJpXBS6NvEuIVJdDkM2AulpcBvWJMeEd5vqq5KQNukinDmK68NRTE6K+XtUPFz
7saILWeEc6ytVw0knCfDsib7ywXgL04KN8ND5TyHyURpvxUvIAuNWvPVf1QcU/M8ShfzHB/4qSuL
Qte9V86HcmGAUnj1B1hQT9MuaqSXKV8MkWTiAXiInuOn9AWge6GW8tRTIJ5s2eosSAVmMcaYUMHA
oP+ulnwm6j7e7WSxfNXfwEjdpxgx9Mg/pJCSqLKa9Gt736GwM+CqpCMxnLTI5KNubyiJeKnq6mwC
D18kcLNoRsdw+LlRKjxB4RjzTCD8yyxYD1OmWGeyeIFypOOxeQR2zwBGH4DwznoCsYd1jO5ajDN3
MyQRfhDqYtiAnk1igwUTXmnrqKkc7VA6TI4PadTjyp3sYXpr3zzR0D8+RUfp7oPty31ULGia6IEl
lKF8hbfjSthWm849AvkOwbtWJglwwYGRSNY6mSh2+tJnUHK/pvjBH/Bd48rGIztYNiWJnsqozoUB
rI/V1ANxW4Jn3/CgnFOB4cE1i+XX0yJi75ONlHbH1TmyHl0bJyg8pl+3IFmFQob8T5lk9oQRI/R7
M+NZbRCyeC5jCFqrEeZ2Lmbee5GPLxSk5lgGSD1Nes1BihBRre0EOITS9HYj2qrL/NSYUlmVWOQ5
WgbFDEZjORKz1vK4uUlsnHYqcP8pbRptW1jpl2KvHwjrJMYCGtR95pjI2HavCXVPo8SmjF0mD++/
bxpZNDZUbLy+c4Pf6Kmj+NcHjm2BOSk0v4/AcQd8guhaj8sw86olJ0HJyMsxtBe36vUt5tnvbdn9
x3uOsfJGvM603BW2Yw1ZjXaZ4Wk+pWFYOb3tHwEO3Ft+Bo0uhMKNAUHs1WesHKGyxNes0Nvrr9WQ
QinTHiFVwHm7Jo99L8/pXNYTEqi4W2BqVt/Sji726bKZ8n4Spl660JWWVoUqk2oG+bfUbuTdVTx7
JVxOsabvYvC1SZSg+w5gpnlK8w/6icrtgZ8nkhpxtj56H1qFsVlv9sb2jrKpLPEMhMgnqrTN2TxZ
b6uS85Uj0/F+Qws05glqAbu9Ke7aFXZFOzj6chb0LiA92DYkVfkJ5dd40YIMMJ26gbG05trA2sQF
JEiIadPb7c3UiHhiPF8QgP3ISG2OJgtKm7w9Ip+q57EhJfCd/JOLrBfuONfkfTkZ6I6Fj48lxo+b
mszScTRWNM5JR2EIELa7EhnGw8W69kFoStGEKKupVT/HK8qyZF/qxn7aJJIDqFLlbcR8j5secDhF
X2KLxxvC5dV/EYuxuz3k1hN7mTHK1hCNj4EoIBGVHrRGMEAwnOu3lAPtLnlhecLnd7aVaSoSjXcL
nPkUbdkOYK3ha8KTI7W0AMi6FmqAgVfpzO1KLI+meHNOaTyieP93gQeNgEu13gILHGN1Ak4MUeNp
gQoMxWJ7nDPcQfhR78ObMSdgMw28Xk9pRyeFXjgpE/FrjJR+i5osbR0SaLImJ2Rkj5Ni5ZiNwQ3x
3PJ5wgarrm2wQdfAIEdx0ssAttvG9wE3AacWKnHIy1gXkAArpPRbwCPiIwJE4g5+E5KkS4c1irG6
41ZYNNFhNWwXw0+Qwf6xKIAkYuGCEVTuL6EI9Mykuypka0QD3P1YedtGdb7TNot8GiGOcjbJFdRx
KyQzJKJF78qgHIENCJxr02Qp34tM/qKhoDeR4jw2LXHHPzbHNpMWLISwlwqTlDOzm1D+Alrarbr8
2+vSptroF5ScYH2qkL0XQ9pzJM25NP0iuv+5UfzV8Gb0roAjqqozlA==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EIJPO9OSDMvMNdOLRjwQaF6UWoBQGuoL9zzQDGu35ZPwlaCEsuX2/bXZpi1PYJWx1fIV4fCHJ2uv
SGI9TaOoYQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jR96W/xy6IU1CwVZ4OWs9uQHbt8MxEY6OnhSFsNtb0hYTN1DbC1Q7k1rAopY5R85kliEBsNMYuT4
cKz3DR/nTb0Q1MQjXvFgtNYTIJn+x3l/oYgzda29/A8PpsBi6sz8KIglPS1mIVYa6RurRv4LkYKw
EaTHjYSLD9yqzkfqJaQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l+dRl/KQgn5YC8NdqXiuF3uROWLYUXnJ8JxZFU5L4rAPmX7kzGUXJZnRPvSDiahmvJuv8ANZs5gh
xs5LoEmDF0CFompV5QwULgbR2Q6qtwhrEPfg6MLWV0rRtc667uYFE9KTsFf9JZKKO4/H6DzzAdIP
WLVbf01tBroj4IeWcXlkzK/313rQETBKihcoZIo95c6hdiOI/cthsmWnNjsjRy0+PSU4464xZnC5
TEcE7sJSPGR/fWSbLVlBZxn3OEvlbOzvjiNR8+/H97sx/ei8Vj94gc3yWS1QgQO+AcvptL0n+FEy
JyLr8oQ6zAVfPaFj40vg/JebO/peHp+yKYPY5w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KHbON44TPSwtGlB38csZ+aUEMwCA8EA+f07XdNfbRNzHCWdzgmAoOb7uBfu7KxgTm9Dt8IjH0z68
A8EQUItPb1xEcce3WQRQmtBL+94WCLdFalg3R9madXc+OvDU9lJ30/cmMgJzC7ZqYcKNxsY+MltP
9DTs2k9PQ9HK8xPytpE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wBWhADcN+GmDp1YCpVhIm6ehHfqFBS6YvXzYJFLy6Hbtd4ICJ88jM6iQIHo3AmpIauawmkob48i5
njLAuUbhiO3pjbjswXm9m5ULq7P4Zl16GePbc8+NzBZSqwO0mIMB8wKnwW++E2Rn+Nns6sn6MC2x
zonzzsSzqRzajp9fUDbbOq2tS/NGomoy1+X36PLd7Cy5AliI6CDkRHdS0IOLAwKKtEXzMUbjOg7H
Dtr1NedDgP/xgl72/c9xLklOb+LA3hVkJJO16GJEccChdA/9ulSyPIsSQmXX2bub6jXFEifZQ/8t
ihBzhm2r0HZ75QWpj/gbGRQxM/9gTCkKkqLwzg==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WEJsl//nwwFukI7AawNtPva4Okhp5BPPbpvcOrHU2WhmmE+kpe4aQOMO547NxOMlZwGZ/nioOZpi
LrmS2pTou7semtJjuwLmE1hUNq1JnXEjxFJO4V4nyJ54enCYSCvNZDfgVzETNMWgvh00LJlZjybK
m78e6vo4JdsWwhR2Egwd030HGF+WhpCBmJqVrWwK5tEGZIr/dG0JtSC4lyLT4TI0WhfArNiIuILg
4hItSA/a2fFSiFfuPJXYSodzb/CpnIKOqjTcK004JEGCZJcglHRpZxK5ieOzXEV5LQE3Ouc6ACbl
rwBw6NkW9ODG4U4PpNFnPhbwmmQLP3dpSXp4+A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65248)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EIJPO9OSDMvMNdOLRjwQaF6UWoBQGuoL9zzQDGu35ZPwlaCEsuX2/bXZpi1PYJWx1fIV4fCHJ2uv
SGI9TaOoYQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jR96W/xy6IU1CwVZ4OWs9uQHbt8MxEY6OnhSFsNtb0hYTN1DbC1Q7k1rAopY5R85kliEBsNMYuT4
cKz3DR/nTb0Q1MQjXvFgtNYTIJn+x3l/oYgzda29/A8PpsBi6sz8KIglPS1mIVYa6RurRv4LkYKw
EaTHjYSLD9yqzkfqJaQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
l+dRl/KQgn5YC8NdqXiuF3uROWLYUXnJ8JxZFU5L4rAPmX7kzGUXJZnRPvSDiahmvJuv8ANZs5gh
xs5LoEmDF0CFompV5QwULgbR2Q6qtwhrEPfg6MLWV0rRtc667uYFE9KTsFf9JZKKO4/H6DzzAdIP
WLVbf01tBroj4IeWcXlkzK/313rQETBKihcoZIo95c6hdiOI/cthsmWnNjsjRy0+PSU4464xZnC5
TEcE7sJSPGR/fWSbLVlBZxn3OEvlbOzvjiNR8+/H97sx/ei8Vj94gc3yWS1QgQO+AcvptL0n+FEy
JyLr8oQ6zAVfPaFj40vg/JebO/peHp+yKYPY5w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KHbON44TPSwtGlB38csZ+aUEMwCA8EA+f07XdNfbRNzHCWdzgmAoOb7uBfu7KxgTm9Dt8IjH0z68
A8EQUItPb1xEcce3WQRQmtBL+94WCLdFalg3R9madXc+OvDU9lJ30/cmMgJzC7ZqYcKNxsY+MltP
9DTs2k9PQ9HK8xPytpE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wBWhADcN+GmDp1YCpVhIm6ehHfqFBS6YvXzYJFLy6Hbtd4ICJ88jM6iQIHo3AmpIauawmkob48i5
njLAuUbhiO3pjbjswXm9m5ULq7P4Zl16GePbc8+NzBZSqwO0mIMB8wKnwW++E2Rn+Nns6sn6MC2x
zonzzsSzqRzajp9fUDbbOq2tS/NGomoy1+X36PLd7Cy5AliI6CDkRHdS0IOLAwKKtEXzMUbjOg7H
Dtr1NedDgP/xgl72/c9xLklOb+LA3hVkJJO16GJEccChdA/9ulSyPIsSQmXX2bub6jXFEifZQ/8t
ihBzhm2r0HZ75QWpj/gbGRQxM/9gTCkKkqLwzg==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WEJsl//nwwFukI7AawNtPva4Okhp5BPPbpvcOrHU2WhmmE+kpe4aQOMO547NxOMlZwGZ/nioOZpi
LrmS2pTou7semtJjuwLmE1hUNq1JnXEjxFJO4V4nyJ54enCYSCvNZDfgVzETNMWgvh00LJlZjybK
m78e6vo4JdsWwhR2Egwd030HGF+WhpCBmJqVrWwK5tEGZIr/dG0JtSC4lyLT4TI0WhfArNiIuILg
4hItSA/a2fFSiFfuPJXYSodzb/CpnIKOqjTcK004JEGCZJcglHRpZxK5ieOzXEV5LQE3Ouc6ACbl
rwBw6NkW9ODG4U4PpNFnPhbwmmQLP3dpSXp4+A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65248)
`protect data_block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`protect end_protected
|
entity foo is
end foo;
use std.textio.all;
architecture only of foo is
begin -- only
process
variable x : integer := 0;
begin -- process
x := 1;
assert x = 1 report "TEST FAILED - x does not equal 1" severity failure;
assert x /= 1 report "TEST PASSED" severity note;
wait;
end process;
end only;
|
entity foo is
end foo;
use std.textio.all;
architecture only of foo is
begin -- only
process
variable x : integer := 0;
begin -- process
x := 1;
assert x = 1 report "TEST FAILED - x does not equal 1" severity failure;
assert x /= 1 report "TEST PASSED" severity note;
wait;
end process;
end only;
|
entity foo is
end foo;
use std.textio.all;
architecture only of foo is
begin -- only
process
variable x : integer := 0;
begin -- process
x := 1;
assert x = 1 report "TEST FAILED - x does not equal 1" severity failure;
assert x /= 1 report "TEST PASSED" severity note;
wait;
end process;
end only;
|
entity foo is
end foo;
use std.textio.all;
architecture only of foo is
begin -- only
process
variable x : integer := 0;
begin -- process
x := 1;
assert x = 1 report "TEST FAILED - x does not equal 1" severity failure;
assert x /= 1 report "TEST PASSED" severity note;
wait;
end process;
end only;
|
entity foo is
end foo;
use std.textio.all;
architecture only of foo is
begin -- only
process
variable x : integer := 0;
begin -- process
x := 1;
assert x = 1 report "TEST FAILED - x does not equal 1" severity failure;
assert x /= 1 report "TEST PASSED" severity note;
wait;
end process;
end only;
|
entity foo is
end foo;
use std.textio.all;
architecture only of foo is
begin -- only
process
variable x : integer := 0;
begin -- process
x := 1;
assert x = 1 report "TEST FAILED - x does not equal 1" severity failure;
assert x /= 1 report "TEST PASSED" severity note;
wait;
end process;
end only;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:inverter:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_inverter_0_0 IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END system_inverter_0_0;
ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT inverter IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END COMPONENT inverter;
BEGIN
U0 : inverter
PORT MAP (
x => x,
x_not => x_not
);
END system_inverter_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:inverter:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_inverter_0_0 IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END system_inverter_0_0;
ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT inverter IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END COMPONENT inverter;
BEGIN
U0 : inverter
PORT MAP (
x => x,
x_not => x_not
);
END system_inverter_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:inverter:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_inverter_0_0 IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END system_inverter_0_0;
ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT inverter IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END COMPONENT inverter;
BEGIN
U0 : inverter
PORT MAP (
x => x,
x_not => x_not
);
END system_inverter_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:inverter:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_inverter_0_0 IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END system_inverter_0_0;
ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT inverter IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END COMPONENT inverter;
BEGIN
U0 : inverter
PORT MAP (
x => x,
x_not => x_not
);
END system_inverter_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:inverter:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_inverter_0_0 IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END system_inverter_0_0;
ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT inverter IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END COMPONENT inverter;
BEGIN
U0 : inverter
PORT MAP (
x => x,
x_not => x_not
);
END system_inverter_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:inverter:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_inverter_0_0 IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END system_inverter_0_0;
ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT inverter IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END COMPONENT inverter;
BEGIN
U0 : inverter
PORT MAP (
x => x,
x_not => x_not
);
END system_inverter_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:inverter:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_inverter_0_0 IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END system_inverter_0_0;
ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT inverter IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END COMPONENT inverter;
BEGIN
U0 : inverter
PORT MAP (
x => x,
x_not => x_not
);
END system_inverter_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:inverter:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_inverter_0_0 IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END system_inverter_0_0;
ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT inverter IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END COMPONENT inverter;
BEGIN
U0 : inverter
PORT MAP (
x => x,
x_not => x_not
);
END system_inverter_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:inverter:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_inverter_0_0 IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END system_inverter_0_0;
ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT inverter IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END COMPONENT inverter;
BEGIN
U0 : inverter
PORT MAP (
x => x,
x_not => x_not
);
END system_inverter_0_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: user.org:user:inverter:1.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_inverter_0_0 IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END system_inverter_0_0;
ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT inverter IS
PORT (
x : IN STD_LOGIC;
x_not : OUT STD_LOGIC
);
END COMPONENT inverter;
BEGIN
U0 : inverter
PORT MAP (
x => x,
x_not => x_not
);
END system_inverter_0_0_arch;
|
this is not a genuine template ;-)
|
entity FIFO is
end entity;
entity FIFO is
end entity;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.mem_bus_pkg.all;
use work.io_bus_pkg.all;
entity ultimate_1541_700a is
generic (
g_version : unsigned(7 downto 0) := X"F9" );
port (
CLOCK : in std_logic;
-- slot side
PHI2 : in std_logic;
DOTCLK : in std_logic;
RSTn : inout std_logic;
BUFFER_ENn : out std_logic;
SLOT_ADDR : inout std_logic_vector(15 downto 0);
SLOT_DATA : inout std_logic_vector(7 downto 0);
RWn : inout std_logic;
BA : in std_logic;
DMAn : out std_logic;
EXROMn : inout std_logic;
GAMEn : inout std_logic;
ROMHn : in std_logic;
ROMLn : in std_logic;
IO1n : in std_logic;
IO2n : in std_logic;
IRQn : inout std_logic;
NMIn : inout std_logic;
-- local bus side
LB_ADDR : out std_logic_vector(14 downto 0); -- DRAM A
LB_DATA : inout std_logic_vector(7 downto 0);
SDRAM_CSn : out std_logic;
SDRAM_RASn : out std_logic;
SDRAM_CASn : out std_logic;
SDRAM_WEn : out std_logic;
SDRAM_DQM : out std_logic;
SDRAM_CKE : out std_logic;
SDRAM_CLK : out std_logic;
-- PWM outputs (for audio)
PWM_OUT : out std_logic_vector(1 downto 0) := "11";
-- IEC bus
IEC_ATN : inout std_logic;
IEC_DATA : inout std_logic;
IEC_CLOCK : inout std_logic;
IEC_RESET : in std_logic;
IEC_SRQ_IN : inout std_logic;
DISK_ACTn : out std_logic; -- activity LED
CART_LEDn : out std_logic;
SDACT_LEDn : out std_logic;
MOTOR_LEDn : out std_logic;
-- Debug UART
UART_TXD : out std_logic;
UART_RXD : in std_logic;
-- SD Card Interface
SD_SSn : out std_logic;
SD_CLK : out std_logic;
SD_MOSI : out std_logic;
SD_MISO : in std_logic;
SD_CARDDETn : in std_logic;
SD_DATA : inout std_logic_vector(2 downto 1);
-- RTC Interface
RTC_CS : out std_logic;
RTC_SCK : out std_logic;
RTC_MOSI : out std_logic;
RTC_MISO : in std_logic;
-- Flash Interface
FLASH_CSn : out std_logic;
FLASH_SCK : out std_logic;
FLASH_MOSI : out std_logic;
FLASH_MISO : in std_logic;
-- USB Interface (ULPI)
ULPI_RESET : out std_logic;
ULPI_CLOCK : in std_logic;
ULPI_NXT : in std_logic;
ULPI_STP : out std_logic;
ULPI_DIR : in std_logic;
ULPI_DATA : inout std_logic_vector(7 downto 0);
-- Cassette Interface
CAS_MOTOR : in std_logic := '0';
CAS_SENSE : inout std_logic := 'Z';
CAS_READ : inout std_logic := 'Z';
CAS_WRITE : inout std_logic := 'Z';
-- Buttons
BUTTON : in std_logic_vector(2 downto 0));
end ultimate_1541_700a;
architecture structural of ultimate_1541_700a is
attribute IFD_DELAY_VALUE : string;
attribute IFD_DELAY_VALUE of LB_DATA: signal is "0";
signal reset_in : std_logic;
signal dcm_lock : std_logic;
signal sys_clock : std_logic;
signal sys_reset : std_logic;
signal sys_clock_2x : std_logic;
signal sys_shifted : std_logic;
signal button_i : std_logic_vector(2 downto 0);
-- miscellaneous interconnect
signal ulpi_reset_i : std_logic;
-- memory controller interconnect
signal memctrl_inhibit : std_logic;
signal mem_req : t_mem_req;
signal mem_resp : t_mem_resp;
-- IEC open drain
signal iec_atn_o : std_logic;
signal iec_data_o : std_logic;
signal iec_clock_o : std_logic;
signal iec_srq_o : std_logic;
-- debug
signal scale_cnt : unsigned(11 downto 0) := X"000";
attribute iob : string;
attribute iob of scale_cnt : signal is "false";
begin
reset_in <= '1' when BUTTON="000" else '0'; -- all 3 buttons pressed
button_i <= not BUTTON;
i_clkgen: entity work.s3e_clockgen
port map (
clk_50 => CLOCK,
reset_in => reset_in,
dcm_lock => dcm_lock,
sys_clock => sys_clock, -- 50 MHz
sys_reset => sys_reset,
sys_shifted => sys_shifted,
-- sys_clock_2x => sys_clock_2x,
eth_clock => open );
i_logic: entity work.ultimate_logic
generic map (
g_version => g_version,
g_simulation => false,
g_clock_freq => 50_000_000,
g_baud_rate => 115_200,
g_timer_rate => 200_000,
g_icap => true,
g_uart => true,
g_drive_1541 => true,
g_drive_1541_2 => false,
g_hardware_gcr => true,
g_ram_expansion => true,
g_extended_reu => false,
g_stereo_sid => true,
g_hardware_iec => true,
g_iec_prog_tim => false,
g_c2n_streamer => true,
g_c2n_recorder => true,
g_cartridge => true,
g_command_intf => true,
g_drive_sound => true,
g_rtc_chip => true,
g_rtc_timer => false,
g_usb_host => true,
g_spi_flash => true,
g_vic_copper => false,
g_video_overlay => false,
g_sampler => true,
g_analyzer => false )
port map (
-- globals
sys_clock => sys_clock,
sys_reset => sys_reset,
ulpi_clock => ulpi_clock,
ulpi_reset => ulpi_reset_i,
-- slot side
PHI2 => PHI2,
DOTCLK => DOTCLK,
RSTn => RSTn,
BUFFER_ENn => BUFFER_ENn,
SLOT_ADDR => SLOT_ADDR,
SLOT_DATA => SLOT_DATA,
RWn => RWn,
BA => BA,
DMAn => DMAn,
EXROMn => EXROMn,
GAMEn => GAMEn,
ROMHn => ROMHn,
ROMLn => ROMLn,
IO1n => IO1n,
IO2n => IO2n,
IRQn => IRQn,
NMIn => NMIn,
-- local bus side
mem_inhibit => memctrl_inhibit,
--memctrl_idle => memctrl_idle,
mem_req => mem_req,
mem_resp => mem_resp,
-- PWM outputs (for audio)
PWM_OUT => PWM_OUT,
-- IEC bus
iec_reset_i => IEC_RESET,
iec_atn_i => IEC_ATN,
iec_data_i => IEC_DATA,
iec_clock_i => IEC_CLOCK,
iec_srq_i => IEC_SRQ_IN,
iec_reset_o => open,
iec_atn_o => iec_atn_o,
iec_data_o => iec_data_o,
iec_clock_o => iec_clock_o,
iec_srq_o => iec_srq_o,
DISK_ACTn => DISK_ACTn, -- activity LED
CART_LEDn => CART_LEDn,
SDACT_LEDn => SDACT_LEDn,
MOTOR_LEDn => MOTOR_LEDn,
-- Debug UART
UART_TXD => UART_TXD,
UART_RXD => UART_RXD,
-- SD Card Interface
SD_SSn => SD_SSn,
SD_CLK => SD_CLK,
SD_MOSI => SD_MOSI,
SD_MISO => SD_MISO,
SD_CARDDETn => SD_CARDDETn,
SD_DATA => SD_DATA,
-- RTC Interface
RTC_CS => RTC_CS,
RTC_SCK => RTC_SCK,
RTC_MOSI => RTC_MOSI,
RTC_MISO => RTC_MISO,
-- Flash Interface
FLASH_CSn => FLASH_CSn,
FLASH_SCK => FLASH_SCK,
FLASH_MOSI => FLASH_MOSI,
FLASH_MISO => FLASH_MISO,
-- USB Interface (ULPI)
ULPI_NXT => ULPI_NXT,
ULPI_STP => ULPI_STP,
ULPI_DIR => ULPI_DIR,
ULPI_DATA => ULPI_DATA,
-- Cassette Interface
CAS_MOTOR => CAS_MOTOR,
CAS_SENSE => CAS_SENSE,
CAS_READ => CAS_READ,
CAS_WRITE => CAS_WRITE,
vid_clock => sys_clock,
vid_reset => sys_reset,
vid_h_count => X"000",
vid_v_count => X"000",
vid_active => open,
vid_opaque => open,
vid_data => open,
-- Buttons
BUTTON => button_i );
IEC_ATN <= '0' when iec_atn_o = '0' else 'Z';
IEC_DATA <= '0' when iec_data_o = '0' else 'Z';
IEC_CLOCK <= '0' when iec_clock_o = '0' else 'Z';
IEC_SRQ_IN <= '0' when iec_srq_o = '0' else 'Z';
i_memctrl: entity work.ext_mem_ctrl_v4b
generic map (
g_simulation => false,
A_Width => 15 )
port map (
clock => sys_clock,
clk_shifted => sys_shifted,
reset => sys_reset,
inhibit => memctrl_inhibit,
is_idle => open, --memctrl_idle,
req => mem_req,
resp => mem_resp,
SDRAM_CSn => SDRAM_CSn,
SDRAM_RASn => SDRAM_RASn,
SDRAM_CASn => SDRAM_CASn,
SDRAM_WEn => SDRAM_WEn,
SDRAM_CKE => SDRAM_CKE,
SDRAM_CLK => SDRAM_CLK,
MEM_A => LB_ADDR,
MEM_D => LB_DATA );
-- tie offs
SDRAM_DQM <= '0';
process(ulpi_clock, reset_in)
begin
if rising_edge(ulpi_clock) then
ulpi_reset_i <= sys_reset;
end if;
if reset_in='1' then
ulpi_reset_i <= '1';
end if;
end process;
process(ulpi_clock)
begin
if rising_edge(ulpi_clock) then
scale_cnt <= scale_cnt + 1;
end if;
end process;
ULPI_RESET <= ulpi_reset_i;
end structural;
|
architecture rtl of fifo is
begin
procedure_call_label : postponed wr_en(a, b);
procedure_call_label : postponed wr_en(a, b);
process_label : process
begin
procedure_call_label : wr_en(a, b);
procedure_call_label : wr_en(a, b);
end process;
end architecture rtl;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1354.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p02n01i01354ent IS
END c08s05b00x00p02n01i01354ent;
ARCHITECTURE c08s05b00x00p02n01i01354arch OF c08s05b00x00p02n01i01354ent IS
BEGIN
TESTING: PROCESS
variable a : integer := 1;
BEGIN
a := 10;
assert NOT(a = 10)
report "***PASSED TEST: c08s05b00x00p02n01i01354"
severity NOTE;
assert (a = 10)
report "***FAILED TEST: c08s05b00x00p02n01i01354 - Target of a variable assignment can only be a name or an aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p02n01i01354arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1354.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p02n01i01354ent IS
END c08s05b00x00p02n01i01354ent;
ARCHITECTURE c08s05b00x00p02n01i01354arch OF c08s05b00x00p02n01i01354ent IS
BEGIN
TESTING: PROCESS
variable a : integer := 1;
BEGIN
a := 10;
assert NOT(a = 10)
report "***PASSED TEST: c08s05b00x00p02n01i01354"
severity NOTE;
assert (a = 10)
report "***FAILED TEST: c08s05b00x00p02n01i01354 - Target of a variable assignment can only be a name or an aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p02n01i01354arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1354.vhd,v 1.2 2001-10-26 16:29:40 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c08s05b00x00p02n01i01354ent IS
END c08s05b00x00p02n01i01354ent;
ARCHITECTURE c08s05b00x00p02n01i01354arch OF c08s05b00x00p02n01i01354ent IS
BEGIN
TESTING: PROCESS
variable a : integer := 1;
BEGIN
a := 10;
assert NOT(a = 10)
report "***PASSED TEST: c08s05b00x00p02n01i01354"
severity NOTE;
assert (a = 10)
report "***FAILED TEST: c08s05b00x00p02n01i01354 - Target of a variable assignment can only be a name or an aggregate."
severity ERROR;
wait;
END PROCESS TESTING;
END c08s05b00x00p02n01i01354arch;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tuberom_65c102_banner is
port (
CLK : in std_logic;
ADDR : in std_logic_vector(10 downto 0);
DATA : out std_logic_vector(7 downto 0)
);
end;
architecture RTL of tuberom_65c102_banner is
signal rom_addr : std_logic_vector(11 downto 0);
begin
p_addr : process(ADDR)
begin
rom_addr <= (others => '0');
rom_addr(10 downto 0) <= ADDR;
end process;
p_rom : process
begin
wait until rising_edge(CLK);
DATA <= (others => '0');
case rom_addr is
when x"000" => DATA <= x"A2";
when x"001" => DATA <= x"00";
when x"002" => DATA <= x"BD";
when x"003" => DATA <= x"00";
when x"004" => DATA <= x"FF";
when x"005" => DATA <= x"9D";
when x"006" => DATA <= x"00";
when x"007" => DATA <= x"FF";
when x"008" => DATA <= x"CA";
when x"009" => DATA <= x"D0";
when x"00A" => DATA <= x"F7";
when x"00B" => DATA <= x"A2";
when x"00C" => DATA <= x"36";
when x"00D" => DATA <= x"BD";
when x"00E" => DATA <= x"80";
when x"00F" => DATA <= x"FF";
when x"010" => DATA <= x"9D";
when x"011" => DATA <= x"00";
when x"012" => DATA <= x"02";
when x"013" => DATA <= x"CA";
when x"014" => DATA <= x"10";
when x"015" => DATA <= x"F7";
when x"016" => DATA <= x"9A";
when x"017" => DATA <= x"A2";
when x"018" => DATA <= x"F0";
when x"019" => DATA <= x"BD";
when x"01A" => DATA <= x"FF";
when x"01B" => DATA <= x"FD";
when x"01C" => DATA <= x"9D";
when x"01D" => DATA <= x"FF";
when x"01E" => DATA <= x"FD";
when x"01F" => DATA <= x"CA";
when x"020" => DATA <= x"D0";
when x"021" => DATA <= x"F7";
when x"022" => DATA <= x"A0";
when x"023" => DATA <= x"00";
when x"024" => DATA <= x"84";
when x"025" => DATA <= x"F8";
when x"026" => DATA <= x"A9";
when x"027" => DATA <= x"F8";
when x"028" => DATA <= x"85";
when x"029" => DATA <= x"F9";
when x"02A" => DATA <= x"B1";
when x"02B" => DATA <= x"F8";
when x"02C" => DATA <= x"91";
when x"02D" => DATA <= x"F8";
when x"02E" => DATA <= x"C8";
when x"02F" => DATA <= x"D0";
when x"030" => DATA <= x"F9";
when x"031" => DATA <= x"E6";
when x"032" => DATA <= x"F9";
when x"033" => DATA <= x"A5";
when x"034" => DATA <= x"F9";
when x"035" => DATA <= x"C9";
when x"036" => DATA <= x"FE";
when x"037" => DATA <= x"D0";
when x"038" => DATA <= x"F1";
when x"039" => DATA <= x"A2";
when x"03A" => DATA <= x"10";
when x"03B" => DATA <= x"BD";
when x"03C" => DATA <= x"59";
when x"03D" => DATA <= x"F8";
when x"03E" => DATA <= x"9D";
when x"03F" => DATA <= x"00";
when x"040" => DATA <= x"01";
when x"041" => DATA <= x"CA";
when x"042" => DATA <= x"10";
when x"043" => DATA <= x"F7";
when x"044" => DATA <= x"A5";
when x"045" => DATA <= x"EE";
when x"046" => DATA <= x"85";
when x"047" => DATA <= x"F6";
when x"048" => DATA <= x"A5";
when x"049" => DATA <= x"EF";
when x"04A" => DATA <= x"85";
when x"04B" => DATA <= x"F7";
when x"04C" => DATA <= x"A9";
when x"04D" => DATA <= x"00";
when x"04E" => DATA <= x"85";
when x"04F" => DATA <= x"FF";
when x"050" => DATA <= x"85";
when x"051" => DATA <= x"F2";
when x"052" => DATA <= x"A9";
when x"053" => DATA <= x"F8";
when x"054" => DATA <= x"85";
when x"055" => DATA <= x"F3";
when x"056" => DATA <= x"4C";
when x"057" => DATA <= x"00";
when x"058" => DATA <= x"01";
when x"059" => DATA <= x"AD";
when x"05A" => DATA <= x"F8";
when x"05B" => DATA <= x"FE";
when x"05C" => DATA <= x"58";
when x"05D" => DATA <= x"4C";
when x"05E" => DATA <= x"60";
when x"05F" => DATA <= x"F8";
when x"060" => DATA <= x"20";
when x"061" => DATA <= x"A5";
when x"062" => DATA <= x"FE";
when x"063" => DATA <= x"0A";
when x"064" => DATA <= x"41";
when x"065" => DATA <= x"63";
when x"066" => DATA <= x"6F";
when x"067" => DATA <= x"72";
when x"068" => DATA <= x"6E";
when x"069" => DATA <= x"20";
when x"06A" => DATA <= x"54";
when x"06B" => DATA <= x"55";
when x"06C" => DATA <= x"42";
when x"06D" => DATA <= x"45";
when x"06E" => DATA <= x"20";
when x"06F" => DATA <= x"3F";
when x"070" => DATA <= x"3F";
when x"071" => DATA <= x"4D";
when x"072" => DATA <= x"48";
when x"073" => DATA <= x"7A";
when x"074" => DATA <= x"20";
when x"075" => DATA <= x"36";
when x"076" => DATA <= x"35";
when x"077" => DATA <= x"43";
when x"078" => DATA <= x"31";
when x"079" => DATA <= x"30";
when x"07A" => DATA <= x"32";
when x"07B" => DATA <= x"20";
when x"07C" => DATA <= x"43";
when x"07D" => DATA <= x"6F";
when x"07E" => DATA <= x"2D";
when x"07F" => DATA <= x"50";
when x"080" => DATA <= x"72";
when x"081" => DATA <= x"6F";
when x"082" => DATA <= x"0A";
when x"083" => DATA <= x"0A";
when x"084" => DATA <= x"0D";
when x"085" => DATA <= x"00";
when x"086" => DATA <= x"EA";
when x"087" => DATA <= x"A9";
when x"088" => DATA <= x"98";
when x"089" => DATA <= x"8D";
when x"08A" => DATA <= x"5E";
when x"08B" => DATA <= x"F8";
when x"08C" => DATA <= x"A9";
when x"08D" => DATA <= x"F8";
when x"08E" => DATA <= x"8D";
when x"08F" => DATA <= x"5F";
when x"090" => DATA <= x"F8";
when x"091" => DATA <= x"20";
when x"092" => DATA <= x"80";
when x"093" => DATA <= x"F9";
when x"094" => DATA <= x"C9";
when x"095" => DATA <= x"80";
when x"096" => DATA <= x"F0";
when x"097" => DATA <= x"28";
when x"098" => DATA <= x"A9";
when x"099" => DATA <= x"2A";
when x"09A" => DATA <= x"20";
when x"09B" => DATA <= x"EE";
when x"09C" => DATA <= x"FF";
when x"09D" => DATA <= x"A2";
when x"09E" => DATA <= x"68";
when x"09F" => DATA <= x"A0";
when x"0A0" => DATA <= x"F9";
when x"0A1" => DATA <= x"A9";
when x"0A2" => DATA <= x"00";
when x"0A3" => DATA <= x"20";
when x"0A4" => DATA <= x"F1";
when x"0A5" => DATA <= x"FF";
when x"0A6" => DATA <= x"B0";
when x"0A7" => DATA <= x"0A";
when x"0A8" => DATA <= x"A2";
when x"0A9" => DATA <= x"36";
when x"0AA" => DATA <= x"A0";
when x"0AB" => DATA <= x"02";
when x"0AC" => DATA <= x"20";
when x"0AD" => DATA <= x"F7";
when x"0AE" => DATA <= x"FF";
when x"0AF" => DATA <= x"4C";
when x"0B0" => DATA <= x"98";
when x"0B1" => DATA <= x"F8";
when x"0B2" => DATA <= x"A9";
when x"0B3" => DATA <= x"7E";
when x"0B4" => DATA <= x"20";
when x"0B5" => DATA <= x"F4";
when x"0B6" => DATA <= x"FF";
when x"0B7" => DATA <= x"00";
when x"0B8" => DATA <= x"11";
when x"0B9" => DATA <= x"45";
when x"0BA" => DATA <= x"73";
when x"0BB" => DATA <= x"63";
when x"0BC" => DATA <= x"61";
when x"0BD" => DATA <= x"70";
when x"0BE" => DATA <= x"65";
when x"0BF" => DATA <= x"00";
when x"0C0" => DATA <= x"A5";
when x"0C1" => DATA <= x"F6";
when x"0C2" => DATA <= x"85";
when x"0C3" => DATA <= x"EE";
when x"0C4" => DATA <= x"85";
when x"0C5" => DATA <= x"F2";
when x"0C6" => DATA <= x"A5";
when x"0C7" => DATA <= x"F7";
when x"0C8" => DATA <= x"85";
when x"0C9" => DATA <= x"EF";
when x"0CA" => DATA <= x"85";
when x"0CB" => DATA <= x"F3";
when x"0CC" => DATA <= x"A0";
when x"0CD" => DATA <= x"07";
when x"0CE" => DATA <= x"B1";
when x"0CF" => DATA <= x"EE";
when x"0D0" => DATA <= x"D8";
when x"0D1" => DATA <= x"18";
when x"0D2" => DATA <= x"65";
when x"0D3" => DATA <= x"EE";
when x"0D4" => DATA <= x"85";
when x"0D5" => DATA <= x"FD";
when x"0D6" => DATA <= x"A9";
when x"0D7" => DATA <= x"00";
when x"0D8" => DATA <= x"65";
when x"0D9" => DATA <= x"EF";
when x"0DA" => DATA <= x"85";
when x"0DB" => DATA <= x"FE";
when x"0DC" => DATA <= x"A0";
when x"0DD" => DATA <= x"00";
when x"0DE" => DATA <= x"B1";
when x"0DF" => DATA <= x"FD";
when x"0E0" => DATA <= x"D0";
when x"0E1" => DATA <= x"23";
when x"0E2" => DATA <= x"C8";
when x"0E3" => DATA <= x"B1";
when x"0E4" => DATA <= x"FD";
when x"0E5" => DATA <= x"C9";
when x"0E6" => DATA <= x"28";
when x"0E7" => DATA <= x"D0";
when x"0E8" => DATA <= x"1C";
when x"0E9" => DATA <= x"C8";
when x"0EA" => DATA <= x"B1";
when x"0EB" => DATA <= x"FD";
when x"0EC" => DATA <= x"C9";
when x"0ED" => DATA <= x"43";
when x"0EE" => DATA <= x"D0";
when x"0EF" => DATA <= x"15";
when x"0F0" => DATA <= x"C8";
when x"0F1" => DATA <= x"B1";
when x"0F2" => DATA <= x"FD";
when x"0F3" => DATA <= x"C9";
when x"0F4" => DATA <= x"29";
when x"0F5" => DATA <= x"D0";
when x"0F6" => DATA <= x"0E";
when x"0F7" => DATA <= x"A0";
when x"0F8" => DATA <= x"06";
when x"0F9" => DATA <= x"B1";
when x"0FA" => DATA <= x"EE";
when x"0FB" => DATA <= x"29";
when x"0FC" => DATA <= x"4F";
when x"0FD" => DATA <= x"C9";
when x"0FE" => DATA <= x"40";
when x"0FF" => DATA <= x"90";
when x"100" => DATA <= x"09";
when x"101" => DATA <= x"29";
when x"102" => DATA <= x"0D";
when x"103" => DATA <= x"D0";
when x"104" => DATA <= x"28";
when x"105" => DATA <= x"A9";
when x"106" => DATA <= x"01";
when x"107" => DATA <= x"6C";
when x"108" => DATA <= x"F2";
when x"109" => DATA <= x"00";
when x"10A" => DATA <= x"A9";
when x"10B" => DATA <= x"50";
when x"10C" => DATA <= x"8D";
when x"10D" => DATA <= x"02";
when x"10E" => DATA <= x"02";
when x"10F" => DATA <= x"A9";
when x"110" => DATA <= x"F9";
when x"111" => DATA <= x"8D";
when x"112" => DATA <= x"03";
when x"113" => DATA <= x"02";
when x"114" => DATA <= x"00";
when x"115" => DATA <= x"00";
when x"116" => DATA <= x"54";
when x"117" => DATA <= x"68";
when x"118" => DATA <= x"69";
when x"119" => DATA <= x"73";
when x"11A" => DATA <= x"20";
when x"11B" => DATA <= x"69";
when x"11C" => DATA <= x"73";
when x"11D" => DATA <= x"20";
when x"11E" => DATA <= x"6E";
when x"11F" => DATA <= x"6F";
when x"120" => DATA <= x"74";
when x"121" => DATA <= x"20";
when x"122" => DATA <= x"61";
when x"123" => DATA <= x"20";
when x"124" => DATA <= x"6C";
when x"125" => DATA <= x"61";
when x"126" => DATA <= x"6E";
when x"127" => DATA <= x"67";
when x"128" => DATA <= x"75";
when x"129" => DATA <= x"61";
when x"12A" => DATA <= x"67";
when x"12B" => DATA <= x"65";
when x"12C" => DATA <= x"00";
when x"12D" => DATA <= x"A9";
when x"12E" => DATA <= x"50";
when x"12F" => DATA <= x"8D";
when x"130" => DATA <= x"02";
when x"131" => DATA <= x"02";
when x"132" => DATA <= x"A9";
when x"133" => DATA <= x"F9";
when x"134" => DATA <= x"8D";
when x"135" => DATA <= x"03";
when x"136" => DATA <= x"02";
when x"137" => DATA <= x"00";
when x"138" => DATA <= x"00";
when x"139" => DATA <= x"49";
when x"13A" => DATA <= x"20";
when x"13B" => DATA <= x"63";
when x"13C" => DATA <= x"61";
when x"13D" => DATA <= x"6E";
when x"13E" => DATA <= x"6E";
when x"13F" => DATA <= x"6F";
when x"140" => DATA <= x"74";
when x"141" => DATA <= x"20";
when x"142" => DATA <= x"72";
when x"143" => DATA <= x"75";
when x"144" => DATA <= x"6E";
when x"145" => DATA <= x"20";
when x"146" => DATA <= x"74";
when x"147" => DATA <= x"68";
when x"148" => DATA <= x"69";
when x"149" => DATA <= x"73";
when x"14A" => DATA <= x"20";
when x"14B" => DATA <= x"63";
when x"14C" => DATA <= x"6F";
when x"14D" => DATA <= x"64";
when x"14E" => DATA <= x"65";
when x"14F" => DATA <= x"00";
when x"150" => DATA <= x"A2";
when x"151" => DATA <= x"FF";
when x"152" => DATA <= x"9A";
when x"153" => DATA <= x"20";
when x"154" => DATA <= x"E7";
when x"155" => DATA <= x"FF";
when x"156" => DATA <= x"A0";
when x"157" => DATA <= x"01";
when x"158" => DATA <= x"B1";
when x"159" => DATA <= x"FD";
when x"15A" => DATA <= x"F0";
when x"15B" => DATA <= x"06";
when x"15C" => DATA <= x"20";
when x"15D" => DATA <= x"EE";
when x"15E" => DATA <= x"FF";
when x"15F" => DATA <= x"C8";
when x"160" => DATA <= x"D0";
when x"161" => DATA <= x"F6";
when x"162" => DATA <= x"20";
when x"163" => DATA <= x"E7";
when x"164" => DATA <= x"FF";
when x"165" => DATA <= x"4C";
when x"166" => DATA <= x"98";
when x"167" => DATA <= x"F8";
when x"168" => DATA <= x"36";
when x"169" => DATA <= x"02";
when x"16A" => DATA <= x"CA";
when x"16B" => DATA <= x"20";
when x"16C" => DATA <= x"FF";
when x"16D" => DATA <= x"2C";
when x"16E" => DATA <= x"F8";
when x"16F" => DATA <= x"FE";
when x"170" => DATA <= x"EA";
when x"171" => DATA <= x"50";
when x"172" => DATA <= x"FA";
when x"173" => DATA <= x"8D";
when x"174" => DATA <= x"F9";
when x"175" => DATA <= x"FE";
when x"176" => DATA <= x"60";
when x"177" => DATA <= x"A9";
when x"178" => DATA <= x"00";
when x"179" => DATA <= x"20";
when x"17A" => DATA <= x"57";
when x"17B" => DATA <= x"FC";
when x"17C" => DATA <= x"20";
when x"17D" => DATA <= x"80";
when x"17E" => DATA <= x"F9";
when x"17F" => DATA <= x"0A";
when x"180" => DATA <= x"2C";
when x"181" => DATA <= x"FA";
when x"182" => DATA <= x"FE";
when x"183" => DATA <= x"10";
when x"184" => DATA <= x"FB";
when x"185" => DATA <= x"AD";
when x"186" => DATA <= x"FB";
when x"187" => DATA <= x"FE";
when x"188" => DATA <= x"60";
when x"189" => DATA <= x"C8";
when x"18A" => DATA <= x"B1";
when x"18B" => DATA <= x"F8";
when x"18C" => DATA <= x"C9";
when x"18D" => DATA <= x"20";
when x"18E" => DATA <= x"F0";
when x"18F" => DATA <= x"F9";
when x"190" => DATA <= x"60";
when x"191" => DATA <= x"A2";
when x"192" => DATA <= x"00";
when x"193" => DATA <= x"86";
when x"194" => DATA <= x"F0";
when x"195" => DATA <= x"86";
when x"196" => DATA <= x"F1";
when x"197" => DATA <= x"B1";
when x"198" => DATA <= x"F8";
when x"199" => DATA <= x"C9";
when x"19A" => DATA <= x"30";
when x"19B" => DATA <= x"90";
when x"19C" => DATA <= x"1F";
when x"19D" => DATA <= x"C9";
when x"19E" => DATA <= x"3A";
when x"19F" => DATA <= x"90";
when x"1A0" => DATA <= x"0A";
when x"1A1" => DATA <= x"29";
when x"1A2" => DATA <= x"DF";
when x"1A3" => DATA <= x"E9";
when x"1A4" => DATA <= x"07";
when x"1A5" => DATA <= x"90";
when x"1A6" => DATA <= x"15";
when x"1A7" => DATA <= x"C9";
when x"1A8" => DATA <= x"40";
when x"1A9" => DATA <= x"B0";
when x"1AA" => DATA <= x"11";
when x"1AB" => DATA <= x"0A";
when x"1AC" => DATA <= x"0A";
when x"1AD" => DATA <= x"0A";
when x"1AE" => DATA <= x"0A";
when x"1AF" => DATA <= x"A2";
when x"1B0" => DATA <= x"03";
when x"1B1" => DATA <= x"0A";
when x"1B2" => DATA <= x"26";
when x"1B3" => DATA <= x"F0";
when x"1B4" => DATA <= x"26";
when x"1B5" => DATA <= x"F1";
when x"1B6" => DATA <= x"CA";
when x"1B7" => DATA <= x"10";
when x"1B8" => DATA <= x"F8";
when x"1B9" => DATA <= x"C8";
when x"1BA" => DATA <= x"D0";
when x"1BB" => DATA <= x"DB";
when x"1BC" => DATA <= x"60";
when x"1BD" => DATA <= x"86";
when x"1BE" => DATA <= x"F8";
when x"1BF" => DATA <= x"84";
when x"1C0" => DATA <= x"F9";
when x"1C1" => DATA <= x"A0";
when x"1C2" => DATA <= x"00";
when x"1C3" => DATA <= x"2C";
when x"1C4" => DATA <= x"FA";
when x"1C5" => DATA <= x"FE";
when x"1C6" => DATA <= x"50";
when x"1C7" => DATA <= x"FB";
when x"1C8" => DATA <= x"B1";
when x"1C9" => DATA <= x"F8";
when x"1CA" => DATA <= x"8D";
when x"1CB" => DATA <= x"FB";
when x"1CC" => DATA <= x"FE";
when x"1CD" => DATA <= x"C8";
when x"1CE" => DATA <= x"C9";
when x"1CF" => DATA <= x"0D";
when x"1D0" => DATA <= x"D0";
when x"1D1" => DATA <= x"F1";
when x"1D2" => DATA <= x"A4";
when x"1D3" => DATA <= x"F9";
when x"1D4" => DATA <= x"60";
when x"1D5" => DATA <= x"48";
when x"1D6" => DATA <= x"86";
when x"1D7" => DATA <= x"F8";
when x"1D8" => DATA <= x"84";
when x"1D9" => DATA <= x"F9";
when x"1DA" => DATA <= x"A0";
when x"1DB" => DATA <= x"00";
when x"1DC" => DATA <= x"20";
when x"1DD" => DATA <= x"8A";
when x"1DE" => DATA <= x"F9";
when x"1DF" => DATA <= x"C8";
when x"1E0" => DATA <= x"C9";
when x"1E1" => DATA <= x"2A";
when x"1E2" => DATA <= x"F0";
when x"1E3" => DATA <= x"F8";
when x"1E4" => DATA <= x"29";
when x"1E5" => DATA <= x"DF";
when x"1E6" => DATA <= x"AA";
when x"1E7" => DATA <= x"B1";
when x"1E8" => DATA <= x"F8";
when x"1E9" => DATA <= x"E0";
when x"1EA" => DATA <= x"47";
when x"1EB" => DATA <= x"F0";
when x"1EC" => DATA <= x"5E";
when x"1ED" => DATA <= x"E0";
when x"1EE" => DATA <= x"48";
when x"1EF" => DATA <= x"D0";
when x"1F0" => DATA <= x"49";
when x"1F1" => DATA <= x"C9";
when x"1F2" => DATA <= x"2E";
when x"1F3" => DATA <= x"F0";
when x"1F4" => DATA <= x"2D";
when x"1F5" => DATA <= x"29";
when x"1F6" => DATA <= x"DF";
when x"1F7" => DATA <= x"C9";
when x"1F8" => DATA <= x"45";
when x"1F9" => DATA <= x"D0";
when x"1FA" => DATA <= x"3F";
when x"1FB" => DATA <= x"C8";
when x"1FC" => DATA <= x"B1";
when x"1FD" => DATA <= x"F8";
when x"1FE" => DATA <= x"C9";
when x"1FF" => DATA <= x"2E";
when x"200" => DATA <= x"F0";
when x"201" => DATA <= x"20";
when x"202" => DATA <= x"29";
when x"203" => DATA <= x"DF";
when x"204" => DATA <= x"C9";
when x"205" => DATA <= x"4C";
when x"206" => DATA <= x"D0";
when x"207" => DATA <= x"32";
when x"208" => DATA <= x"C8";
when x"209" => DATA <= x"B1";
when x"20A" => DATA <= x"F8";
when x"20B" => DATA <= x"C9";
when x"20C" => DATA <= x"2E";
when x"20D" => DATA <= x"F0";
when x"20E" => DATA <= x"13";
when x"20F" => DATA <= x"29";
when x"210" => DATA <= x"DF";
when x"211" => DATA <= x"C9";
when x"212" => DATA <= x"50";
when x"213" => DATA <= x"D0";
when x"214" => DATA <= x"25";
when x"215" => DATA <= x"C8";
when x"216" => DATA <= x"B1";
when x"217" => DATA <= x"F8";
when x"218" => DATA <= x"29";
when x"219" => DATA <= x"DF";
when x"21A" => DATA <= x"C9";
when x"21B" => DATA <= x"41";
when x"21C" => DATA <= x"90";
when x"21D" => DATA <= x"04";
when x"21E" => DATA <= x"C9";
when x"21F" => DATA <= x"5B";
when x"220" => DATA <= x"90";
when x"221" => DATA <= x"18";
when x"222" => DATA <= x"20";
when x"223" => DATA <= x"A5";
when x"224" => DATA <= x"FE";
when x"225" => DATA <= x"0A";
when x"226" => DATA <= x"0D";
when x"227" => DATA <= x"36";
when x"228" => DATA <= x"35";
when x"229" => DATA <= x"43";
when x"22A" => DATA <= x"31";
when x"22B" => DATA <= x"30";
when x"22C" => DATA <= x"32";
when x"22D" => DATA <= x"20";
when x"22E" => DATA <= x"54";
when x"22F" => DATA <= x"55";
when x"230" => DATA <= x"42";
when x"231" => DATA <= x"45";
when x"232" => DATA <= x"20";
when x"233" => DATA <= x"31";
when x"234" => DATA <= x"2E";
when x"235" => DATA <= x"31";
when x"236" => DATA <= x"30";
when x"237" => DATA <= x"0A";
when x"238" => DATA <= x"0D";
when x"239" => DATA <= x"EA";
when x"23A" => DATA <= x"A9";
when x"23B" => DATA <= x"02";
when x"23C" => DATA <= x"20";
when x"23D" => DATA <= x"57";
when x"23E" => DATA <= x"FC";
when x"23F" => DATA <= x"20";
when x"240" => DATA <= x"C1";
when x"241" => DATA <= x"F9";
when x"242" => DATA <= x"20";
when x"243" => DATA <= x"80";
when x"244" => DATA <= x"F9";
when x"245" => DATA <= x"C9";
when x"246" => DATA <= x"80";
when x"247" => DATA <= x"F0";
when x"248" => DATA <= x"20";
when x"249" => DATA <= x"68";
when x"24A" => DATA <= x"60";
when x"24B" => DATA <= x"29";
when x"24C" => DATA <= x"DF";
when x"24D" => DATA <= x"C9";
when x"24E" => DATA <= x"4F";
when x"24F" => DATA <= x"D0";
when x"250" => DATA <= x"E9";
when x"251" => DATA <= x"20";
when x"252" => DATA <= x"89";
when x"253" => DATA <= x"F9";
when x"254" => DATA <= x"20";
when x"255" => DATA <= x"91";
when x"256" => DATA <= x"F9";
when x"257" => DATA <= x"20";
when x"258" => DATA <= x"8A";
when x"259" => DATA <= x"F9";
when x"25A" => DATA <= x"C9";
when x"25B" => DATA <= x"0D";
when x"25C" => DATA <= x"D0";
when x"25D" => DATA <= x"DC";
when x"25E" => DATA <= x"8A";
when x"25F" => DATA <= x"F0";
when x"260" => DATA <= x"08";
when x"261" => DATA <= x"A5";
when x"262" => DATA <= x"F0";
when x"263" => DATA <= x"85";
when x"264" => DATA <= x"F6";
when x"265" => DATA <= x"A5";
when x"266" => DATA <= x"F1";
when x"267" => DATA <= x"85";
when x"268" => DATA <= x"F7";
when x"269" => DATA <= x"A5";
when x"26A" => DATA <= x"EF";
when x"26B" => DATA <= x"48";
when x"26C" => DATA <= x"A5";
when x"26D" => DATA <= x"EE";
when x"26E" => DATA <= x"48";
when x"26F" => DATA <= x"20";
when x"270" => DATA <= x"C0";
when x"271" => DATA <= x"F8";
when x"272" => DATA <= x"68";
when x"273" => DATA <= x"85";
when x"274" => DATA <= x"EE";
when x"275" => DATA <= x"85";
when x"276" => DATA <= x"F2";
when x"277" => DATA <= x"68";
when x"278" => DATA <= x"85";
when x"279" => DATA <= x"EF";
when x"27A" => DATA <= x"85";
when x"27B" => DATA <= x"F3";
when x"27C" => DATA <= x"68";
when x"27D" => DATA <= x"60";
when x"27E" => DATA <= x"F0";
when x"27F" => DATA <= x"C2";
when x"280" => DATA <= x"C9";
when x"281" => DATA <= x"80";
when x"282" => DATA <= x"B0";
when x"283" => DATA <= x"25";
when x"284" => DATA <= x"48";
when x"285" => DATA <= x"A9";
when x"286" => DATA <= x"04";
when x"287" => DATA <= x"2C";
when x"288" => DATA <= x"FA";
when x"289" => DATA <= x"FE";
when x"28A" => DATA <= x"50";
when x"28B" => DATA <= x"FB";
when x"28C" => DATA <= x"8D";
when x"28D" => DATA <= x"FB";
when x"28E" => DATA <= x"FE";
when x"28F" => DATA <= x"2C";
when x"290" => DATA <= x"FA";
when x"291" => DATA <= x"FE";
when x"292" => DATA <= x"50";
when x"293" => DATA <= x"FB";
when x"294" => DATA <= x"8E";
when x"295" => DATA <= x"FB";
when x"296" => DATA <= x"FE";
when x"297" => DATA <= x"68";
when x"298" => DATA <= x"2C";
when x"299" => DATA <= x"FA";
when x"29A" => DATA <= x"FE";
when x"29B" => DATA <= x"50";
when x"29C" => DATA <= x"FB";
when x"29D" => DATA <= x"8D";
when x"29E" => DATA <= x"FB";
when x"29F" => DATA <= x"FE";
when x"2A0" => DATA <= x"2C";
when x"2A1" => DATA <= x"FA";
when x"2A2" => DATA <= x"FE";
when x"2A3" => DATA <= x"10";
when x"2A4" => DATA <= x"FB";
when x"2A5" => DATA <= x"AE";
when x"2A6" => DATA <= x"FB";
when x"2A7" => DATA <= x"FE";
when x"2A8" => DATA <= x"60";
when x"2A9" => DATA <= x"C9";
when x"2AA" => DATA <= x"82";
when x"2AB" => DATA <= x"F0";
when x"2AC" => DATA <= x"5A";
when x"2AD" => DATA <= x"C9";
when x"2AE" => DATA <= x"83";
when x"2AF" => DATA <= x"F0";
when x"2B0" => DATA <= x"51";
when x"2B1" => DATA <= x"C9";
when x"2B2" => DATA <= x"84";
when x"2B3" => DATA <= x"F0";
when x"2B4" => DATA <= x"48";
when x"2B5" => DATA <= x"48";
when x"2B6" => DATA <= x"A9";
when x"2B7" => DATA <= x"06";
when x"2B8" => DATA <= x"2C";
when x"2B9" => DATA <= x"FA";
when x"2BA" => DATA <= x"FE";
when x"2BB" => DATA <= x"50";
when x"2BC" => DATA <= x"FB";
when x"2BD" => DATA <= x"8D";
when x"2BE" => DATA <= x"FB";
when x"2BF" => DATA <= x"FE";
when x"2C0" => DATA <= x"2C";
when x"2C1" => DATA <= x"FA";
when x"2C2" => DATA <= x"FE";
when x"2C3" => DATA <= x"50";
when x"2C4" => DATA <= x"FB";
when x"2C5" => DATA <= x"8E";
when x"2C6" => DATA <= x"FB";
when x"2C7" => DATA <= x"FE";
when x"2C8" => DATA <= x"2C";
when x"2C9" => DATA <= x"FA";
when x"2CA" => DATA <= x"FE";
when x"2CB" => DATA <= x"50";
when x"2CC" => DATA <= x"FB";
when x"2CD" => DATA <= x"8C";
when x"2CE" => DATA <= x"FB";
when x"2CF" => DATA <= x"FE";
when x"2D0" => DATA <= x"68";
when x"2D1" => DATA <= x"2C";
when x"2D2" => DATA <= x"FA";
when x"2D3" => DATA <= x"FE";
when x"2D4" => DATA <= x"50";
when x"2D5" => DATA <= x"FB";
when x"2D6" => DATA <= x"8D";
when x"2D7" => DATA <= x"FB";
when x"2D8" => DATA <= x"FE";
when x"2D9" => DATA <= x"C9";
when x"2DA" => DATA <= x"8E";
when x"2DB" => DATA <= x"F0";
when x"2DC" => DATA <= x"A1";
when x"2DD" => DATA <= x"C9";
when x"2DE" => DATA <= x"9D";
when x"2DF" => DATA <= x"F0";
when x"2E0" => DATA <= x"1B";
when x"2E1" => DATA <= x"48";
when x"2E2" => DATA <= x"2C";
when x"2E3" => DATA <= x"FA";
when x"2E4" => DATA <= x"FE";
when x"2E5" => DATA <= x"10";
when x"2E6" => DATA <= x"FB";
when x"2E7" => DATA <= x"AD";
when x"2E8" => DATA <= x"FB";
when x"2E9" => DATA <= x"FE";
when x"2EA" => DATA <= x"0A";
when x"2EB" => DATA <= x"68";
when x"2EC" => DATA <= x"2C";
when x"2ED" => DATA <= x"FA";
when x"2EE" => DATA <= x"FE";
when x"2EF" => DATA <= x"10";
when x"2F0" => DATA <= x"FB";
when x"2F1" => DATA <= x"AC";
when x"2F2" => DATA <= x"FB";
when x"2F3" => DATA <= x"FE";
when x"2F4" => DATA <= x"2C";
when x"2F5" => DATA <= x"FA";
when x"2F6" => DATA <= x"FE";
when x"2F7" => DATA <= x"10";
when x"2F8" => DATA <= x"FB";
when x"2F9" => DATA <= x"AE";
when x"2FA" => DATA <= x"FB";
when x"2FB" => DATA <= x"FE";
when x"2FC" => DATA <= x"60";
when x"2FD" => DATA <= x"A6";
when x"2FE" => DATA <= x"F2";
when x"2FF" => DATA <= x"A4";
when x"300" => DATA <= x"F3";
when x"301" => DATA <= x"60";
when x"302" => DATA <= x"A2";
when x"303" => DATA <= x"00";
when x"304" => DATA <= x"A0";
when x"305" => DATA <= x"08";
when x"306" => DATA <= x"60";
when x"307" => DATA <= x"A2";
when x"308" => DATA <= x"00";
when x"309" => DATA <= x"A0";
when x"30A" => DATA <= x"00";
when x"30B" => DATA <= x"60";
when x"30C" => DATA <= x"86";
when x"30D" => DATA <= x"F8";
when x"30E" => DATA <= x"84";
when x"30F" => DATA <= x"F9";
when x"310" => DATA <= x"A8";
when x"311" => DATA <= x"F0";
when x"312" => DATA <= x"71";
when x"313" => DATA <= x"48";
when x"314" => DATA <= x"A0";
when x"315" => DATA <= x"08";
when x"316" => DATA <= x"2C";
when x"317" => DATA <= x"FA";
when x"318" => DATA <= x"FE";
when x"319" => DATA <= x"50";
when x"31A" => DATA <= x"FB";
when x"31B" => DATA <= x"8C";
when x"31C" => DATA <= x"FB";
when x"31D" => DATA <= x"FE";
when x"31E" => DATA <= x"2C";
when x"31F" => DATA <= x"FA";
when x"320" => DATA <= x"FE";
when x"321" => DATA <= x"50";
when x"322" => DATA <= x"FB";
when x"323" => DATA <= x"8D";
when x"324" => DATA <= x"FB";
when x"325" => DATA <= x"FE";
when x"326" => DATA <= x"AA";
when x"327" => DATA <= x"10";
when x"328" => DATA <= x"08";
when x"329" => DATA <= x"A0";
when x"32A" => DATA <= x"00";
when x"32B" => DATA <= x"B1";
when x"32C" => DATA <= x"F8";
when x"32D" => DATA <= x"A8";
when x"32E" => DATA <= x"4C";
when x"32F" => DATA <= x"3A";
when x"330" => DATA <= x"FB";
when x"331" => DATA <= x"BC";
when x"332" => DATA <= x"C9";
when x"333" => DATA <= x"FC";
when x"334" => DATA <= x"E0";
when x"335" => DATA <= x"15";
when x"336" => DATA <= x"90";
when x"337" => DATA <= x"02";
when x"338" => DATA <= x"A0";
when x"339" => DATA <= x"10";
when x"33A" => DATA <= x"2C";
when x"33B" => DATA <= x"FA";
when x"33C" => DATA <= x"FE";
when x"33D" => DATA <= x"50";
when x"33E" => DATA <= x"FB";
when x"33F" => DATA <= x"8C";
when x"340" => DATA <= x"FB";
when x"341" => DATA <= x"FE";
when x"342" => DATA <= x"88";
when x"343" => DATA <= x"30";
when x"344" => DATA <= x"0D";
when x"345" => DATA <= x"2C";
when x"346" => DATA <= x"FA";
when x"347" => DATA <= x"FE";
when x"348" => DATA <= x"50";
when x"349" => DATA <= x"FB";
when x"34A" => DATA <= x"B1";
when x"34B" => DATA <= x"F8";
when x"34C" => DATA <= x"8D";
when x"34D" => DATA <= x"FB";
when x"34E" => DATA <= x"FE";
when x"34F" => DATA <= x"88";
when x"350" => DATA <= x"10";
when x"351" => DATA <= x"F3";
when x"352" => DATA <= x"8A";
when x"353" => DATA <= x"10";
when x"354" => DATA <= x"08";
when x"355" => DATA <= x"A0";
when x"356" => DATA <= x"01";
when x"357" => DATA <= x"B1";
when x"358" => DATA <= x"F8";
when x"359" => DATA <= x"A8";
when x"35A" => DATA <= x"4C";
when x"35B" => DATA <= x"66";
when x"35C" => DATA <= x"FB";
when x"35D" => DATA <= x"BC";
when x"35E" => DATA <= x"DD";
when x"35F" => DATA <= x"FC";
when x"360" => DATA <= x"E0";
when x"361" => DATA <= x"15";
when x"362" => DATA <= x"90";
when x"363" => DATA <= x"02";
when x"364" => DATA <= x"A0";
when x"365" => DATA <= x"10";
when x"366" => DATA <= x"2C";
when x"367" => DATA <= x"FA";
when x"368" => DATA <= x"FE";
when x"369" => DATA <= x"50";
when x"36A" => DATA <= x"FB";
when x"36B" => DATA <= x"8C";
when x"36C" => DATA <= x"FB";
when x"36D" => DATA <= x"FE";
when x"36E" => DATA <= x"88";
when x"36F" => DATA <= x"30";
when x"370" => DATA <= x"0D";
when x"371" => DATA <= x"2C";
when x"372" => DATA <= x"FA";
when x"373" => DATA <= x"FE";
when x"374" => DATA <= x"10";
when x"375" => DATA <= x"FB";
when x"376" => DATA <= x"AD";
when x"377" => DATA <= x"FB";
when x"378" => DATA <= x"FE";
when x"379" => DATA <= x"91";
when x"37A" => DATA <= x"F8";
when x"37B" => DATA <= x"88";
when x"37C" => DATA <= x"10";
when x"37D" => DATA <= x"F3";
when x"37E" => DATA <= x"A4";
when x"37F" => DATA <= x"F9";
when x"380" => DATA <= x"A6";
when x"381" => DATA <= x"F8";
when x"382" => DATA <= x"68";
when x"383" => DATA <= x"60";
when x"384" => DATA <= x"A9";
when x"385" => DATA <= x"0A";
when x"386" => DATA <= x"20";
when x"387" => DATA <= x"57";
when x"388" => DATA <= x"FC";
when x"389" => DATA <= x"A0";
when x"38A" => DATA <= x"04";
when x"38B" => DATA <= x"2C";
when x"38C" => DATA <= x"FA";
when x"38D" => DATA <= x"FE";
when x"38E" => DATA <= x"50";
when x"38F" => DATA <= x"FB";
when x"390" => DATA <= x"B1";
when x"391" => DATA <= x"F8";
when x"392" => DATA <= x"8D";
when x"393" => DATA <= x"FB";
when x"394" => DATA <= x"FE";
when x"395" => DATA <= x"88";
when x"396" => DATA <= x"C0";
when x"397" => DATA <= x"01";
when x"398" => DATA <= x"D0";
when x"399" => DATA <= x"F1";
when x"39A" => DATA <= x"A9";
when x"39B" => DATA <= x"07";
when x"39C" => DATA <= x"20";
when x"39D" => DATA <= x"57";
when x"39E" => DATA <= x"FC";
when x"39F" => DATA <= x"B1";
when x"3A0" => DATA <= x"F8";
when x"3A1" => DATA <= x"48";
when x"3A2" => DATA <= x"88";
when x"3A3" => DATA <= x"2C";
when x"3A4" => DATA <= x"FA";
when x"3A5" => DATA <= x"FE";
when x"3A6" => DATA <= x"50";
when x"3A7" => DATA <= x"FB";
when x"3A8" => DATA <= x"8C";
when x"3A9" => DATA <= x"FB";
when x"3AA" => DATA <= x"FE";
when x"3AB" => DATA <= x"B1";
when x"3AC" => DATA <= x"F8";
when x"3AD" => DATA <= x"48";
when x"3AE" => DATA <= x"A2";
when x"3AF" => DATA <= x"FF";
when x"3B0" => DATA <= x"20";
when x"3B1" => DATA <= x"80";
when x"3B2" => DATA <= x"F9";
when x"3B3" => DATA <= x"C9";
when x"3B4" => DATA <= x"80";
when x"3B5" => DATA <= x"B0";
when x"3B6" => DATA <= x"1D";
when x"3B7" => DATA <= x"68";
when x"3B8" => DATA <= x"85";
when x"3B9" => DATA <= x"F8";
when x"3BA" => DATA <= x"68";
when x"3BB" => DATA <= x"85";
when x"3BC" => DATA <= x"F9";
when x"3BD" => DATA <= x"A0";
when x"3BE" => DATA <= x"00";
when x"3BF" => DATA <= x"2C";
when x"3C0" => DATA <= x"FA";
when x"3C1" => DATA <= x"FE";
when x"3C2" => DATA <= x"10";
when x"3C3" => DATA <= x"FB";
when x"3C4" => DATA <= x"AD";
when x"3C5" => DATA <= x"FB";
when x"3C6" => DATA <= x"FE";
when x"3C7" => DATA <= x"91";
when x"3C8" => DATA <= x"F8";
when x"3C9" => DATA <= x"C8";
when x"3CA" => DATA <= x"C9";
when x"3CB" => DATA <= x"0D";
when x"3CC" => DATA <= x"D0";
when x"3CD" => DATA <= x"F1";
when x"3CE" => DATA <= x"A9";
when x"3CF" => DATA <= x"00";
when x"3D0" => DATA <= x"88";
when x"3D1" => DATA <= x"18";
when x"3D2" => DATA <= x"E8";
when x"3D3" => DATA <= x"60";
when x"3D4" => DATA <= x"68";
when x"3D5" => DATA <= x"68";
when x"3D6" => DATA <= x"A9";
when x"3D7" => DATA <= x"00";
when x"3D8" => DATA <= x"60";
when x"3D9" => DATA <= x"48";
when x"3DA" => DATA <= x"A9";
when x"3DB" => DATA <= x"0C";
when x"3DC" => DATA <= x"20";
when x"3DD" => DATA <= x"57";
when x"3DE" => DATA <= x"FC";
when x"3DF" => DATA <= x"2C";
when x"3E0" => DATA <= x"FA";
when x"3E1" => DATA <= x"FE";
when x"3E2" => DATA <= x"50";
when x"3E3" => DATA <= x"FB";
when x"3E4" => DATA <= x"8C";
when x"3E5" => DATA <= x"FB";
when x"3E6" => DATA <= x"FE";
when x"3E7" => DATA <= x"B5";
when x"3E8" => DATA <= x"03";
when x"3E9" => DATA <= x"20";
when x"3EA" => DATA <= x"57";
when x"3EB" => DATA <= x"FC";
when x"3EC" => DATA <= x"B5";
when x"3ED" => DATA <= x"02";
when x"3EE" => DATA <= x"20";
when x"3EF" => DATA <= x"57";
when x"3F0" => DATA <= x"FC";
when x"3F1" => DATA <= x"B5";
when x"3F2" => DATA <= x"01";
when x"3F3" => DATA <= x"20";
when x"3F4" => DATA <= x"57";
when x"3F5" => DATA <= x"FC";
when x"3F6" => DATA <= x"B5";
when x"3F7" => DATA <= x"00";
when x"3F8" => DATA <= x"20";
when x"3F9" => DATA <= x"57";
when x"3FA" => DATA <= x"FC";
when x"3FB" => DATA <= x"68";
when x"3FC" => DATA <= x"20";
when x"3FD" => DATA <= x"57";
when x"3FE" => DATA <= x"FC";
when x"3FF" => DATA <= x"20";
when x"400" => DATA <= x"80";
when x"401" => DATA <= x"F9";
when x"402" => DATA <= x"48";
when x"403" => DATA <= x"20";
when x"404" => DATA <= x"80";
when x"405" => DATA <= x"F9";
when x"406" => DATA <= x"95";
when x"407" => DATA <= x"03";
when x"408" => DATA <= x"20";
when x"409" => DATA <= x"80";
when x"40A" => DATA <= x"F9";
when x"40B" => DATA <= x"95";
when x"40C" => DATA <= x"02";
when x"40D" => DATA <= x"20";
when x"40E" => DATA <= x"80";
when x"40F" => DATA <= x"F9";
when x"410" => DATA <= x"95";
when x"411" => DATA <= x"01";
when x"412" => DATA <= x"20";
when x"413" => DATA <= x"80";
when x"414" => DATA <= x"F9";
when x"415" => DATA <= x"95";
when x"416" => DATA <= x"00";
when x"417" => DATA <= x"68";
when x"418" => DATA <= x"60";
when x"419" => DATA <= x"48";
when x"41A" => DATA <= x"A9";
when x"41B" => DATA <= x"12";
when x"41C" => DATA <= x"20";
when x"41D" => DATA <= x"57";
when x"41E" => DATA <= x"FC";
when x"41F" => DATA <= x"68";
when x"420" => DATA <= x"20";
when x"421" => DATA <= x"57";
when x"422" => DATA <= x"FC";
when x"423" => DATA <= x"C9";
when x"424" => DATA <= x"00";
when x"425" => DATA <= x"D0";
when x"426" => DATA <= x"0A";
when x"427" => DATA <= x"48";
when x"428" => DATA <= x"98";
when x"429" => DATA <= x"20";
when x"42A" => DATA <= x"57";
when x"42B" => DATA <= x"FC";
when x"42C" => DATA <= x"20";
when x"42D" => DATA <= x"80";
when x"42E" => DATA <= x"F9";
when x"42F" => DATA <= x"68";
when x"430" => DATA <= x"60";
when x"431" => DATA <= x"20";
when x"432" => DATA <= x"BD";
when x"433" => DATA <= x"F9";
when x"434" => DATA <= x"4C";
when x"435" => DATA <= x"80";
when x"436" => DATA <= x"F9";
when x"437" => DATA <= x"A9";
when x"438" => DATA <= x"0E";
when x"439" => DATA <= x"20";
when x"43A" => DATA <= x"57";
when x"43B" => DATA <= x"FC";
when x"43C" => DATA <= x"98";
when x"43D" => DATA <= x"20";
when x"43E" => DATA <= x"57";
when x"43F" => DATA <= x"FC";
when x"440" => DATA <= x"4C";
when x"441" => DATA <= x"7C";
when x"442" => DATA <= x"F9";
when x"443" => DATA <= x"48";
when x"444" => DATA <= x"A9";
when x"445" => DATA <= x"10";
when x"446" => DATA <= x"20";
when x"447" => DATA <= x"57";
when x"448" => DATA <= x"FC";
when x"449" => DATA <= x"98";
when x"44A" => DATA <= x"20";
when x"44B" => DATA <= x"57";
when x"44C" => DATA <= x"FC";
when x"44D" => DATA <= x"68";
when x"44E" => DATA <= x"20";
when x"44F" => DATA <= x"57";
when x"450" => DATA <= x"FC";
when x"451" => DATA <= x"48";
when x"452" => DATA <= x"20";
when x"453" => DATA <= x"80";
when x"454" => DATA <= x"F9";
when x"455" => DATA <= x"68";
when x"456" => DATA <= x"60";
when x"457" => DATA <= x"2C";
when x"458" => DATA <= x"FA";
when x"459" => DATA <= x"FE";
when x"45A" => DATA <= x"50";
when x"45B" => DATA <= x"FB";
when x"45C" => DATA <= x"8D";
when x"45D" => DATA <= x"FB";
when x"45E" => DATA <= x"FE";
when x"45F" => DATA <= x"60";
when x"460" => DATA <= x"84";
when x"461" => DATA <= x"FB";
when x"462" => DATA <= x"86";
when x"463" => DATA <= x"FA";
when x"464" => DATA <= x"48";
when x"465" => DATA <= x"A9";
when x"466" => DATA <= x"14";
when x"467" => DATA <= x"20";
when x"468" => DATA <= x"57";
when x"469" => DATA <= x"FC";
when x"46A" => DATA <= x"A0";
when x"46B" => DATA <= x"11";
when x"46C" => DATA <= x"B1";
when x"46D" => DATA <= x"FA";
when x"46E" => DATA <= x"20";
when x"46F" => DATA <= x"57";
when x"470" => DATA <= x"FC";
when x"471" => DATA <= x"88";
when x"472" => DATA <= x"C0";
when x"473" => DATA <= x"01";
when x"474" => DATA <= x"D0";
when x"475" => DATA <= x"F6";
when x"476" => DATA <= x"88";
when x"477" => DATA <= x"B1";
when x"478" => DATA <= x"FA";
when x"479" => DATA <= x"AA";
when x"47A" => DATA <= x"C8";
when x"47B" => DATA <= x"B1";
when x"47C" => DATA <= x"FA";
when x"47D" => DATA <= x"A8";
when x"47E" => DATA <= x"20";
when x"47F" => DATA <= x"BD";
when x"480" => DATA <= x"F9";
when x"481" => DATA <= x"68";
when x"482" => DATA <= x"20";
when x"483" => DATA <= x"57";
when x"484" => DATA <= x"FC";
when x"485" => DATA <= x"20";
when x"486" => DATA <= x"80";
when x"487" => DATA <= x"F9";
when x"488" => DATA <= x"48";
when x"489" => DATA <= x"A0";
when x"48A" => DATA <= x"11";
when x"48B" => DATA <= x"20";
when x"48C" => DATA <= x"80";
when x"48D" => DATA <= x"F9";
when x"48E" => DATA <= x"91";
when x"48F" => DATA <= x"FA";
when x"490" => DATA <= x"88";
when x"491" => DATA <= x"C0";
when x"492" => DATA <= x"01";
when x"493" => DATA <= x"D0";
when x"494" => DATA <= x"F6";
when x"495" => DATA <= x"A4";
when x"496" => DATA <= x"FB";
when x"497" => DATA <= x"A6";
when x"498" => DATA <= x"FA";
when x"499" => DATA <= x"68";
when x"49A" => DATA <= x"60";
when x"49B" => DATA <= x"84";
when x"49C" => DATA <= x"FB";
when x"49D" => DATA <= x"86";
when x"49E" => DATA <= x"FA";
when x"49F" => DATA <= x"48";
when x"4A0" => DATA <= x"A9";
when x"4A1" => DATA <= x"16";
when x"4A2" => DATA <= x"20";
when x"4A3" => DATA <= x"57";
when x"4A4" => DATA <= x"FC";
when x"4A5" => DATA <= x"A0";
when x"4A6" => DATA <= x"0C";
when x"4A7" => DATA <= x"B1";
when x"4A8" => DATA <= x"FA";
when x"4A9" => DATA <= x"20";
when x"4AA" => DATA <= x"57";
when x"4AB" => DATA <= x"FC";
when x"4AC" => DATA <= x"88";
when x"4AD" => DATA <= x"10";
when x"4AE" => DATA <= x"F8";
when x"4AF" => DATA <= x"68";
when x"4B0" => DATA <= x"20";
when x"4B1" => DATA <= x"57";
when x"4B2" => DATA <= x"FC";
when x"4B3" => DATA <= x"A0";
when x"4B4" => DATA <= x"0C";
when x"4B5" => DATA <= x"20";
when x"4B6" => DATA <= x"80";
when x"4B7" => DATA <= x"F9";
when x"4B8" => DATA <= x"91";
when x"4B9" => DATA <= x"FA";
when x"4BA" => DATA <= x"88";
when x"4BB" => DATA <= x"10";
when x"4BC" => DATA <= x"F8";
when x"4BD" => DATA <= x"A4";
when x"4BE" => DATA <= x"FB";
when x"4BF" => DATA <= x"A6";
when x"4C0" => DATA <= x"FA";
when x"4C1" => DATA <= x"4C";
when x"4C2" => DATA <= x"7C";
when x"4C3" => DATA <= x"F9";
when x"4C4" => DATA <= x"00";
when x"4C5" => DATA <= x"FF";
when x"4C6" => DATA <= x"42";
when x"4C7" => DATA <= x"61";
when x"4C8" => DATA <= x"64";
when x"4C9" => DATA <= x"00";
when x"4CA" => DATA <= x"00";
when x"4CB" => DATA <= x"05";
when x"4CC" => DATA <= x"00";
when x"4CD" => DATA <= x"05";
when x"4CE" => DATA <= x"04";
when x"4CF" => DATA <= x"05";
when x"4D0" => DATA <= x"08";
when x"4D1" => DATA <= x"0E";
when x"4D2" => DATA <= x"04";
when x"4D3" => DATA <= x"01";
when x"4D4" => DATA <= x"01";
when x"4D5" => DATA <= x"05";
when x"4D6" => DATA <= x"00";
when x"4D7" => DATA <= x"01";
when x"4D8" => DATA <= x"20";
when x"4D9" => DATA <= x"10";
when x"4DA" => DATA <= x"0D";
when x"4DB" => DATA <= x"00";
when x"4DC" => DATA <= x"04";
when x"4DD" => DATA <= x"80";
when x"4DE" => DATA <= x"05";
when x"4DF" => DATA <= x"00";
when x"4E0" => DATA <= x"05";
when x"4E1" => DATA <= x"00";
when x"4E2" => DATA <= x"05";
when x"4E3" => DATA <= x"00";
when x"4E4" => DATA <= x"00";
when x"4E5" => DATA <= x"00";
when x"4E6" => DATA <= x"05";
when x"4E7" => DATA <= x"09";
when x"4E8" => DATA <= x"05";
when x"4E9" => DATA <= x"00";
when x"4EA" => DATA <= x"08";
when x"4EB" => DATA <= x"18";
when x"4EC" => DATA <= x"00";
when x"4ED" => DATA <= x"01";
when x"4EE" => DATA <= x"0D";
when x"4EF" => DATA <= x"80";
when x"4F0" => DATA <= x"04";
when x"4F1" => DATA <= x"80";
when x"4F2" => DATA <= x"85";
when x"4F3" => DATA <= x"FC";
when x"4F4" => DATA <= x"68";
when x"4F5" => DATA <= x"48";
when x"4F6" => DATA <= x"29";
when x"4F7" => DATA <= x"10";
when x"4F8" => DATA <= x"D0";
when x"4F9" => DATA <= x"10";
when x"4FA" => DATA <= x"6C";
when x"4FB" => DATA <= x"04";
when x"4FC" => DATA <= x"02";
when x"4FD" => DATA <= x"2C";
when x"4FE" => DATA <= x"FE";
when x"4FF" => DATA <= x"FE";
when x"500" => DATA <= x"30";
when x"501" => DATA <= x"4A";
when x"502" => DATA <= x"2C";
when x"503" => DATA <= x"F8";
when x"504" => DATA <= x"FE";
when x"505" => DATA <= x"30";
when x"506" => DATA <= x"1E";
when x"507" => DATA <= x"6C";
when x"508" => DATA <= x"06";
when x"509" => DATA <= x"02";
when x"50A" => DATA <= x"8A";
when x"50B" => DATA <= x"48";
when x"50C" => DATA <= x"BA";
when x"50D" => DATA <= x"BD";
when x"50E" => DATA <= x"03";
when x"50F" => DATA <= x"01";
when x"510" => DATA <= x"D8";
when x"511" => DATA <= x"38";
when x"512" => DATA <= x"E9";
when x"513" => DATA <= x"01";
when x"514" => DATA <= x"85";
when x"515" => DATA <= x"FD";
when x"516" => DATA <= x"BD";
when x"517" => DATA <= x"04";
when x"518" => DATA <= x"01";
when x"519" => DATA <= x"E9";
when x"51A" => DATA <= x"00";
when x"51B" => DATA <= x"85";
when x"51C" => DATA <= x"FE";
when x"51D" => DATA <= x"68";
when x"51E" => DATA <= x"AA";
when x"51F" => DATA <= x"A5";
when x"520" => DATA <= x"FC";
when x"521" => DATA <= x"58";
when x"522" => DATA <= x"6C";
when x"523" => DATA <= x"02";
when x"524" => DATA <= x"02";
when x"525" => DATA <= x"AD";
when x"526" => DATA <= x"F9";
when x"527" => DATA <= x"FE";
when x"528" => DATA <= x"30";
when x"529" => DATA <= x"1C";
when x"52A" => DATA <= x"98";
when x"52B" => DATA <= x"48";
when x"52C" => DATA <= x"8A";
when x"52D" => DATA <= x"48";
when x"52E" => DATA <= x"20";
when x"52F" => DATA <= x"8D";
when x"530" => DATA <= x"FE";
when x"531" => DATA <= x"A8";
when x"532" => DATA <= x"20";
when x"533" => DATA <= x"8D";
when x"534" => DATA <= x"FE";
when x"535" => DATA <= x"AA";
when x"536" => DATA <= x"20";
when x"537" => DATA <= x"8D";
when x"538" => DATA <= x"FE";
when x"539" => DATA <= x"20";
when x"53A" => DATA <= x"43";
when x"53B" => DATA <= x"FD";
when x"53C" => DATA <= x"68";
when x"53D" => DATA <= x"AA";
when x"53E" => DATA <= x"68";
when x"53F" => DATA <= x"A8";
when x"540" => DATA <= x"A5";
when x"541" => DATA <= x"FC";
when x"542" => DATA <= x"40";
when x"543" => DATA <= x"6C";
when x"544" => DATA <= x"20";
when x"545" => DATA <= x"02";
when x"546" => DATA <= x"0A";
when x"547" => DATA <= x"85";
when x"548" => DATA <= x"FF";
when x"549" => DATA <= x"A5";
when x"54A" => DATA <= x"FC";
when x"54B" => DATA <= x"40";
when x"54C" => DATA <= x"AD";
when x"54D" => DATA <= x"FF";
when x"54E" => DATA <= x"FE";
when x"54F" => DATA <= x"10";
when x"550" => DATA <= x"21";
when x"551" => DATA <= x"58";
when x"552" => DATA <= x"2C";
when x"553" => DATA <= x"FA";
when x"554" => DATA <= x"FE";
when x"555" => DATA <= x"10";
when x"556" => DATA <= x"FB";
when x"557" => DATA <= x"AD";
when x"558" => DATA <= x"FB";
when x"559" => DATA <= x"FE";
when x"55A" => DATA <= x"A9";
when x"55B" => DATA <= x"00";
when x"55C" => DATA <= x"8D";
when x"55D" => DATA <= x"36";
when x"55E" => DATA <= x"02";
when x"55F" => DATA <= x"A8";
when x"560" => DATA <= x"20";
when x"561" => DATA <= x"80";
when x"562" => DATA <= x"F9";
when x"563" => DATA <= x"8D";
when x"564" => DATA <= x"37";
when x"565" => DATA <= x"02";
when x"566" => DATA <= x"C8";
when x"567" => DATA <= x"20";
when x"568" => DATA <= x"80";
when x"569" => DATA <= x"F9";
when x"56A" => DATA <= x"99";
when x"56B" => DATA <= x"37";
when x"56C" => DATA <= x"02";
when x"56D" => DATA <= x"D0";
when x"56E" => DATA <= x"F7";
when x"56F" => DATA <= x"4C";
when x"570" => DATA <= x"36";
when x"571" => DATA <= x"02";
when x"572" => DATA <= x"8D";
when x"573" => DATA <= x"FA";
when x"574" => DATA <= x"FF";
when x"575" => DATA <= x"98";
when x"576" => DATA <= x"48";
when x"577" => DATA <= x"AC";
when x"578" => DATA <= x"FA";
when x"579" => DATA <= x"FF";
when x"57A" => DATA <= x"B9";
when x"57B" => DATA <= x"7D";
when x"57C" => DATA <= x"FE";
when x"57D" => DATA <= x"8D";
when x"57E" => DATA <= x"FA";
when x"57F" => DATA <= x"FF";
when x"580" => DATA <= x"B9";
when x"581" => DATA <= x"85";
when x"582" => DATA <= x"FE";
when x"583" => DATA <= x"8D";
when x"584" => DATA <= x"FB";
when x"585" => DATA <= x"FF";
when x"586" => DATA <= x"B9";
when x"587" => DATA <= x"6D";
when x"588" => DATA <= x"FE";
when x"589" => DATA <= x"85";
when x"58A" => DATA <= x"F4";
when x"58B" => DATA <= x"B9";
when x"58C" => DATA <= x"75";
when x"58D" => DATA <= x"FE";
when x"58E" => DATA <= x"85";
when x"58F" => DATA <= x"F5";
when x"590" => DATA <= x"2C";
when x"591" => DATA <= x"FE";
when x"592" => DATA <= x"FE";
when x"593" => DATA <= x"10";
when x"594" => DATA <= x"FB";
when x"595" => DATA <= x"AD";
when x"596" => DATA <= x"FF";
when x"597" => DATA <= x"FE";
when x"598" => DATA <= x"C0";
when x"599" => DATA <= x"05";
when x"59A" => DATA <= x"F0";
when x"59B" => DATA <= x"58";
when x"59C" => DATA <= x"98";
when x"59D" => DATA <= x"48";
when x"59E" => DATA <= x"A0";
when x"59F" => DATA <= x"01";
when x"5A0" => DATA <= x"2C";
when x"5A1" => DATA <= x"FE";
when x"5A2" => DATA <= x"FE";
when x"5A3" => DATA <= x"10";
when x"5A4" => DATA <= x"FB";
when x"5A5" => DATA <= x"AD";
when x"5A6" => DATA <= x"FF";
when x"5A7" => DATA <= x"FE";
when x"5A8" => DATA <= x"2C";
when x"5A9" => DATA <= x"FE";
when x"5AA" => DATA <= x"FE";
when x"5AB" => DATA <= x"10";
when x"5AC" => DATA <= x"FB";
when x"5AD" => DATA <= x"AD";
when x"5AE" => DATA <= x"FF";
when x"5AF" => DATA <= x"FE";
when x"5B0" => DATA <= x"2C";
when x"5B1" => DATA <= x"FE";
when x"5B2" => DATA <= x"FE";
when x"5B3" => DATA <= x"10";
when x"5B4" => DATA <= x"FB";
when x"5B5" => DATA <= x"AD";
when x"5B6" => DATA <= x"FF";
when x"5B7" => DATA <= x"FE";
when x"5B8" => DATA <= x"91";
when x"5B9" => DATA <= x"F4";
when x"5BA" => DATA <= x"88";
when x"5BB" => DATA <= x"2C";
when x"5BC" => DATA <= x"FE";
when x"5BD" => DATA <= x"FE";
when x"5BE" => DATA <= x"10";
when x"5BF" => DATA <= x"FB";
when x"5C0" => DATA <= x"AD";
when x"5C1" => DATA <= x"FF";
when x"5C2" => DATA <= x"FE";
when x"5C3" => DATA <= x"91";
when x"5C4" => DATA <= x"F4";
when x"5C5" => DATA <= x"2C";
when x"5C6" => DATA <= x"FD";
when x"5C7" => DATA <= x"FE";
when x"5C8" => DATA <= x"2C";
when x"5C9" => DATA <= x"FD";
when x"5CA" => DATA <= x"FE";
when x"5CB" => DATA <= x"2C";
when x"5CC" => DATA <= x"FE";
when x"5CD" => DATA <= x"FE";
when x"5CE" => DATA <= x"10";
when x"5CF" => DATA <= x"FB";
when x"5D0" => DATA <= x"AD";
when x"5D1" => DATA <= x"FF";
when x"5D2" => DATA <= x"FE";
when x"5D3" => DATA <= x"68";
when x"5D4" => DATA <= x"C9";
when x"5D5" => DATA <= x"06";
when x"5D6" => DATA <= x"90";
when x"5D7" => DATA <= x"1C";
when x"5D8" => DATA <= x"D0";
when x"5D9" => DATA <= x"1F";
when x"5DA" => DATA <= x"A0";
when x"5DB" => DATA <= x"00";
when x"5DC" => DATA <= x"AD";
when x"5DD" => DATA <= x"FC";
when x"5DE" => DATA <= x"FE";
when x"5DF" => DATA <= x"29";
when x"5E0" => DATA <= x"80";
when x"5E1" => DATA <= x"10";
when x"5E2" => DATA <= x"F9";
when x"5E3" => DATA <= x"B9";
when x"5E4" => DATA <= x"FF";
when x"5E5" => DATA <= x"FF";
when x"5E6" => DATA <= x"8D";
when x"5E7" => DATA <= x"FD";
when x"5E8" => DATA <= x"FE";
when x"5E9" => DATA <= x"C8";
when x"5EA" => DATA <= x"D0";
when x"5EB" => DATA <= x"F0";
when x"5EC" => DATA <= x"2C";
when x"5ED" => DATA <= x"FC";
when x"5EE" => DATA <= x"FE";
when x"5EF" => DATA <= x"10";
when x"5F0" => DATA <= x"FB";
when x"5F1" => DATA <= x"8D";
when x"5F2" => DATA <= x"FD";
when x"5F3" => DATA <= x"FE";
when x"5F4" => DATA <= x"68";
when x"5F5" => DATA <= x"A8";
when x"5F6" => DATA <= x"A5";
when x"5F7" => DATA <= x"FC";
when x"5F8" => DATA <= x"40";
when x"5F9" => DATA <= x"A0";
when x"5FA" => DATA <= x"00";
when x"5FB" => DATA <= x"AD";
when x"5FC" => DATA <= x"FC";
when x"5FD" => DATA <= x"FE";
when x"5FE" => DATA <= x"29";
when x"5FF" => DATA <= x"80";
when x"600" => DATA <= x"10";
when x"601" => DATA <= x"F9";
when x"602" => DATA <= x"AD";
when x"603" => DATA <= x"FD";
when x"604" => DATA <= x"FE";
when x"605" => DATA <= x"99";
when x"606" => DATA <= x"FF";
when x"607" => DATA <= x"FF";
when x"608" => DATA <= x"C8";
when x"609" => DATA <= x"D0";
when x"60A" => DATA <= x"F0";
when x"60B" => DATA <= x"F0";
when x"60C" => DATA <= x"E7";
when x"60D" => DATA <= x"48";
when x"60E" => DATA <= x"AD";
when x"60F" => DATA <= x"FF";
when x"610" => DATA <= x"FF";
when x"611" => DATA <= x"8D";
when x"612" => DATA <= x"FD";
when x"613" => DATA <= x"FE";
when x"614" => DATA <= x"EE";
when x"615" => DATA <= x"0F";
when x"616" => DATA <= x"FE";
when x"617" => DATA <= x"D0";
when x"618" => DATA <= x"03";
when x"619" => DATA <= x"EE";
when x"61A" => DATA <= x"10";
when x"61B" => DATA <= x"FE";
when x"61C" => DATA <= x"68";
when x"61D" => DATA <= x"40";
when x"61E" => DATA <= x"48";
when x"61F" => DATA <= x"AD";
when x"620" => DATA <= x"FD";
when x"621" => DATA <= x"FE";
when x"622" => DATA <= x"8D";
when x"623" => DATA <= x"FF";
when x"624" => DATA <= x"FF";
when x"625" => DATA <= x"EE";
when x"626" => DATA <= x"23";
when x"627" => DATA <= x"FE";
when x"628" => DATA <= x"D0";
when x"629" => DATA <= x"03";
when x"62A" => DATA <= x"EE";
when x"62B" => DATA <= x"24";
when x"62C" => DATA <= x"FE";
when x"62D" => DATA <= x"68";
when x"62E" => DATA <= x"40";
when x"62F" => DATA <= x"48";
when x"630" => DATA <= x"98";
when x"631" => DATA <= x"48";
when x"632" => DATA <= x"A0";
when x"633" => DATA <= x"00";
when x"634" => DATA <= x"B1";
when x"635" => DATA <= x"F6";
when x"636" => DATA <= x"8D";
when x"637" => DATA <= x"FD";
when x"638" => DATA <= x"FE";
when x"639" => DATA <= x"E6";
when x"63A" => DATA <= x"F6";
when x"63B" => DATA <= x"D0";
when x"63C" => DATA <= x"02";
when x"63D" => DATA <= x"E6";
when x"63E" => DATA <= x"F7";
when x"63F" => DATA <= x"B1";
when x"640" => DATA <= x"F6";
when x"641" => DATA <= x"8D";
when x"642" => DATA <= x"FD";
when x"643" => DATA <= x"FE";
when x"644" => DATA <= x"E6";
when x"645" => DATA <= x"F6";
when x"646" => DATA <= x"D0";
when x"647" => DATA <= x"02";
when x"648" => DATA <= x"E6";
when x"649" => DATA <= x"F7";
when x"64A" => DATA <= x"68";
when x"64B" => DATA <= x"A8";
when x"64C" => DATA <= x"68";
when x"64D" => DATA <= x"40";
when x"64E" => DATA <= x"48";
when x"64F" => DATA <= x"98";
when x"650" => DATA <= x"48";
when x"651" => DATA <= x"A0";
when x"652" => DATA <= x"00";
when x"653" => DATA <= x"AD";
when x"654" => DATA <= x"FD";
when x"655" => DATA <= x"FE";
when x"656" => DATA <= x"91";
when x"657" => DATA <= x"F6";
when x"658" => DATA <= x"E6";
when x"659" => DATA <= x"F6";
when x"65A" => DATA <= x"D0";
when x"65B" => DATA <= x"02";
when x"65C" => DATA <= x"E6";
when x"65D" => DATA <= x"F7";
when x"65E" => DATA <= x"AD";
when x"65F" => DATA <= x"FD";
when x"660" => DATA <= x"FE";
when x"661" => DATA <= x"91";
when x"662" => DATA <= x"F6";
when x"663" => DATA <= x"E6";
when x"664" => DATA <= x"F6";
when x"665" => DATA <= x"D0";
when x"666" => DATA <= x"02";
when x"667" => DATA <= x"E6";
when x"668" => DATA <= x"F7";
when x"669" => DATA <= x"68";
when x"66A" => DATA <= x"A8";
when x"66B" => DATA <= x"68";
when x"66C" => DATA <= x"40";
when x"66D" => DATA <= x"0F";
when x"66E" => DATA <= x"23";
when x"66F" => DATA <= x"F6";
when x"670" => DATA <= x"F6";
when x"671" => DATA <= x"F6";
when x"672" => DATA <= x"F6";
when x"673" => DATA <= x"E4";
when x"674" => DATA <= x"06";
when x"675" => DATA <= x"FE";
when x"676" => DATA <= x"FE";
when x"677" => DATA <= x"00";
when x"678" => DATA <= x"00";
when x"679" => DATA <= x"00";
when x"67A" => DATA <= x"00";
when x"67B" => DATA <= x"FD";
when x"67C" => DATA <= x"FE";
when x"67D" => DATA <= x"0D";
when x"67E" => DATA <= x"1E";
when x"67F" => DATA <= x"2F";
when x"680" => DATA <= x"4E";
when x"681" => DATA <= x"C0";
when x"682" => DATA <= x"C0";
when x"683" => DATA <= x"C0";
when x"684" => DATA <= x"C0";
when x"685" => DATA <= x"FE";
when x"686" => DATA <= x"FE";
when x"687" => DATA <= x"FE";
when x"688" => DATA <= x"FE";
when x"689" => DATA <= x"FE";
when x"68A" => DATA <= x"FE";
when x"68B" => DATA <= x"FE";
when x"68C" => DATA <= x"FE";
when x"68D" => DATA <= x"2C";
when x"68E" => DATA <= x"F8";
when x"68F" => DATA <= x"FE";
when x"690" => DATA <= x"30";
when x"691" => DATA <= x"0F";
when x"692" => DATA <= x"2C";
when x"693" => DATA <= x"FE";
when x"694" => DATA <= x"FE";
when x"695" => DATA <= x"10";
when x"696" => DATA <= x"F6";
when x"697" => DATA <= x"A5";
when x"698" => DATA <= x"FC";
when x"699" => DATA <= x"08";
when x"69A" => DATA <= x"58";
when x"69B" => DATA <= x"28";
when x"69C" => DATA <= x"85";
when x"69D" => DATA <= x"FC";
when x"69E" => DATA <= x"4C";
when x"69F" => DATA <= x"8D";
when x"6A0" => DATA <= x"FE";
when x"6A1" => DATA <= x"AD";
when x"6A2" => DATA <= x"F9";
when x"6A3" => DATA <= x"FE";
when x"6A4" => DATA <= x"60";
when x"6A5" => DATA <= x"68";
when x"6A6" => DATA <= x"85";
when x"6A7" => DATA <= x"FA";
when x"6A8" => DATA <= x"68";
when x"6A9" => DATA <= x"85";
when x"6AA" => DATA <= x"FB";
when x"6AB" => DATA <= x"A0";
when x"6AC" => DATA <= x"00";
when x"6AD" => DATA <= x"E6";
when x"6AE" => DATA <= x"FA";
when x"6AF" => DATA <= x"D0";
when x"6B0" => DATA <= x"02";
when x"6B1" => DATA <= x"E6";
when x"6B2" => DATA <= x"FB";
when x"6B3" => DATA <= x"B1";
when x"6B4" => DATA <= x"FA";
when x"6B5" => DATA <= x"30";
when x"6B6" => DATA <= x"06";
when x"6B7" => DATA <= x"20";
when x"6B8" => DATA <= x"EE";
when x"6B9" => DATA <= x"FF";
when x"6BA" => DATA <= x"4C";
when x"6BB" => DATA <= x"AD";
when x"6BC" => DATA <= x"FE";
when x"6BD" => DATA <= x"6C";
when x"6BE" => DATA <= x"FA";
when x"6BF" => DATA <= x"00";
when x"6C0" => DATA <= x"8D";
when x"6C1" => DATA <= x"FD";
when x"6C2" => DATA <= x"FE";
when x"6C3" => DATA <= x"40";
when x"6C4" => DATA <= x"FF";
when x"6C5" => DATA <= x"FF";
when x"6C6" => DATA <= x"FF";
when x"6C7" => DATA <= x"FF";
when x"6C8" => DATA <= x"FF";
when x"6C9" => DATA <= x"FF";
when x"6CA" => DATA <= x"FF";
when x"6CB" => DATA <= x"FF";
when x"6CC" => DATA <= x"FF";
when x"6CD" => DATA <= x"FF";
when x"6CE" => DATA <= x"FF";
when x"6CF" => DATA <= x"FF";
when x"6D0" => DATA <= x"FF";
when x"6D1" => DATA <= x"FF";
when x"6D2" => DATA <= x"FF";
when x"6D3" => DATA <= x"FF";
when x"6D4" => DATA <= x"FF";
when x"6D5" => DATA <= x"FF";
when x"6D6" => DATA <= x"FF";
when x"6D7" => DATA <= x"FF";
when x"6D8" => DATA <= x"FF";
when x"6D9" => DATA <= x"FF";
when x"6DA" => DATA <= x"FF";
when x"6DB" => DATA <= x"FF";
when x"6DC" => DATA <= x"FF";
when x"6DD" => DATA <= x"FF";
when x"6DE" => DATA <= x"FF";
when x"6DF" => DATA <= x"FF";
when x"6E0" => DATA <= x"FF";
when x"6E1" => DATA <= x"FF";
when x"6E2" => DATA <= x"FF";
when x"6E3" => DATA <= x"FF";
when x"6E4" => DATA <= x"FF";
when x"6E5" => DATA <= x"FF";
when x"6E6" => DATA <= x"FF";
when x"6E7" => DATA <= x"FF";
when x"6E8" => DATA <= x"FF";
when x"6E9" => DATA <= x"FF";
when x"6EA" => DATA <= x"FF";
when x"6EB" => DATA <= x"FF";
when x"6EC" => DATA <= x"FF";
when x"6ED" => DATA <= x"FF";
when x"6EE" => DATA <= x"FF";
when x"6EF" => DATA <= x"FF";
when x"6F0" => DATA <= x"00";
when x"6F1" => DATA <= x"00";
when x"6F2" => DATA <= x"00";
when x"6F3" => DATA <= x"00";
when x"6F4" => DATA <= x"00";
when x"6F5" => DATA <= x"00";
when x"6F6" => DATA <= x"00";
when x"6F7" => DATA <= x"00";
when x"6F8" => DATA <= x"00";
when x"6F9" => DATA <= x"00";
when x"6FA" => DATA <= x"00";
when x"6FB" => DATA <= x"00";
when x"6FC" => DATA <= x"00";
when x"6FD" => DATA <= x"00";
when x"6FE" => DATA <= x"00";
when x"6FF" => DATA <= x"00";
when x"700" => DATA <= x"FF";
when x"701" => DATA <= x"FF";
when x"702" => DATA <= x"FF";
when x"703" => DATA <= x"FF";
when x"704" => DATA <= x"FF";
when x"705" => DATA <= x"FF";
when x"706" => DATA <= x"FF";
when x"707" => DATA <= x"FF";
when x"708" => DATA <= x"FF";
when x"709" => DATA <= x"FF";
when x"70A" => DATA <= x"FF";
when x"70B" => DATA <= x"FF";
when x"70C" => DATA <= x"FF";
when x"70D" => DATA <= x"FF";
when x"70E" => DATA <= x"FF";
when x"70F" => DATA <= x"FF";
when x"710" => DATA <= x"FF";
when x"711" => DATA <= x"FF";
when x"712" => DATA <= x"FF";
when x"713" => DATA <= x"FF";
when x"714" => DATA <= x"FF";
when x"715" => DATA <= x"FF";
when x"716" => DATA <= x"FF";
when x"717" => DATA <= x"FF";
when x"718" => DATA <= x"FF";
when x"719" => DATA <= x"FF";
when x"71A" => DATA <= x"FF";
when x"71B" => DATA <= x"FF";
when x"71C" => DATA <= x"FF";
when x"71D" => DATA <= x"FF";
when x"71E" => DATA <= x"FF";
when x"71F" => DATA <= x"FF";
when x"720" => DATA <= x"FF";
when x"721" => DATA <= x"FF";
when x"722" => DATA <= x"FF";
when x"723" => DATA <= x"FF";
when x"724" => DATA <= x"FF";
when x"725" => DATA <= x"FF";
when x"726" => DATA <= x"FF";
when x"727" => DATA <= x"FF";
when x"728" => DATA <= x"FF";
when x"729" => DATA <= x"FF";
when x"72A" => DATA <= x"FF";
when x"72B" => DATA <= x"FF";
when x"72C" => DATA <= x"FF";
when x"72D" => DATA <= x"FF";
when x"72E" => DATA <= x"FF";
when x"72F" => DATA <= x"FF";
when x"730" => DATA <= x"FF";
when x"731" => DATA <= x"FF";
when x"732" => DATA <= x"FF";
when x"733" => DATA <= x"FF";
when x"734" => DATA <= x"FF";
when x"735" => DATA <= x"FF";
when x"736" => DATA <= x"FF";
when x"737" => DATA <= x"FF";
when x"738" => DATA <= x"FF";
when x"739" => DATA <= x"FF";
when x"73A" => DATA <= x"FF";
when x"73B" => DATA <= x"FF";
when x"73C" => DATA <= x"FF";
when x"73D" => DATA <= x"FF";
when x"73E" => DATA <= x"FF";
when x"73F" => DATA <= x"FF";
when x"740" => DATA <= x"FF";
when x"741" => DATA <= x"FF";
when x"742" => DATA <= x"FF";
when x"743" => DATA <= x"FF";
when x"744" => DATA <= x"FF";
when x"745" => DATA <= x"FF";
when x"746" => DATA <= x"FF";
when x"747" => DATA <= x"FF";
when x"748" => DATA <= x"FF";
when x"749" => DATA <= x"FF";
when x"74A" => DATA <= x"FF";
when x"74B" => DATA <= x"FF";
when x"74C" => DATA <= x"FF";
when x"74D" => DATA <= x"FF";
when x"74E" => DATA <= x"FF";
when x"74F" => DATA <= x"FF";
when x"750" => DATA <= x"FF";
when x"751" => DATA <= x"FF";
when x"752" => DATA <= x"FF";
when x"753" => DATA <= x"FF";
when x"754" => DATA <= x"FF";
when x"755" => DATA <= x"FF";
when x"756" => DATA <= x"FF";
when x"757" => DATA <= x"FF";
when x"758" => DATA <= x"FF";
when x"759" => DATA <= x"FF";
when x"75A" => DATA <= x"FF";
when x"75B" => DATA <= x"FF";
when x"75C" => DATA <= x"FF";
when x"75D" => DATA <= x"FF";
when x"75E" => DATA <= x"FF";
when x"75F" => DATA <= x"FF";
when x"760" => DATA <= x"FF";
when x"761" => DATA <= x"FF";
when x"762" => DATA <= x"FF";
when x"763" => DATA <= x"FF";
when x"764" => DATA <= x"FF";
when x"765" => DATA <= x"FF";
when x"766" => DATA <= x"FF";
when x"767" => DATA <= x"FF";
when x"768" => DATA <= x"FF";
when x"769" => DATA <= x"FF";
when x"76A" => DATA <= x"FF";
when x"76B" => DATA <= x"FF";
when x"76C" => DATA <= x"FF";
when x"76D" => DATA <= x"FF";
when x"76E" => DATA <= x"FF";
when x"76F" => DATA <= x"FF";
when x"770" => DATA <= x"FF";
when x"771" => DATA <= x"FF";
when x"772" => DATA <= x"FF";
when x"773" => DATA <= x"FF";
when x"774" => DATA <= x"FF";
when x"775" => DATA <= x"FF";
when x"776" => DATA <= x"FF";
when x"777" => DATA <= x"FF";
when x"778" => DATA <= x"FF";
when x"779" => DATA <= x"FF";
when x"77A" => DATA <= x"FF";
when x"77B" => DATA <= x"FF";
when x"77C" => DATA <= x"FF";
when x"77D" => DATA <= x"FF";
when x"77E" => DATA <= x"FF";
when x"77F" => DATA <= x"FF";
when x"780" => DATA <= x"C4";
when x"781" => DATA <= x"FC";
when x"782" => DATA <= x"50";
when x"783" => DATA <= x"F9";
when x"784" => DATA <= x"FD";
when x"785" => DATA <= x"FC";
when x"786" => DATA <= x"C4";
when x"787" => DATA <= x"FC";
when x"788" => DATA <= x"D5";
when x"789" => DATA <= x"F9";
when x"78A" => DATA <= x"80";
when x"78B" => DATA <= x"FA";
when x"78C" => DATA <= x"0C";
when x"78D" => DATA <= x"FB";
when x"78E" => DATA <= x"6D";
when x"78F" => DATA <= x"F9";
when x"790" => DATA <= x"77";
when x"791" => DATA <= x"F9";
when x"792" => DATA <= x"60";
when x"793" => DATA <= x"FC";
when x"794" => DATA <= x"D9";
when x"795" => DATA <= x"FB";
when x"796" => DATA <= x"37";
when x"797" => DATA <= x"FC";
when x"798" => DATA <= x"43";
when x"799" => DATA <= x"FC";
when x"79A" => DATA <= x"9B";
when x"79B" => DATA <= x"FC";
when x"79C" => DATA <= x"19";
when x"79D" => DATA <= x"FC";
when x"79E" => DATA <= x"C4";
when x"79F" => DATA <= x"FC";
when x"7A0" => DATA <= x"88";
when x"7A1" => DATA <= x"F9";
when x"7A2" => DATA <= x"C4";
when x"7A3" => DATA <= x"FC";
when x"7A4" => DATA <= x"C4";
when x"7A5" => DATA <= x"FC";
when x"7A6" => DATA <= x"C4";
when x"7A7" => DATA <= x"FC";
when x"7A8" => DATA <= x"C4";
when x"7A9" => DATA <= x"FC";
when x"7AA" => DATA <= x"C4";
when x"7AB" => DATA <= x"FC";
when x"7AC" => DATA <= x"C4";
when x"7AD" => DATA <= x"FC";
when x"7AE" => DATA <= x"C4";
when x"7AF" => DATA <= x"FC";
when x"7B0" => DATA <= x"88";
when x"7B1" => DATA <= x"F9";
when x"7B2" => DATA <= x"88";
when x"7B3" => DATA <= x"F9";
when x"7B4" => DATA <= x"88";
when x"7B5" => DATA <= x"F9";
when x"7B6" => DATA <= x"36";
when x"7B7" => DATA <= x"80";
when x"7B8" => DATA <= x"FF";
when x"7B9" => DATA <= x"4C";
when x"7BA" => DATA <= x"C4";
when x"7BB" => DATA <= x"FC";
when x"7BC" => DATA <= x"4C";
when x"7BD" => DATA <= x"C4";
when x"7BE" => DATA <= x"FC";
when x"7BF" => DATA <= x"4C";
when x"7C0" => DATA <= x"C4";
when x"7C1" => DATA <= x"FC";
when x"7C2" => DATA <= x"4C";
when x"7C3" => DATA <= x"C4";
when x"7C4" => DATA <= x"FC";
when x"7C5" => DATA <= x"4C";
when x"7C6" => DATA <= x"C4";
when x"7C7" => DATA <= x"FC";
when x"7C8" => DATA <= x"4C";
when x"7C9" => DATA <= x"77";
when x"7CA" => DATA <= x"F9";
when x"7CB" => DATA <= x"4C";
when x"7CC" => DATA <= x"6D";
when x"7CD" => DATA <= x"F9";
when x"7CE" => DATA <= x"6C";
when x"7CF" => DATA <= x"1C";
when x"7D0" => DATA <= x"02";
when x"7D1" => DATA <= x"6C";
when x"7D2" => DATA <= x"1A";
when x"7D3" => DATA <= x"02";
when x"7D4" => DATA <= x"6C";
when x"7D5" => DATA <= x"18";
when x"7D6" => DATA <= x"02";
when x"7D7" => DATA <= x"6C";
when x"7D8" => DATA <= x"16";
when x"7D9" => DATA <= x"02";
when x"7DA" => DATA <= x"6C";
when x"7DB" => DATA <= x"14";
when x"7DC" => DATA <= x"02";
when x"7DD" => DATA <= x"6C";
when x"7DE" => DATA <= x"12";
when x"7DF" => DATA <= x"02";
when x"7E0" => DATA <= x"6C";
when x"7E1" => DATA <= x"10";
when x"7E2" => DATA <= x"02";
when x"7E3" => DATA <= x"C9";
when x"7E4" => DATA <= x"0D";
when x"7E5" => DATA <= x"D0";
when x"7E6" => DATA <= x"07";
when x"7E7" => DATA <= x"A9";
when x"7E8" => DATA <= x"0A";
when x"7E9" => DATA <= x"20";
when x"7EA" => DATA <= x"EE";
when x"7EB" => DATA <= x"FF";
when x"7EC" => DATA <= x"A9";
when x"7ED" => DATA <= x"0D";
when x"7EE" => DATA <= x"6C";
when x"7EF" => DATA <= x"0E";
when x"7F0" => DATA <= x"02";
when x"7F1" => DATA <= x"6C";
when x"7F2" => DATA <= x"0C";
when x"7F3" => DATA <= x"02";
when x"7F4" => DATA <= x"6C";
when x"7F5" => DATA <= x"0A";
when x"7F6" => DATA <= x"02";
when x"7F7" => DATA <= x"6C";
when x"7F8" => DATA <= x"08";
when x"7F9" => DATA <= x"02";
when x"7FA" => DATA <= x"0D";
when x"7FB" => DATA <= x"FE";
when x"7FC" => DATA <= x"00";
when x"7FD" => DATA <= x"F8";
when x"7FE" => DATA <= x"F2";
when x"7FF" => DATA <= x"FC";
when others => DATA <= (others => '0');
end case;
end process;
end RTL;
|
architecture RTL of ENT is
begin
end ARCHITECTURE RTL;
architecture RTL of ENT is
begin
end rtl;
architecture RTL of ENT is
begin
end architecture Rtl;
architecture RTL of ENT is
begin
end;
architecture RTL of ENT is
begin
end Architecture;
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Synthesizable Testbench
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: dpr_synth.vhd
--
-- Description:
-- Synthesizable Testbench
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_MISC.ALL;
LIBRARY STD;
USE STD.TEXTIO.ALL;
--LIBRARY unisim;
--USE unisim.vcomponents.ALL;
LIBRARY work;
USE work.ALL;
USE work.BMG_TB_PKG.ALL;
ENTITY dpr_synth IS
PORT(
CLK_IN : IN STD_LOGIC;
CLKB_IN : IN STD_LOGIC;
RESET_IN : IN STD_LOGIC;
STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA
);
END ENTITY;
ARCHITECTURE dpr_synth_ARCH OF dpr_synth IS
COMPONENT dpr_exdes
PORT (
--Inputs - Port A
ENA : IN STD_LOGIC; --opt port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC;
--Inputs - Port B
ENB : IN STD_LOGIC; --opt port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKB : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA: STD_LOGIC := '0';
SIGNAL RSTA: STD_LOGIC := '0';
SIGNAL ENA: STD_LOGIC := '0';
SIGNAL ENA_R: STD_LOGIC := '0';
SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRA: STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRA_R: STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL DOUTA: STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL CLKB: STD_LOGIC := '0';
SIGNAL RSTB: STD_LOGIC := '0';
SIGNAL ENB: STD_LOGIC := '0';
SIGNAL ENB_R: STD_LOGIC := '0';
SIGNAL WEB: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
SIGNAL WEB_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRB: STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
SIGNAL ADDRB_R: STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINB: STD_LOGIC_VECTOR( 7 DOWNTO 0) := (OTHERS => '0');
SIGNAL DINB_R: STD_LOGIC_VECTOR( 7 DOWNTO 0) := (OTHERS => '0');
SIGNAL DOUTB: STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL CHECKER_EN : STD_LOGIC:='0';
SIGNAL CHECKER_EN_R : STD_LOGIC:='0';
SIGNAL CHECK_DATA_TDP : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
SIGNAL CHECKER_ENB_R : STD_LOGIC := '0';
SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
SIGNAL clk_in_i: STD_LOGIC;
SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
SIGNAL clkb_in_i: STD_LOGIC;
SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1';
SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1';
SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1';
SIGNAL ITER_R0 : STD_LOGIC := '0';
SIGNAL ITER_R1 : STD_LOGIC := '0';
SIGNAL ITER_R2 : STD_LOGIC := '0';
SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
BEGIN
-- clk_buf: bufg
-- PORT map(
-- i => CLK_IN,
-- o => clk_in_i
-- );
clk_in_i <= CLK_IN;
CLKA <= clk_in_i;
-- clkb_buf: bufg
-- PORT map(
-- i => CLKB_IN,
-- o => clkb_in_i
-- );
clkb_in_i <= CLKB_IN;
CLKB <= clkb_in_i;
RSTA <= RESET_SYNC_R3 AFTER 50 ns;
PROCESS(clk_in_i)
BEGIN
IF(RISING_EDGE(clk_in_i)) THEN
RESET_SYNC_R1 <= RESET_IN;
RESET_SYNC_R2 <= RESET_SYNC_R1;
RESET_SYNC_R3 <= RESET_SYNC_R2;
END IF;
END PROCESS;
RSTB <= RESETB_SYNC_R3 AFTER 50 ns;
PROCESS(clkb_in_i)
BEGIN
IF(RISING_EDGE(clkb_in_i)) THEN
RESETB_SYNC_R1 <= RESET_IN;
RESETB_SYNC_R2 <= RESETB_SYNC_R1;
RESETB_SYNC_R3 <= RESETB_SYNC_R2;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ISSUE_FLAG_STATUS<= (OTHERS => '0');
ELSE
ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
END IF;
END IF;
END PROCESS;
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
BMG_DATA_CHECKER_INST_A: ENTITY work.CHECKER
GENERIC MAP (
WRITE_WIDTH => 8,
READ_WIDTH => 8 )
PORT MAP (
CLK => CLKA,
RST => RSTA,
EN => CHECKER_EN_R,
DATA_IN => DOUTA,
STATUS => ISSUE_FLAG(0)
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RSTA='1') THEN
CHECKER_EN_R <= '0';
ELSE
CHECKER_EN_R <= CHECK_DATA_TDP(0) AFTER 50 ns;
END IF;
END IF;
END PROCESS;
BMG_DATA_CHECKER_INST_B: ENTITY work.CHECKER
GENERIC MAP (
WRITE_WIDTH => 8,
READ_WIDTH => 8 )
PORT MAP (
CLK => CLKB,
RST => RSTB,
EN => CHECKER_ENB_R,
DATA_IN => DOUTB,
STATUS => ISSUE_FLAG(1)
);
PROCESS(CLKB)
BEGIN
IF(RISING_EDGE(CLKB)) THEN
IF(RSTB='1') THEN
CHECKER_ENB_R <= '0';
ELSE
CHECKER_ENB_R <= CHECK_DATA_TDP(1) AFTER 50 ns;
END IF;
END IF;
END PROCESS;
BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN
PORT MAP(
CLKA => CLKA,
CLKB => CLKB,
TB_RST => RSTA,
ADDRA => ADDRA,
DINA => DINA,
ENA => ENA,
WEA => WEA,
WEB => WEB,
ADDRB => ADDRB,
DINB => DINB,
ENB => ENB,
CHECK_DATA => CHECK_DATA_TDP
);
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STATUS(8) <= '0';
iter_r2 <= '0';
iter_r1 <= '0';
iter_r0 <= '0';
ELSE
STATUS(8) <= iter_r2;
iter_r2 <= iter_r1;
iter_r1 <= iter_r0;
iter_r0 <= STIMULUS_FLOW(8);
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
STIMULUS_FLOW <= (OTHERS => '0');
ELSIF(WEA(0)='1') THEN
STIMULUS_FLOW <= STIMULUS_FLOW+1;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ENA_R <= '0' AFTER 50 ns;
WEA_R <= (OTHERS=>'0') AFTER 50 ns;
DINA_R <= (OTHERS=>'0') AFTER 50 ns;
ENB_R <= '0' AFTER 50 ns;
WEB_R <= (OTHERS=>'0') AFTER 50 ns;
DINB_R <= (OTHERS=>'0') AFTER 50 ns;
ELSE
ENA_R <= ENA AFTER 50 ns;
WEA_R <= WEA AFTER 50 ns;
DINA_R <= DINA AFTER 50 ns;
ENB_R <= ENB AFTER 50 ns;
WEB_R <= WEB AFTER 50 ns;
DINB_R <= DINB AFTER 50 ns;
END IF;
END IF;
END PROCESS;
PROCESS(CLKA)
BEGIN
IF(RISING_EDGE(CLKA)) THEN
IF(RESET_SYNC_R3='1') THEN
ADDRA_R <= (OTHERS=> '0') AFTER 50 ns;
ADDRB_R <= (OTHERS=> '0') AFTER 50 ns;
ELSE
ADDRA_R <= ADDRA AFTER 50 ns;
ADDRB_R <= ADDRB AFTER 50 ns;
END IF;
END IF;
END PROCESS;
BMG_PORT: dpr_exdes PORT MAP (
--Port A
ENA => ENA_R,
WEA => WEA_R,
ADDRA => ADDRA_R,
DINA => DINA_R,
DOUTA => DOUTA,
CLKA => CLKA,
--Port B
ENB => ENB_R,
WEB => WEB_R,
ADDRB => ADDRB_R,
DINB => DINB_R,
DOUTB => DOUTB,
CLKB => CLKB
);
END ARCHITECTURE;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
T0/WMw6Qil3prqPyLod0gFp4+TWcbB65LhXztmX8+8MqD0m1GNVAKn0WXZ8irHjBuDbiRmOv6x4Y
bq0dddaj5A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KTWkRyPRGVu/Dtak+++60Wnly56X26YtBocfEIBJhkep2sNUaYjYY+iGjsIjww3vJSIuxgXoH1MF
9m54zzvltP0d3ZidD16y6Rmg7aoieXLXLn5Eo72fAHYBXiB/H5+31wZPYoBqKWcHxhY2dZ5GFLu+
Jx+uCOMj9Um6GURDzM4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BS/k/bcQewgCN8FDWKeXSj8+qFbltJoRQer2VHhwCSHCWl3Ywd8XEtV5RmgQ+cqs/flwWi5hU+vr
Mra5Dayq2fbhKu7+UsI6DjSMzzvyjlv8lGx9mnWLHnZlm7kFABe1xv2sV/2lcA1FsxVBKK3cBNeO
8ozaEn8RHCdH/4cZdwlpav5SDXxSYlmkUMY+MCBmWa89Z8bf3AVXWNtLIr89bPrAZ6Qjrj/4QAc3
EYV7PoA7MGzIBrwIqY4IU1NIXsM0eQkCsXT+v08lfWKiGZz3m7y4+uEHJJh2nuMFUoel1B06FefQ
5W7p4lpkih2OIDu5G1WG7+DY0UGXakJ6i7EtQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oZJ5uGYQlmOVgfeqnD8ZWc8OyWLVSkTcmXMlRYuS3www+M7k1xPhL2OA29vYqzS4sHWuDWH/EmFg
M3D6rvGLzM/Cb8Bpou0dg+QrtJozirYRUo6y1WTITBBOTc4v+vw2LGlc0NHY8U02+Jy5z4xSKOS4
uV3rBKGsHnGg+U91rRQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YpVcpaCUIpsNNy5W7F6XhUNbggDdUXSAVXVqh1SNQNVLjofQRVr/AuZqkWRm32Uq3wprtdXSvg/8
9FnINwolIGn7lk/mEbi/oAXhXycysAr4n3PjLvcF7H1CvgYvpoun2vB/7rcr6n2iMCfJkphdyLOj
xIKxc5am/qjXcFT5Bbc3EXB1+hKPXlnn8qg84M8uCemeDmnUv1JHkDbWQtxfufAfucqI8SpQo7mA
q/EuFrrA4Oty3PIP67vqadyk8DPrXF4M0JMI74cyP/Y4eoAqdDEvIpJY1uazRmIe7fsOY0a52/ur
cosIisDsHZthfGh1byuVnQMp5T0Z0Pli7ElN4w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22688)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
T0/WMw6Qil3prqPyLod0gFp4+TWcbB65LhXztmX8+8MqD0m1GNVAKn0WXZ8irHjBuDbiRmOv6x4Y
bq0dddaj5A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KTWkRyPRGVu/Dtak+++60Wnly56X26YtBocfEIBJhkep2sNUaYjYY+iGjsIjww3vJSIuxgXoH1MF
9m54zzvltP0d3ZidD16y6Rmg7aoieXLXLn5Eo72fAHYBXiB/H5+31wZPYoBqKWcHxhY2dZ5GFLu+
Jx+uCOMj9Um6GURDzM4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BS/k/bcQewgCN8FDWKeXSj8+qFbltJoRQer2VHhwCSHCWl3Ywd8XEtV5RmgQ+cqs/flwWi5hU+vr
Mra5Dayq2fbhKu7+UsI6DjSMzzvyjlv8lGx9mnWLHnZlm7kFABe1xv2sV/2lcA1FsxVBKK3cBNeO
8ozaEn8RHCdH/4cZdwlpav5SDXxSYlmkUMY+MCBmWa89Z8bf3AVXWNtLIr89bPrAZ6Qjrj/4QAc3
EYV7PoA7MGzIBrwIqY4IU1NIXsM0eQkCsXT+v08lfWKiGZz3m7y4+uEHJJh2nuMFUoel1B06FefQ
5W7p4lpkih2OIDu5G1WG7+DY0UGXakJ6i7EtQQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oZJ5uGYQlmOVgfeqnD8ZWc8OyWLVSkTcmXMlRYuS3www+M7k1xPhL2OA29vYqzS4sHWuDWH/EmFg
M3D6rvGLzM/Cb8Bpou0dg+QrtJozirYRUo6y1WTITBBOTc4v+vw2LGlc0NHY8U02+Jy5z4xSKOS4
uV3rBKGsHnGg+U91rRQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YpVcpaCUIpsNNy5W7F6XhUNbggDdUXSAVXVqh1SNQNVLjofQRVr/AuZqkWRm32Uq3wprtdXSvg/8
9FnINwolIGn7lk/mEbi/oAXhXycysAr4n3PjLvcF7H1CvgYvpoun2vB/7rcr6n2iMCfJkphdyLOj
xIKxc5am/qjXcFT5Bbc3EXB1+hKPXlnn8qg84M8uCemeDmnUv1JHkDbWQtxfufAfucqI8SpQo7mA
q/EuFrrA4Oty3PIP67vqadyk8DPrXF4M0JMI74cyP/Y4eoAqdDEvIpJY1uazRmIe7fsOY0a52/ur
cosIisDsHZthfGh1byuVnQMp5T0Z0Pli7ElN4w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22688)
`protect data_block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`protect end_protected
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity poolV is
generic(
PIXEL_SIZE : integer;
IMAGE_WIDTH : integer;
KERNEL_SIZE : integer
);
port(
clk : in std_logic;
reset_n : in std_logic;
enable : in std_logic;
in_data : in std_logic_vector (PIXEL_SIZE - 1 downto 0);
in_dv : in std_logic;
in_fv : in std_logic;
out_data : out std_logic_vector (PIXEL_SIZE - 1 downto 0);
out_dv : out std_logic;
out_fv : out std_logic
);
end entity;
architecture rtl of poolV is
--------------------------------------------------------------------------
-- Signals
--------------------------------------------------------------------------
type buffer_data_type is array ( integer range <> ) of signed (PIXEL_SIZE-1 downto 0);
signal buffer_line : buffer_data_type (IMAGE_WIDTH - 1 downto 0);
signal buffer_data : buffer_data_type (KERNEL_SIZE - 1 downto 0);
signal max_value_signal : signed (PIXEL_SIZE - 1 downto 0);
signal buffer_fv : std_logic_vector(KERNEL_SIZE downto 0);
signal delay_fv : std_logic := '0';
signal tmp_dv : std_logic := '0';
begin
even_frameWidth : if (IMAGE_WIDTH mod 2 = 0) generate
process (clk)
variable x_cmp : unsigned (15 downto 0);
begin
if (reset_n = '0') then
tmp_dv <='0';
buffer_data <= (others=>(others=>'0'));
buffer_line <= (others=>(others=>'0'));
max_value_signal <= (others=>'0');
x_cmp := (others=>'0');
elsif (rising_edge(clk)) then
if (enable = '1') then
if (in_fv = '1') then
if (in_dv = '1') then
-- Bufferize line --------------------------------------------------------
buffer_line(IMAGE_WIDTH - 1) <= signed(in_data);
BUFFER_LOOP : for i in (IMAGE_WIDTH - 1) downto 1 loop
buffer_line(i-1) <= buffer_line(i);
end loop;
buffer_data(0) <= signed(in_data);
buffer_data(1) <= buffer_line(0);
-- Compute max : Case2 , just a comparator --------------------------------
if (buffer_data(0) > buffer_data(1)) then
max_value_signal <= buffer_data(0);
else
max_value_signal <= buffer_data(1);
end if;
-- V Subsample -------------------------------------------------------------
if (x_cmp < to_unsigned(IMAGE_WIDTH+1, 16)) then
tmp_dv <= '0';
x_cmp := x_cmp + to_unsigned(1,16);
elsif (x_cmp > to_unsigned(IMAGE_WIDTH + IMAGE_WIDTH,16)) then
tmp_dv <= '0';
x_cmp := to_unsigned(2,16);
else
tmp_dv <= '1';
x_cmp := x_cmp + to_unsigned(1,16);
end if;
--------------------------------------------------------------------------
else
-- Data is not valid
tmp_dv <= '0';
end if;
else
buffer_data <= (others=>(others=>'0'));
buffer_line <= (others=>(others=>'0'));
max_value_signal <= (others=>'0');
x_cmp := (others=>'0');
end if;
end if;
end if;
end process;
out_dv <= tmp_dv;
end generate;
----------------------------------------------------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------------------------------------------------------
----------------------------------------------------------------------------------------------------------------------------------------------------
odd_frameWidth : if (IMAGE_WIDTH mod 2 = 1) generate
process (clk)
variable x_cmp : unsigned (15 downto 0);
variable v_buff_data : buffer_data_type (KERNEL_SIZE - 1 downto 0);
begin
if (reset_n = '0') then
tmp_dv <='0';
v_buff_data := (others=>(others=>'0'));
buffer_line <= (others=>(others=>'0'));
max_value_signal <= (others=>'0');
x_cmp := (others=>'0');
elsif (rising_edge(clk)) then
if (enable = '1') then
if (in_fv = '1') then
if (in_dv = '1') then
-- Bufferize line --------------------------------------------------------
buffer_line(IMAGE_WIDTH - 1) <= signed(in_data);
BUFFER_LOOP : for i in (IMAGE_WIDTH - 1) downto 1 loop
buffer_line(i-1) <= buffer_line(i);
end loop;
v_buff_data(0) := signed(in_data);
v_buff_data(1) := buffer_line(0);
-- Compute max : Case2 , just a comparator --------------------------------
if (v_buff_data(0) > v_buff_data(1)) then
max_value_signal <= v_buff_data(0);
else
max_value_signal <= v_buff_data(1);
end if;
-- V Subsample -------------------------------------------------------------
if (x_cmp < to_unsigned(IMAGE_WIDTH, 16)) then
tmp_dv <= '0';
x_cmp := x_cmp + to_unsigned(1,16);
elsif (x_cmp = to_unsigned(IMAGE_WIDTH + IMAGE_WIDTH,16)) then
tmp_dv <= '0';
x_cmp := to_unsigned(1,16);
else
tmp_dv <= '1';
x_cmp := x_cmp + to_unsigned(1,16);
end if;
--------------------------------------------------------------------------
else
-- Data is not valid
tmp_dv <= '0';
end if;
else
v_buff_data := (others=>(others=>'0'));
buffer_line <= (others=>(others=>'0'));
max_value_signal <= (others=>'0');
x_cmp := (others=>'0');
end if;
end if;
end if;
out_dv <= tmp_dv;
end process;
--------------------------------------------------------------------------
end generate;
--------------------------------------------------------------------------
--------------------------------------------------------------------------
--------------------------------------------------------------------------
delay : process(clk)
begin
if (reset_n = '0') then
delay_fv <= '0';
buffer_fv <= (others=>'0');
elsif (rising_edge(clk)) then
if (enable = '1') then
buffer_fv <= buffer_fv(buffer_fv'HIGH -1 downto 0) & in_fv;
delay_fv <= buffer_fv(buffer_fv'HIGH);
end if;
end if;
end process;
out_data <= std_logic_vector(max_value_signal);
out_fv <= delay_fv;
end architecture;
|
package STRSYN is
attribute SigDir : string;
attribute SigType : string;
attribute SigBias : string;
end STRSYN;
entity op is
port (
terminal in1: electrical;
terminal in2: electrical;
terminal out1: electrical;
terminal vbias4: electrical;
terminal gnd: electrical;
terminal vbias1: electrical;
terminal vdd: electrical;
terminal vbias2: electrical;
terminal vbias3: electrical);
end op;
architecture simple of op is
-- Attributes for Ports
attribute SigDir of in1:terminal is "input";
attribute SigType of in1:terminal is "voltage";
attribute SigDir of in2:terminal is "input";
attribute SigType of in2:terminal is "voltage";
attribute SigDir of out1:terminal is "output";
attribute SigType of out1:terminal is "voltage";
attribute SigDir of vbias4:terminal is "reference";
attribute SigType of vbias4:terminal is "voltage";
attribute SigDir of gnd:terminal is "reference";
attribute SigType of gnd:terminal is "current";
attribute SigBias of gnd:terminal is "negative";
attribute SigDir of vbias1:terminal is "reference";
attribute SigType of vbias1:terminal is "voltage";
attribute SigDir of vdd:terminal is "reference";
attribute SigType of vdd:terminal is "current";
attribute SigBias of vdd:terminal is "positive";
attribute SigDir of vbias2:terminal is "reference";
attribute SigType of vbias2:terminal is "voltage";
attribute SigDir of vbias3:terminal is "reference";
attribute SigType of vbias3:terminal is "voltage";
terminal net1: electrical;
terminal net2: electrical;
terminal net3: electrical;
terminal net4: electrical;
terminal net5: electrical;
begin
subnet0_subnet0_m1 : entity nmos(behave)
generic map(
L => Ldiff_0,
W => Wdiff_0,
scope => private
)
port map(
D => net4,
G => in1,
S => net2
);
subnet0_subnet0_m2 : entity nmos(behave)
generic map(
L => Ldiff_0,
W => Wdiff_0,
scope => private
)
port map(
D => net3,
G => in2,
S => net2
);
subnet0_subnet0_m3 : entity nmos(behave)
generic map(
L => LBias,
W => W_0
)
port map(
D => net2,
G => vbias4,
S => gnd
);
subnet0_subnet1_m1 : entity pmos(behave)
generic map(
L => LBias,
W => Wcursrc_2,
scope => Wprivate,
symmetry_scope => sym_7
)
port map(
D => net3,
G => vbias1,
S => vdd
);
subnet0_subnet2_m1 : entity pmos(behave)
generic map(
L => LBias,
W => Wcursrc_2,
scope => Wprivate,
symmetry_scope => sym_7
)
port map(
D => net4,
G => vbias1,
S => vdd
);
subnet0_subnet3_m1 : entity pmos(behave)
generic map(
L => Lsrc,
W => Wsrc_3,
scope => Wprivate,
symmetry_scope => sym_8
)
port map(
D => net1,
G => net3,
S => vdd
);
subnet0_subnet4_m1 : entity pmos(behave)
generic map(
L => Lsrc,
W => Wsrc_3,
scope => Wprivate,
symmetry_scope => sym_8
)
port map(
D => out1,
G => net4,
S => vdd
);
subnet0_subnet5_m1 : entity nmos(behave)
generic map(
L => Lcm_1,
W => Wcm_1,
scope => private
)
port map(
D => net1,
G => net1,
S => gnd
);
subnet0_subnet5_m2 : entity nmos(behave)
generic map(
L => Lcm_1,
W => Wcmcout_1,
scope => private
)
port map(
D => out1,
G => net1,
S => gnd
);
subnet1_subnet0_m1 : entity pmos(behave)
generic map(
L => LBias,
W => (pfak)*(WBias)
)
port map(
D => vbias1,
G => vbias1,
S => vdd
);
subnet1_subnet0_m2 : entity pmos(behave)
generic map(
L => (pfak)*(LBias),
W => (pfak)*(WBias)
)
port map(
D => vbias2,
G => vbias2,
S => vbias1
);
subnet1_subnet0_i1 : entity idc(behave)
generic map(
dc => 1.145e-05
)
port map(
P => vdd,
N => vbias3
);
subnet1_subnet0_m3 : entity nmos(behave)
generic map(
L => (pfak)*(LBias),
W => WBias
)
port map(
D => vbias3,
G => vbias3,
S => vbias4
);
subnet1_subnet0_m4 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => vbias2,
G => vbias3,
S => net5
);
subnet1_subnet0_m5 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => vbias4,
G => vbias4,
S => gnd
);
subnet1_subnet0_m6 : entity nmos(behave)
generic map(
L => LBias,
W => WBias
)
port map(
D => net5,
G => vbias4,
S => gnd
);
end simple;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Sun Apr 09 08:27:08 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim -rename_top system_vga_color_test_0_0 -prefix
-- system_vga_color_test_0_0_ system_vga_color_test_0_0_sim_netlist.vhdl
-- Design : system_vga_color_test_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_color_test_0_0_vga_color_test is
port (
rgb : out STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : in STD_LOGIC_VECTOR ( 6 downto 0 );
xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
clk_25 : in STD_LOGIC
);
end system_vga_color_test_0_0_vga_color_test;
architecture STRUCTURE of system_vga_color_test_0_0_vga_color_test is
signal \rgb[13]_i_1_n_0\ : STD_LOGIC;
signal \rgb[14]_i_1_n_0\ : STD_LOGIC;
signal \rgb[14]_i_2_n_0\ : STD_LOGIC;
signal \rgb[14]_i_3_n_0\ : STD_LOGIC;
signal \rgb[14]_i_4_n_0\ : STD_LOGIC;
signal \rgb[14]_i_5_n_0\ : STD_LOGIC;
signal \rgb[14]_i_6_n_0\ : STD_LOGIC;
signal \rgb[15]_i_1_n_0\ : STD_LOGIC;
signal \rgb[15]_i_2_n_0\ : STD_LOGIC;
signal \rgb[15]_i_3_n_0\ : STD_LOGIC;
signal \rgb[15]_i_4_n_0\ : STD_LOGIC;
signal \rgb[15]_i_5_n_0\ : STD_LOGIC;
signal \rgb[15]_i_6_n_0\ : STD_LOGIC;
signal \rgb[15]_i_7_n_0\ : STD_LOGIC;
signal \rgb[21]_i_1_n_0\ : STD_LOGIC;
signal \rgb[22]_i_10_n_0\ : STD_LOGIC;
signal \rgb[22]_i_11_n_0\ : STD_LOGIC;
signal \rgb[22]_i_1_n_0\ : STD_LOGIC;
signal \rgb[22]_i_2_n_0\ : STD_LOGIC;
signal \rgb[22]_i_3_n_0\ : STD_LOGIC;
signal \rgb[22]_i_4_n_0\ : STD_LOGIC;
signal \rgb[22]_i_5_n_0\ : STD_LOGIC;
signal \rgb[22]_i_6_n_0\ : STD_LOGIC;
signal \rgb[22]_i_7_n_0\ : STD_LOGIC;
signal \rgb[22]_i_8_n_0\ : STD_LOGIC;
signal \rgb[22]_i_9_n_0\ : STD_LOGIC;
signal \rgb[23]_i_10_n_0\ : STD_LOGIC;
signal \rgb[23]_i_11_n_0\ : STD_LOGIC;
signal \rgb[23]_i_12_n_0\ : STD_LOGIC;
signal \rgb[23]_i_13_n_0\ : STD_LOGIC;
signal \rgb[23]_i_14_n_0\ : STD_LOGIC;
signal \rgb[23]_i_15_n_0\ : STD_LOGIC;
signal \rgb[23]_i_16_n_0\ : STD_LOGIC;
signal \rgb[23]_i_17_n_0\ : STD_LOGIC;
signal \rgb[23]_i_18_n_0\ : STD_LOGIC;
signal \rgb[23]_i_1_n_0\ : STD_LOGIC;
signal \rgb[23]_i_2_n_0\ : STD_LOGIC;
signal \rgb[23]_i_3_n_0\ : STD_LOGIC;
signal \rgb[23]_i_4_n_0\ : STD_LOGIC;
signal \rgb[23]_i_5_n_0\ : STD_LOGIC;
signal \rgb[23]_i_6_n_0\ : STD_LOGIC;
signal \rgb[23]_i_7_n_0\ : STD_LOGIC;
signal \rgb[23]_i_8_n_0\ : STD_LOGIC;
signal \rgb[23]_i_9_n_0\ : STD_LOGIC;
signal \rgb[4]_i_1_n_0\ : STD_LOGIC;
signal \rgb[4]_i_2_n_0\ : STD_LOGIC;
signal \rgb[5]_i_1_n_0\ : STD_LOGIC;
signal \rgb[5]_i_2_n_0\ : STD_LOGIC;
signal \rgb[6]_i_1_n_0\ : STD_LOGIC;
signal \rgb[6]_i_2_n_0\ : STD_LOGIC;
signal \rgb[6]_i_3_n_0\ : STD_LOGIC;
signal \rgb[6]_i_4_n_0\ : STD_LOGIC;
signal \rgb[6]_i_5_n_0\ : STD_LOGIC;
signal \rgb[7]_i_1_n_0\ : STD_LOGIC;
signal \rgb[7]_i_2_n_0\ : STD_LOGIC;
signal \rgb[7]_i_3_n_0\ : STD_LOGIC;
signal \rgb[7]_i_4_n_0\ : STD_LOGIC;
signal \rgb[7]_i_5_n_0\ : STD_LOGIC;
signal \rgb[7]_i_6_n_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rgb[14]_i_3\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rgb[14]_i_5\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \rgb[15]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \rgb[15]_i_3\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rgb[15]_i_5\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \rgb[15]_i_6\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \rgb[15]_i_7\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rgb[22]_i_10\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rgb[22]_i_11\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \rgb[23]_i_10\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rgb[23]_i_11\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \rgb[23]_i_14\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \rgb[23]_i_15\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rgb[23]_i_17\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \rgb[23]_i_18\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \rgb[23]_i_6\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \rgb[5]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \rgb[6]_i_2\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \rgb[6]_i_4\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rgb[6]_i_5\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rgb[7]_i_3\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \rgb[7]_i_4\ : label is "soft_lutpair5";
begin
\rgb[13]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"5555FF02"
)
port map (
I0 => \rgb[15]_i_4_n_0\,
I1 => \rgb[14]_i_2_n_0\,
I2 => \rgb[14]_i_3_n_0\,
I3 => \rgb[22]_i_2_n_0\,
I4 => \rgb[23]_i_6_n_0\,
O => \rgb[13]_i_1_n_0\
);
\rgb[14]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"55555555FFFFFF02"
)
port map (
I0 => \rgb[15]_i_4_n_0\,
I1 => \rgb[14]_i_2_n_0\,
I2 => \rgb[14]_i_3_n_0\,
I3 => \rgb[22]_i_3_n_0\,
I4 => \rgb[22]_i_2_n_0\,
I5 => \rgb[23]_i_6_n_0\,
O => \rgb[14]_i_1_n_0\
);
\rgb[14]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"02F20202"
)
port map (
I0 => \rgb[14]_i_4_n_0\,
I1 => \rgb[23]_i_11_n_0\,
I2 => xaddr(9),
I3 => \rgb[14]_i_5_n_0\,
I4 => \rgb[23]_i_10_n_0\,
O => \rgb[14]_i_2_n_0\
);
\rgb[14]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \rgb[14]_i_6_n_0\,
I1 => yaddr(6),
O => \rgb[14]_i_3_n_0\
);
\rgb[14]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEFEFEFEFEFEFEEE"
)
port map (
I0 => xaddr(4),
I1 => xaddr(5),
I2 => xaddr(3),
I3 => xaddr(0),
I4 => xaddr(1),
I5 => xaddr(2),
O => \rgb[14]_i_4_n_0\
);
\rgb[14]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFF8"
)
port map (
I0 => xaddr(2),
I1 => xaddr(5),
I2 => xaddr(7),
I3 => xaddr(6),
I4 => xaddr(8),
O => \rgb[14]_i_5_n_0\
);
\rgb[14]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"A888A888A8888888"
)
port map (
I0 => yaddr(5),
I1 => yaddr(4),
I2 => yaddr(2),
I3 => yaddr(3),
I4 => yaddr(1),
I5 => yaddr(0),
O => \rgb[14]_i_6_n_0\
);
\rgb[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000FFFF55455545"
)
port map (
I0 => \rgb[23]_i_4_n_0\,
I1 => \rgb[22]_i_2_n_0\,
I2 => \rgb[15]_i_2_n_0\,
I3 => \rgb[15]_i_3_n_0\,
I4 => \rgb[15]_i_4_n_0\,
I5 => \rgb[23]_i_6_n_0\,
O => \rgb[15]_i_1_n_0\
);
\rgb[15]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \rgb[22]_i_8_n_0\,
I1 => \rgb[23]_i_12_n_0\,
O => \rgb[15]_i_2_n_0\
);
\rgb[15]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAA88888"
)
port map (
I0 => \rgb[14]_i_3_n_0\,
I1 => xaddr(9),
I2 => xaddr(6),
I3 => xaddr(7),
I4 => xaddr(8),
O => \rgb[15]_i_3_n_0\
);
\rgb[15]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"ECEEEEEEECECECEC"
)
port map (
I0 => xaddr(8),
I1 => xaddr(9),
I2 => xaddr(7),
I3 => \rgb[15]_i_5_n_0\,
I4 => \rgb[15]_i_6_n_0\,
I5 => \rgb[15]_i_7_n_0\,
O => \rgb[15]_i_4_n_0\
);
\rgb[15]_i_5\: unisim.vcomponents.LUT3
generic map(
INIT => X"1F"
)
port map (
I0 => xaddr(0),
I1 => xaddr(1),
I2 => xaddr(2),
O => \rgb[15]_i_5_n_0\
);
\rgb[15]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => xaddr(5),
I1 => xaddr(4),
O => \rgb[15]_i_6_n_0\
);
\rgb[15]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"8880"
)
port map (
I0 => xaddr(6),
I1 => xaddr(5),
I2 => xaddr(4),
I3 => xaddr(3),
O => \rgb[15]_i_7_n_0\
);
\rgb[21]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFBF0FB"
)
port map (
I0 => \rgb[22]_i_2_n_0\,
I1 => \rgb[22]_i_4_n_0\,
I2 => \rgb[23]_i_2_n_0\,
I3 => \rgb[23]_i_6_n_0\,
I4 => \rgb[23]_i_7_n_0\,
O => \rgb[21]_i_1_n_0\
);
\rgb[22]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFEFFF00FFEF"
)
port map (
I0 => \rgb[22]_i_2_n_0\,
I1 => \rgb[22]_i_3_n_0\,
I2 => \rgb[22]_i_4_n_0\,
I3 => \rgb[23]_i_2_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[23]_i_7_n_0\,
O => \rgb[22]_i_1_n_0\
);
\rgb[22]_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"01"
)
port map (
I0 => xaddr(9),
I1 => xaddr(6),
I2 => xaddr(7),
O => \rgb[22]_i_10_n_0\
);
\rgb[22]_i_11\: unisim.vcomponents.LUT4
generic map(
INIT => X"0070"
)
port map (
I0 => xaddr(3),
I1 => xaddr(4),
I2 => xaddr(8),
I3 => xaddr(5),
O => \rgb[22]_i_11_n_0\
);
\rgb[22]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000AAABABAB"
)
port map (
I0 => \rgb[22]_i_5_n_0\,
I1 => xaddr(8),
I2 => xaddr(9),
I3 => xaddr(6),
I4 => xaddr(7),
I5 => \rgb[22]_i_6_n_0\,
O => \rgb[22]_i_2_n_0\
);
\rgb[22]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000FD0000"
)
port map (
I0 => \rgb[23]_i_15_n_0\,
I1 => xaddr(4),
I2 => xaddr(5),
I3 => \rgb[22]_i_7_n_0\,
I4 => xaddr(9),
I5 => \rgb[22]_i_6_n_0\,
O => \rgb[22]_i_3_n_0\
);
\rgb[22]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFAE"
)
port map (
I0 => \rgb[23]_i_7_n_0\,
I1 => \rgb[22]_i_8_n_0\,
I2 => \rgb[23]_i_8_n_0\,
I3 => \rgb[14]_i_3_n_0\,
O => \rgb[22]_i_4_n_0\
);
\rgb[22]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000200030003"
)
port map (
I0 => \rgb[15]_i_5_n_0\,
I1 => xaddr(9),
I2 => xaddr(8),
I3 => xaddr(5),
I4 => xaddr(3),
I5 => xaddr(4),
O => \rgb[22]_i_5_n_0\
);
\rgb[22]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"111111111111111F"
)
port map (
I0 => \rgb[14]_i_6_n_0\,
I1 => yaddr(6),
I2 => \rgb[22]_i_9_n_0\,
I3 => xaddr(7),
I4 => xaddr(8),
I5 => xaddr(9),
O => \rgb[22]_i_6_n_0\
);
\rgb[22]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFEFEFEFFFFFFFF"
)
port map (
I0 => xaddr(8),
I1 => xaddr(6),
I2 => xaddr(7),
I3 => xaddr(5),
I4 => xaddr(2),
I5 => \rgb[23]_i_10_n_0\,
O => \rgb[22]_i_7_n_0\
);
\rgb[22]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"5515551555151515"
)
port map (
I0 => \rgb[23]_i_14_n_0\,
I1 => \rgb[22]_i_10_n_0\,
I2 => \rgb[22]_i_11_n_0\,
I3 => xaddr(4),
I4 => xaddr(1),
I5 => xaddr(2),
O => \rgb[22]_i_8_n_0\
);
\rgb[22]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"CCCC000088800000"
)
port map (
I0 => xaddr(3),
I1 => xaddr(6),
I2 => xaddr(2),
I3 => xaddr(1),
I4 => xaddr(5),
I5 => xaddr(4),
O => \rgb[22]_i_9_n_0\
);
\rgb[23]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFAAAEAAAEAAAE"
)
port map (
I0 => \rgb[23]_i_2_n_0\,
I1 => \rgb[23]_i_3_n_0\,
I2 => \rgb[23]_i_4_n_0\,
I3 => \rgb[23]_i_5_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[23]_i_7_n_0\,
O => \rgb[23]_i_1_n_0\
);
\rgb[23]_i_10\: unisim.vcomponents.LUT3
generic map(
INIT => X"1F"
)
port map (
I0 => xaddr(3),
I1 => xaddr(4),
I2 => xaddr(5),
O => \rgb[23]_i_10_n_0\
);
\rgb[23]_i_11\: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => xaddr(8),
I1 => xaddr(6),
I2 => xaddr(7),
O => \rgb[23]_i_11_n_0\
);
\rgb[23]_i_12\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => yaddr(6),
I1 => \rgb[14]_i_6_n_0\,
O => \rgb[23]_i_12_n_0\
);
\rgb[23]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"0515555515155555"
)
port map (
I0 => \rgb[23]_i_18_n_0\,
I1 => xaddr(4),
I2 => xaddr(5),
I3 => \rgb[23]_i_17_n_0\,
I4 => xaddr(6),
I5 => xaddr(3),
O => \rgb[23]_i_13_n_0\
);
\rgb[23]_i_14\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => xaddr(9),
I1 => xaddr(8),
O => \rgb[23]_i_14_n_0\
);
\rgb[23]_i_15\: unisim.vcomponents.LUT3
generic map(
INIT => X"15"
)
port map (
I0 => xaddr(3),
I1 => xaddr(1),
I2 => xaddr(2),
O => \rgb[23]_i_15_n_0\
);
\rgb[23]_i_16\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => xaddr(7),
I1 => xaddr(6),
O => \rgb[23]_i_16_n_0\
);
\rgb[23]_i_17\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => xaddr(2),
I1 => xaddr(1),
O => \rgb[23]_i_17_n_0\
);
\rgb[23]_i_18\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => xaddr(7),
I1 => xaddr(8),
I2 => xaddr(9),
O => \rgb[23]_i_18_n_0\
);
\rgb[23]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000022222"
)
port map (
I0 => \rgb[15]_i_4_n_0\,
I1 => yaddr(6),
I2 => yaddr(4),
I3 => yaddr(3),
I4 => yaddr(5),
I5 => \rgb[23]_i_8_n_0\,
O => \rgb[23]_i_2_n_0\
);
\rgb[23]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAFFFB"
)
port map (
I0 => \rgb[14]_i_3_n_0\,
I1 => \rgb[15]_i_4_n_0\,
I2 => \rgb[23]_i_9_n_0\,
I3 => xaddr(9),
I4 => \rgb[23]_i_7_n_0\,
O => \rgb[23]_i_3_n_0\
);
\rgb[23]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00004440"
)
port map (
I0 => xaddr(9),
I1 => \rgb[23]_i_9_n_0\,
I2 => \rgb[23]_i_10_n_0\,
I3 => \rgb[23]_i_11_n_0\,
I4 => \rgb[23]_i_12_n_0\,
O => \rgb[23]_i_4_n_0\
);
\rgb[23]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0057FFFF00570057"
)
port map (
I0 => yaddr(5),
I1 => yaddr(3),
I2 => yaddr(4),
I3 => yaddr(6),
I4 => \rgb[23]_i_12_n_0\,
I5 => \rgb[23]_i_13_n_0\,
O => \rgb[23]_i_5_n_0\
);
\rgb[23]_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"0155"
)
port map (
I0 => yaddr(6),
I1 => yaddr(4),
I2 => yaddr(3),
I3 => yaddr(5),
O => \rgb[23]_i_6_n_0\
);
\rgb[23]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"40CC44CC44CC44CC"
)
port map (
I0 => xaddr(6),
I1 => \rgb[23]_i_14_n_0\,
I2 => \rgb[23]_i_15_n_0\,
I3 => xaddr(7),
I4 => xaddr(4),
I5 => xaddr(5),
O => \rgb[23]_i_7_n_0\
);
\rgb[23]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFD500000000"
)
port map (
I0 => \rgb[23]_i_10_n_0\,
I1 => xaddr(2),
I2 => xaddr(5),
I3 => \rgb[23]_i_16_n_0\,
I4 => xaddr(8),
I5 => xaddr(9),
O => \rgb[23]_i_8_n_0\
);
\rgb[23]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000FFFFFFE0"
)
port map (
I0 => \rgb[23]_i_17_n_0\,
I1 => xaddr(0),
I2 => xaddr(3),
I3 => xaddr(5),
I4 => xaddr(4),
I5 => \rgb[23]_i_11_n_0\,
O => \rgb[23]_i_9_n_0\
);
\rgb[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"04770404"
)
port map (
I0 => \rgb[6]_i_2_n_0\,
I1 => \rgb[23]_i_6_n_0\,
I2 => \rgb[23]_i_7_n_0\,
I3 => \rgb[4]_i_2_n_0\,
I4 => \rgb[5]_i_2_n_0\,
O => \rgb[4]_i_1_n_0\
);
\rgb[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF2F2FFFFF202F"
)
port map (
I0 => \rgb[22]_i_8_n_0\,
I1 => \rgb[15]_i_4_n_0\,
I2 => \rgb[23]_i_12_n_0\,
I3 => \rgb[6]_i_5_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[23]_i_13_n_0\,
O => \rgb[4]_i_2_n_0\
);
\rgb[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAFEAAAAAAAA"
)
port map (
I0 => \rgb[7]_i_4_n_0\,
I1 => \rgb[15]_i_2_n_0\,
I2 => \rgb[15]_i_4_n_0\,
I3 => \rgb[15]_i_3_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[5]_i_2_n_0\,
O => \rgb[5]_i_1_n_0\
);
\rgb[5]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"7F7F0F7F"
)
port map (
I0 => \rgb[14]_i_2_n_0\,
I1 => \rgb[22]_i_8_n_0\,
I2 => \rgb[23]_i_12_n_0\,
I3 => \rgb[23]_i_7_n_0\,
I4 => \rgb[7]_i_3_n_0\,
O => \rgb[5]_i_2_n_0\
);
\rgb[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000F000FFFFF0045"
)
port map (
I0 => \rgb[14]_i_3_n_0\,
I1 => \rgb[7]_i_3_n_0\,
I2 => \rgb[23]_i_7_n_0\,
I3 => \rgb[6]_i_2_n_0\,
I4 => \rgb[6]_i_3_n_0\,
I5 => \rgb[23]_i_6_n_0\,
O => \rgb[6]_i_1_n_0\
);
\rgb[6]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"EA"
)
port map (
I0 => \rgb[14]_i_2_n_0\,
I1 => \rgb[22]_i_8_n_0\,
I2 => \rgb[7]_i_6_n_0\,
O => \rgb[6]_i_2_n_0\
);
\rgb[6]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"00FF0002"
)
port map (
I0 => xaddr(9),
I1 => \rgb[22]_i_7_n_0\,
I2 => \rgb[6]_i_4_n_0\,
I3 => \rgb[22]_i_6_n_0\,
I4 => \rgb[6]_i_5_n_0\,
O => \rgb[6]_i_3_n_0\
);
\rgb[6]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000007"
)
port map (
I0 => xaddr(2),
I1 => xaddr(1),
I2 => xaddr(3),
I3 => xaddr(4),
I4 => xaddr(5),
O => \rgb[6]_i_4_n_0\
);
\rgb[6]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"0057"
)
port map (
I0 => xaddr(8),
I1 => xaddr(7),
I2 => xaddr(6),
I3 => xaddr(9),
O => \rgb[6]_i_5_n_0\
);
\rgb[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000222A"
)
port map (
I0 => \rgb[7]_i_3_n_0\,
I1 => yaddr(5),
I2 => yaddr(3),
I3 => yaddr(4),
I4 => yaddr(6),
O => \rgb[7]_i_1_n_0\
);
\rgb[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF000000FB"
)
port map (
I0 => \rgb[7]_i_3_n_0\,
I1 => \rgb[23]_i_7_n_0\,
I2 => \rgb[14]_i_3_n_0\,
I3 => \rgb[23]_i_4_n_0\,
I4 => \rgb[23]_i_6_n_0\,
I5 => \rgb[7]_i_4_n_0\,
O => \rgb[7]_i_2_n_0\
);
\rgb[7]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000000D"
)
port map (
I0 => xaddr(6),
I1 => \rgb[7]_i_5_n_0\,
I2 => xaddr(9),
I3 => xaddr(8),
I4 => xaddr(7),
O => \rgb[7]_i_3_n_0\
);
\rgb[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000444"
)
port map (
I0 => \rgb[23]_i_7_n_0\,
I1 => \rgb[23]_i_6_n_0\,
I2 => \rgb[7]_i_6_n_0\,
I3 => \rgb[22]_i_8_n_0\,
I4 => \rgb[14]_i_2_n_0\,
O => \rgb[7]_i_4_n_0\
);
\rgb[7]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"1515155515155555"
)
port map (
I0 => xaddr(5),
I1 => xaddr(3),
I2 => xaddr(4),
I3 => xaddr(0),
I4 => xaddr(2),
I5 => xaddr(1),
O => \rgb[7]_i_5_n_0\
);
\rgb[7]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000007F55"
)
port map (
I0 => \rgb[15]_i_7_n_0\,
I1 => xaddr(4),
I2 => xaddr(5),
I3 => \rgb[15]_i_5_n_0\,
I4 => xaddr(7),
I5 => xaddr(9),
O => \rgb[7]_i_6_n_0\
);
\rgb_reg[13]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[13]_i_1_n_0\,
Q => rgb(4),
R => '0'
);
\rgb_reg[14]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[14]_i_1_n_0\,
Q => rgb(5),
R => '0'
);
\rgb_reg[15]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[15]_i_1_n_0\,
Q => rgb(6),
R => '0'
);
\rgb_reg[21]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[21]_i_1_n_0\,
Q => rgb(7),
R => '0'
);
\rgb_reg[22]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[22]_i_1_n_0\,
Q => rgb(8),
R => '0'
);
\rgb_reg[23]\: unisim.vcomponents.FDRE
port map (
C => clk_25,
CE => '1',
D => \rgb[23]_i_1_n_0\,
Q => rgb(9),
R => '0'
);
\rgb_reg[4]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[4]_i_1_n_0\,
Q => rgb(0),
S => \rgb[7]_i_1_n_0\
);
\rgb_reg[5]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[5]_i_1_n_0\,
Q => rgb(1),
S => \rgb[7]_i_1_n_0\
);
\rgb_reg[6]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[6]_i_1_n_0\,
Q => rgb(2),
S => \rgb[7]_i_1_n_0\
);
\rgb_reg[7]\: unisim.vcomponents.FDSE
port map (
C => clk_25,
CE => '1',
D => \rgb[7]_i_2_n_0\,
Q => rgb(3),
S => \rgb[7]_i_1_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system_vga_color_test_0_0 is
port (
clk_25 : in STD_LOGIC;
xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : in STD_LOGIC_VECTOR ( 9 downto 0 );
rgb : out STD_LOGIC_VECTOR ( 23 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of system_vga_color_test_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of system_vga_color_test_0_0 : entity is "system_vga_color_test_0_0,vga_color_test,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of system_vga_color_test_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of system_vga_color_test_0_0 : entity is "vga_color_test,Vivado 2016.4";
end system_vga_color_test_0_0;
architecture STRUCTURE of system_vga_color_test_0_0 is
signal \^rgb\ : STD_LOGIC_VECTOR ( 23 downto 3 );
begin
rgb(23 downto 22) <= \^rgb\(23 downto 22);
rgb(21) <= \^rgb\(20);
rgb(20) <= \^rgb\(20);
rgb(19) <= \^rgb\(20);
rgb(18) <= \^rgb\(20);
rgb(17) <= \^rgb\(20);
rgb(16) <= \^rgb\(20);
rgb(15 downto 14) <= \^rgb\(15 downto 14);
rgb(13) <= \^rgb\(12);
rgb(12) <= \^rgb\(12);
rgb(11) <= \^rgb\(12);
rgb(10) <= \^rgb\(12);
rgb(9) <= \^rgb\(12);
rgb(8) <= \^rgb\(12);
rgb(7 downto 5) <= \^rgb\(7 downto 5);
rgb(4) <= \^rgb\(3);
rgb(3) <= \^rgb\(3);
rgb(2) <= \^rgb\(3);
rgb(1) <= \^rgb\(3);
rgb(0) <= \^rgb\(3);
U0: entity work.system_vga_color_test_0_0_vga_color_test
port map (
clk_25 => clk_25,
rgb(9 downto 8) => \^rgb\(23 downto 22),
rgb(7) => \^rgb\(20),
rgb(6 downto 5) => \^rgb\(15 downto 14),
rgb(4) => \^rgb\(12),
rgb(3 downto 1) => \^rgb\(7 downto 5),
rgb(0) => \^rgb\(3),
xaddr(9 downto 0) => xaddr(9 downto 0),
yaddr(6 downto 0) => yaddr(9 downto 3)
);
end STRUCTURE;
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.NUMERIC_STD.all;
LIBRARY unisim;
USE unisim.vcomponents.all;
ENTITY adc_data_channel IS
PORT(
LVDS_ADC_D0_N : IN std_logic;
LVDS_ADC_D0_P : IN std_logic;
LVDS_ADC_D1_N : IN std_logic;
LVDS_ADC_D1_P : IN std_logic;
adc_clk : IN std_logic;
adc_clk_div : IN std_logic;
bitslip : IN std_logic;
reset : IN std_logic;
adc_data : OUT std_logic_vector (15 DOWNTO 0)
);
END ENTITY adc_data_channel ;
ARCHITECTURE struct OF adc_data_channel IS
-- Internal signal declarations
SIGNAL D0_delay_const_0 : std_logic_vector(4 DOWNTO 0);
SIGNAL adc_clk_n : std_logic;
SIGNAL adc_d0 : std_logic;
SIGNAL adc_d0_dly : std_logic;
SIGNAL adc_d1 : std_logic;
SIGNAL adc_d1_dly : std_logic;
SIGNAL d0_n_serdes_low : std_logic;
SIGNAL d0_p_serdes_low : std_logic;
SIGNAL d0_par : std_logic_vector(7 DOWNTO 0);
SIGNAL d1_par : std_logic_vector(7 DOWNTO 0);
COMPONENT ISERDESE2
GENERIC (
DATA_RATE : string;
DATA_WIDTH : integer;
DYN_CLKDIV_INV_EN : string;
DYN_CLK_INV_EN : string;
INIT_Q1 : bit;
INIT_Q2 : bit;
INIT_Q3 : bit;
INIT_Q4 : bit;
INTERFACE_TYPE : string;
IOBDELAY : string;
NUM_CE : integer;
OFB_USED : string;
SERDES_MODE : string;
SRVAL_Q1 : bit;
SRVAL_Q2 : bit;
SRVAL_Q3 : bit;
SRVAL_Q4 : bit
);
PORT (
BITSLIP : IN std_ulogic;
CE1 : IN std_ulogic;
CE2 : IN std_ulogic;
CLK : IN std_ulogic;
CLKB : IN std_ulogic;
CLKDIV : IN std_ulogic;
CLKDIVP : IN std_ulogic;
D : IN std_ulogic;
DDLY : IN std_ulogic;
DYNCLKDIVSEL : IN std_ulogic;
DYNCLKSEL : IN std_ulogic;
OCLK : IN std_ulogic;
OCLKB : IN std_ulogic;
OFB : IN std_ulogic;
RST : IN std_ulogic;
SHIFTIN1 : IN std_ulogic;
SHIFTIN2 : IN std_ulogic;
O : OUT std_ulogic;
Q1 : OUT std_ulogic;
Q2 : OUT std_ulogic;
Q3 : OUT std_ulogic;
Q4 : OUT std_ulogic;
Q5 : OUT std_ulogic;
Q6 : OUT std_ulogic;
Q7 : OUT std_ulogic;
Q8 : OUT std_ulogic;
SHIFTOUT1 : OUT std_ulogic;
SHIFTOUT2 : OUT std_ulogic
);
END COMPONENT ISERDESE2;
COMPONENT IBUFDS_LVDS_25
PORT (
I : IN std_ulogic;
IB : IN std_ulogic;
O : OUT std_ulogic
);
END COMPONENT IBUFDS_LVDS_25;
COMPONENT IDELAYE2
GENERIC (
CINVCTRL_SEL : string := "FALSE";
DELAY_SRC : string := "IDATAIN";
HIGH_PERFORMANCE_MODE : string := "FALSE";
IDELAY_TYPE : string := "FIXED";
IDELAY_VALUE : integer := 0;
PIPE_SEL : string := "FALSE";
REFCLK_FREQUENCY : real := 200.0;
SIGNAL_PATTERN : string := "DATA"
);
PORT (
C : IN std_ulogic;
CE : IN std_ulogic;
CINVCTRL : IN std_ulogic;
CNTVALUEIN : IN std_logic_vector (4 DOWNTO 0);
DATAIN : IN std_ulogic;
IDATAIN : IN std_ulogic;
INC : IN std_ulogic;
LD : IN std_ulogic;
LDPIPEEN : IN std_ulogic;
REGRST : IN std_ulogic;
CNTVALUEOUT : OUT std_logic_vector (4 DOWNTO 0);
DATAOUT : OUT std_ulogic
);
END COMPONENT IDELAYE2;
BEGIN
adc_data <= d1_par & d0_par;
d0_n_serdes_low <= '0';
d0_p_serdes_low <= '0';
D0_delay_const_0 <= (OTHERS => '0');
D0_delay_const_0 <= (OTHERS => '0');
adc_clk_n <= NOT(adc_clk);
ISERDESE2_d0 : ISERDESE2
GENERIC MAP (
DATA_RATE => "DDR",
DATA_WIDTH => 8,
DYN_CLKDIV_INV_EN => "FALSE",
DYN_CLK_INV_EN => "FALSE",
INIT_Q1 => '0',
INIT_Q2 => '0',
INIT_Q3 => '0',
INIT_Q4 => '0',
INTERFACE_TYPE => "NETWORKING",
IOBDELAY => "BOTH",
NUM_CE => 2,
OFB_USED => "FALSE",
SERDES_MODE => "MASTER",
SRVAL_Q1 => '0',
SRVAL_Q2 => '0',
SRVAL_Q3 => '0',
SRVAL_Q4 => '0'
)
PORT MAP (
O => OPEN,
Q1 => d0_par(0),
Q2 => d0_par(1),
Q3 => d0_par(2),
Q4 => d0_par(3),
Q5 => d0_par(4),
Q6 => d0_par(5),
Q7 => d0_par(6),
Q8 => d0_par(7),
SHIFTOUT1 => OPEN,
SHIFTOUT2 => OPEN,
BITSLIP => bitslip,
CE1 => '1',
CE2 => '1',
CLK => adc_clk,
CLKB => adc_clk_n,
CLKDIV => adc_clk_div,
CLKDIVP => d0_p_serdes_low,
D => adc_d0,
DDLY => adc_d0_dly,
DYNCLKDIVSEL => d0_p_serdes_low,
DYNCLKSEL => d0_p_serdes_low,
OCLK => d0_p_serdes_low,
OCLKB => d0_p_serdes_low,
OFB => d0_p_serdes_low,
RST => not reset,
SHIFTIN1 => d0_p_serdes_low,
SHIFTIN2 => d0_p_serdes_low
);
ISERDESE2_d1 : ISERDESE2
GENERIC MAP (
DATA_RATE => "DDR",
DATA_WIDTH => 8,
DYN_CLKDIV_INV_EN => "FALSE",
DYN_CLK_INV_EN => "FALSE",
INIT_Q1 => '0',
INIT_Q2 => '0',
INIT_Q3 => '0',
INIT_Q4 => '0',
INTERFACE_TYPE => "NETWORKING",
IOBDELAY => "BOTH",
NUM_CE => 2,
OFB_USED => "FALSE",
SERDES_MODE => "MASTER",
SRVAL_Q1 => '0',
SRVAL_Q2 => '0',
SRVAL_Q3 => '0',
SRVAL_Q4 => '0'
)
PORT MAP (
O => OPEN,
Q1 => d1_par(0),
Q2 => d1_par(1),
Q3 => d1_par(2),
Q4 => d1_par(3),
Q5 => d1_par(4),
Q6 => d1_par(5),
Q7 => d1_par(6),
Q8 => d1_par(7),
SHIFTOUT1 => OPEN,
SHIFTOUT2 => OPEN,
BITSLIP => bitslip,
CE1 => '1',
CE2 => '1',
CLK => adc_clk,
CLKB => adc_clk_n,
CLKDIV => adc_clk_div,
CLKDIVP => d0_n_serdes_low,
D => adc_d1,
DDLY => adc_d1_dly,
DYNCLKDIVSEL => d0_n_serdes_low,
DYNCLKSEL => d0_n_serdes_low,
OCLK => d0_n_serdes_low,
OCLKB => d0_n_serdes_low,
OFB => d0_n_serdes_low,
RST => not reset,
SHIFTIN1 => d0_n_serdes_low,
SHIFTIN2 => d0_n_serdes_low
);
D0_buf : IBUFDS_LVDS_25
PORT MAP (
O => adc_d0,
I => LVDS_ADC_D0_P,
IB => LVDS_ADC_D0_N
);
D1_buf : IBUFDS_LVDS_25
PORT MAP (
O => adc_d1,
I => LVDS_ADC_D1_P,
IB => LVDS_ADC_D1_N
);
D0_delay : IDELAYE2
GENERIC MAP (
CINVCTRL_SEL => "FALSE",
DELAY_SRC => "IDATAIN",
HIGH_PERFORMANCE_MODE => "FALSE",
IDELAY_TYPE => "VARIABLE",
IDELAY_VALUE => 0,
PIPE_SEL => "FALSE",
REFCLK_FREQUENCY => 200.0,
SIGNAL_PATTERN => "CLOCK"
)
PORT MAP (
CNTVALUEOUT => OPEN,
DATAOUT => adc_d0_dly,
C => adc_clk_div,
CE => D0_delay_const_0(0),
CINVCTRL => D0_delay_const_0(0),
CNTVALUEIN => D0_delay_const_0,
DATAIN => D0_delay_const_0(0),
IDATAIN => adc_d0,
INC => D0_delay_const_0(0),
LD => D0_delay_const_0(0),
LDPIPEEN => D0_delay_const_0(0),
REGRST => D0_delay_const_0(0)
);
D1_delay : IDELAYE2
GENERIC MAP (
CINVCTRL_SEL => "FALSE",
DELAY_SRC => "IDATAIN",
HIGH_PERFORMANCE_MODE => "FALSE",
IDELAY_TYPE => "VARIABLE",
IDELAY_VALUE => 0,
PIPE_SEL => "FALSE",
REFCLK_FREQUENCY => 200.0,
SIGNAL_PATTERN => "CLOCK"
)
PORT MAP (
CNTVALUEOUT => OPEN,
DATAOUT => adc_d1_dly,
C => adc_clk_div,
CE => D0_delay_const_0(0),
CINVCTRL => D0_delay_const_0(0),
CNTVALUEIN => D0_delay_const_0,
DATAIN => D0_delay_const_0(0),
IDATAIN => adc_d1,
INC => D0_delay_const_0(0),
LD => D0_delay_const_0(0),
LDPIPEEN => D0_delay_const_0(0),
REGRST => D0_delay_const_0(0)
);
END ARCHITECTURE struct;
|
-- -*- vhdl -*-
-------------------------------------------------------------------------------
-- Copyright (c) 2012, The CARPE Project, All rights reserved. --
-- See the AUTHORS file for individual contributors. --
-- --
-- Copyright and related rights are licensed under the Solderpad --
-- Hardware License, Version 0.51 (the "License"); you may not use this --
-- file except in compliance with the License. You may obtain a copy of --
-- the License at http://solderpad.org/licenses/SHL-0.51. --
-- --
-- Unless required by applicable law or agreed to in writing, software, --
-- hardware and materials distributed under this License is distributed --
-- on an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, --
-- either express or implied. See the License for the specific language --
-- governing permissions and limitations under the License. --
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
use work.types_pkg.all;
package io_pkg is
procedure write (l: inout line;
value: in std_ulogic_vector;
justified: in side := right;
field: in width := 0);
procedure write (l: inout line;
value: in std_ulogic;
justified: in side := right;
field: in width := 0);
procedure write (l: inout line;
value: in std_logic_vector;
justified: in side := right;
field: in width := 0);
procedure hread(l:inout line; value:out bit_vector);
procedure hread(l:inout line; value:out std_ulogic_vector);
procedure hread(l:inout line; value:out std_logic_vector);
procedure hwrite(l:inout line; value:in bit_vector; justified:in side := right; field:in width := 0);
procedure hwrite(l:inout line; value:in std_ulogic_vector; justified:in side := right; field:in width := 0);
procedure hwrite(l:inout line; value:in std_logic_vector; justified:in side := right; field:in width := 0);
end package;
package body io_pkg is
procedure write (l: inout line;
value: in std_ulogic;
justified: in side := right;
field: in width := 0) is
variable str : string(1 to 1);
begin
str(1) := std_ulogic_to_character(value);
write (l, str, justified, field);
end procedure;
procedure write (l: inout line;
value: in std_ulogic_vector;
justified: in side := right;
field: in width := 0) is
constant length : natural := value'length;
alias n_value : std_ulogic_vector (1 to value'length) is value;
variable str : string (1 to length);
begin
for i in str'range loop
str (i) := std_ulogic_to_character (n_value (i));
end loop;
write (l, str, justified, field);
end procedure;
procedure write (l: inout line;
value: in std_logic_vector;
justified: in side := right;
field: in width := 0) is
constant length : natural := value'length;
alias n_value : std_logic_vector (1 to value'length) is value;
variable str : string (1 to length);
begin
for i in str'range loop
str (i) := std_logic_to_character (n_value (i));
end loop;
write (l, str, justified, field);
end procedure;
-- applies to char2quadbits and hread
-- Copyright (c) 1990, 1991, 1992 by Synopsys, Inc. All rights reserved.
--
-- This source file may be used and distributed without restriction
-- provided that this copyright statement is not removed from the file
-- and that any derivative work contains this copyright notice.
procedure char2quadbits(c: character;
result: out bit_vector(3 downto 0);
good: out boolean;
issue_error: in boolean) is
begin
case c is
when '0' => result := x"0"; good := true;
when '1' => result := x"1"; good := true;
when '2' => result := x"2"; good := true;
when '3' => result := x"3"; good := true;
when '4' => result := x"4"; good := true;
when '5' => result := x"5"; good := true;
when '6' => result := x"6"; good := true;
when '7' => result := x"7"; good := true;
when '8' => result := x"8"; good := true;
when '9' => result := x"9"; good := true;
when 'A' => result := x"A"; good := true;
when 'B' => result := x"B"; good := true;
when 'C' => result := x"C"; good := true;
when 'D' => result := x"D"; good := true;
when 'E' => result := x"E"; good := true;
when 'F' => result := x"F"; good := true;
when 'a' => result := x"A"; good := true;
when 'b' => result := x"B"; good := true;
when 'c' => result := x"C"; good := true;
when 'd' => result := x"D"; good := true;
when 'e' => result := x"E"; good := true;
when 'f' => result := x"F"; good := true;
when others =>
if issue_error then
assert false report
"hread error: read a '" & c &
"', expected a hex character (0-f).";
end if;
good := false;
end case;
end;
procedure hread(l:inout line; value:out bit_vector) is
variable ok: boolean;
variable c: character;
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1);
variable s: string(1 to ne-1);
begin
if value'length mod 4 /= 0 then
assert false report
"hread error: trying to read vector " &
"with an odd (non multiple of 4) length";
return;
end if;
loop -- skip white space
read(l,c);
exit when ((c /= ' ') and (c /= cr) and (c /= ht));
end loop;
char2quadbits(c, bv(0 to 3), ok, true);
if not ok then
return;
end if;
read(l, s, ok);
if not ok then
assert false
report "hread error: failed to read the string";
return;
end if;
for i in 1 to ne-1 loop
char2quadbits(s(i), bv(4*i to 4*i+3), ok, true);
if not ok then
return;
end if;
end loop;
value := bv;
end hread;
procedure hread(l:inout line; value:out std_ulogic_vector) is
variable tmp: bit_vector(value'length-1 downto 0);
begin
hread(l, tmp);
value := to_x01(tmp);
end hread;
procedure hread(l:inout line; value:out std_logic_vector) is
variable tmp: bit_vector(value'length-1 downto 0);
begin
hread(l, tmp);
value := to_x01(tmp);
end hread;
procedure hwrite(l:inout line; value:in bit_vector; justified:in side := right; field:in width := 0) is
variable quad: bit_vector(0 to 3);
constant ne: integer := value'length/4;
variable bv: bit_vector(0 to value'length-1) := value;
variable s: string(1 to ne);
begin
if value'length mod 4 /= 0 then
assert false report
"hwrite error: trying to read vector " &
"with an odd (non multiple of 4) length";
return;
end if;
for i in 0 to ne-1 loop
quad := bv(4*i to 4*i+3);
case quad is
when x"0" => s(i+1) := '0';
when x"1" => s(i+1) := '1';
when x"2" => s(i+1) := '2';
when x"3" => s(i+1) := '3';
when x"4" => s(i+1) := '4';
when x"5" => s(i+1) := '5';
when x"6" => s(i+1) := '6';
when x"7" => s(i+1) := '7';
when x"8" => s(i+1) := '8';
when x"9" => s(i+1) := '9';
when x"a" => s(i+1) := 'a';
when x"b" => s(i+1) := 'b';
when x"c" => s(i+1) := 'c';
when x"d" => s(i+1) := 'd';
when x"e" => s(i+1) := 'e';
when x"f" => s(i+1) := 'f';
end case;
end loop;
write(l, s, justified, field);
end hwrite;
procedure hwrite(l:inout line; value:in std_ulogic_vector;
justified:in side := right; field:in width := 0) is
begin
hwrite(l, to_bitvector(value),justified, field);
end hwrite;
procedure hwrite(l:inout line; value:in std_logic_vector;
justified:in side := right; field:in width := 0) is
begin
hwrite(l, to_bitvector(value), justified, field);
end hwrite;
end package body;
|
-- cb20_gpio_block_0_avalon_slave_0_translator.vhd
-- Generated using ACDS version 13.0sp1 232 at 2020.06.03.16:36:13
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity cb20_gpio_block_0_avalon_slave_0_translator is
generic (
AV_ADDRESS_W : integer := 4;
AV_DATA_W : integer := 32;
UAV_DATA_W : integer := 32;
AV_BURSTCOUNT_W : integer := 1;
AV_BYTEENABLE_W : integer := 4;
UAV_BYTEENABLE_W : integer := 4;
UAV_ADDRESS_W : integer := 17;
UAV_BURSTCOUNT_W : integer := 3;
AV_READLATENCY : integer := 0;
USE_READDATAVALID : integer := 0;
USE_WAITREQUEST : integer := 1;
USE_UAV_CLKEN : integer := 0;
USE_READRESPONSE : integer := 0;
USE_WRITERESPONSE : integer := 0;
AV_SYMBOLS_PER_WORD : integer := 4;
AV_ADDRESS_SYMBOLS : integer := 0;
AV_BURSTCOUNT_SYMBOLS : integer := 0;
AV_CONSTANT_BURST_BEHAVIOR : integer := 0;
UAV_CONSTANT_BURST_BEHAVIOR : integer := 0;
AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0;
CHIPSELECT_THROUGH_READLATENCY : integer := 0;
AV_READ_WAIT_CYCLES : integer := 1;
AV_WRITE_WAIT_CYCLES : integer := 0;
AV_SETUP_WAIT_CYCLES : integer := 0;
AV_DATA_HOLD_CYCLES : integer := 0
);
port (
clk : in std_logic := '0'; -- clk.clk
reset : in std_logic := '0'; -- reset.reset
uav_address : in std_logic_vector(16 downto 0) := (others => '0'); -- avalon_universal_slave_0.address
uav_burstcount : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount
uav_read : in std_logic := '0'; -- .read
uav_write : in std_logic := '0'; -- .write
uav_waitrequest : out std_logic; -- .waitrequest
uav_readdatavalid : out std_logic; -- .readdatavalid
uav_byteenable : in std_logic_vector(3 downto 0) := (others => '0'); -- .byteenable
uav_readdata : out std_logic_vector(31 downto 0); -- .readdata
uav_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata
uav_lock : in std_logic := '0'; -- .lock
uav_debugaccess : in std_logic := '0'; -- .debugaccess
av_address : out std_logic_vector(3 downto 0); -- avalon_anti_slave_0.address
av_write : out std_logic; -- .write
av_read : out std_logic; -- .read
av_readdata : in std_logic_vector(31 downto 0) := (others => '0'); -- .readdata
av_writedata : out std_logic_vector(31 downto 0); -- .writedata
av_byteenable : out std_logic_vector(3 downto 0); -- .byteenable
av_waitrequest : in std_logic := '0'; -- .waitrequest
av_beginbursttransfer : out std_logic;
av_begintransfer : out std_logic;
av_burstcount : out std_logic_vector(0 downto 0);
av_chipselect : out std_logic;
av_clken : out std_logic;
av_debugaccess : out std_logic;
av_lock : out std_logic;
av_outputenable : out std_logic;
av_readdatavalid : in std_logic := '0';
av_response : in std_logic_vector(1 downto 0) := (others => '0');
av_writebyteenable : out std_logic_vector(3 downto 0);
av_writeresponserequest : out std_logic;
av_writeresponsevalid : in std_logic := '0';
uav_clken : in std_logic := '0';
uav_response : out std_logic_vector(1 downto 0);
uav_writeresponserequest : in std_logic := '0';
uav_writeresponsevalid : out std_logic
);
end entity cb20_gpio_block_0_avalon_slave_0_translator;
architecture rtl of cb20_gpio_block_0_avalon_slave_0_translator is
component altera_merlin_slave_translator is
generic (
AV_ADDRESS_W : integer := 30;
AV_DATA_W : integer := 32;
UAV_DATA_W : integer := 32;
AV_BURSTCOUNT_W : integer := 4;
AV_BYTEENABLE_W : integer := 4;
UAV_BYTEENABLE_W : integer := 4;
UAV_ADDRESS_W : integer := 32;
UAV_BURSTCOUNT_W : integer := 4;
AV_READLATENCY : integer := 0;
USE_READDATAVALID : integer := 1;
USE_WAITREQUEST : integer := 1;
USE_UAV_CLKEN : integer := 0;
USE_READRESPONSE : integer := 0;
USE_WRITERESPONSE : integer := 0;
AV_SYMBOLS_PER_WORD : integer := 4;
AV_ADDRESS_SYMBOLS : integer := 0;
AV_BURSTCOUNT_SYMBOLS : integer := 0;
AV_CONSTANT_BURST_BEHAVIOR : integer := 0;
UAV_CONSTANT_BURST_BEHAVIOR : integer := 0;
AV_REQUIRE_UNALIGNED_ADDRESSES : integer := 0;
CHIPSELECT_THROUGH_READLATENCY : integer := 0;
AV_READ_WAIT_CYCLES : integer := 0;
AV_WRITE_WAIT_CYCLES : integer := 0;
AV_SETUP_WAIT_CYCLES : integer := 0;
AV_DATA_HOLD_CYCLES : integer := 0
);
port (
clk : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
uav_address : in std_logic_vector(16 downto 0) := (others => 'X'); -- address
uav_burstcount : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount
uav_read : in std_logic := 'X'; -- read
uav_write : in std_logic := 'X'; -- write
uav_waitrequest : out std_logic; -- waitrequest
uav_readdatavalid : out std_logic; -- readdatavalid
uav_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable
uav_readdata : out std_logic_vector(31 downto 0); -- readdata
uav_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata
uav_lock : in std_logic := 'X'; -- lock
uav_debugaccess : in std_logic := 'X'; -- debugaccess
av_address : out std_logic_vector(3 downto 0); -- address
av_write : out std_logic; -- write
av_read : out std_logic; -- read
av_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata
av_writedata : out std_logic_vector(31 downto 0); -- writedata
av_byteenable : out std_logic_vector(3 downto 0); -- byteenable
av_waitrequest : in std_logic := 'X'; -- waitrequest
av_begintransfer : out std_logic; -- begintransfer
av_beginbursttransfer : out std_logic; -- beginbursttransfer
av_burstcount : out std_logic_vector(0 downto 0); -- burstcount
av_readdatavalid : in std_logic := 'X'; -- readdatavalid
av_writebyteenable : out std_logic_vector(3 downto 0); -- writebyteenable
av_lock : out std_logic; -- lock
av_chipselect : out std_logic; -- chipselect
av_clken : out std_logic; -- clken
uav_clken : in std_logic := 'X'; -- clken
av_debugaccess : out std_logic; -- debugaccess
av_outputenable : out std_logic; -- outputenable
uav_response : out std_logic_vector(1 downto 0); -- response
av_response : in std_logic_vector(1 downto 0) := (others => 'X'); -- response
uav_writeresponserequest : in std_logic := 'X'; -- writeresponserequest
uav_writeresponsevalid : out std_logic; -- writeresponsevalid
av_writeresponserequest : out std_logic; -- writeresponserequest
av_writeresponsevalid : in std_logic := 'X' -- writeresponsevalid
);
end component altera_merlin_slave_translator;
begin
gpio_block_0_avalon_slave_0_translator : component altera_merlin_slave_translator
generic map (
AV_ADDRESS_W => AV_ADDRESS_W,
AV_DATA_W => AV_DATA_W,
UAV_DATA_W => UAV_DATA_W,
AV_BURSTCOUNT_W => AV_BURSTCOUNT_W,
AV_BYTEENABLE_W => AV_BYTEENABLE_W,
UAV_BYTEENABLE_W => UAV_BYTEENABLE_W,
UAV_ADDRESS_W => UAV_ADDRESS_W,
UAV_BURSTCOUNT_W => UAV_BURSTCOUNT_W,
AV_READLATENCY => AV_READLATENCY,
USE_READDATAVALID => USE_READDATAVALID,
USE_WAITREQUEST => USE_WAITREQUEST,
USE_UAV_CLKEN => USE_UAV_CLKEN,
USE_READRESPONSE => USE_READRESPONSE,
USE_WRITERESPONSE => USE_WRITERESPONSE,
AV_SYMBOLS_PER_WORD => AV_SYMBOLS_PER_WORD,
AV_ADDRESS_SYMBOLS => AV_ADDRESS_SYMBOLS,
AV_BURSTCOUNT_SYMBOLS => AV_BURSTCOUNT_SYMBOLS,
AV_CONSTANT_BURST_BEHAVIOR => AV_CONSTANT_BURST_BEHAVIOR,
UAV_CONSTANT_BURST_BEHAVIOR => UAV_CONSTANT_BURST_BEHAVIOR,
AV_REQUIRE_UNALIGNED_ADDRESSES => AV_REQUIRE_UNALIGNED_ADDRESSES,
CHIPSELECT_THROUGH_READLATENCY => CHIPSELECT_THROUGH_READLATENCY,
AV_READ_WAIT_CYCLES => AV_READ_WAIT_CYCLES,
AV_WRITE_WAIT_CYCLES => AV_WRITE_WAIT_CYCLES,
AV_SETUP_WAIT_CYCLES => AV_SETUP_WAIT_CYCLES,
AV_DATA_HOLD_CYCLES => AV_DATA_HOLD_CYCLES
)
port map (
clk => clk, -- clk.clk
reset => reset, -- reset.reset
uav_address => uav_address, -- avalon_universal_slave_0.address
uav_burstcount => uav_burstcount, -- .burstcount
uav_read => uav_read, -- .read
uav_write => uav_write, -- .write
uav_waitrequest => uav_waitrequest, -- .waitrequest
uav_readdatavalid => uav_readdatavalid, -- .readdatavalid
uav_byteenable => uav_byteenable, -- .byteenable
uav_readdata => uav_readdata, -- .readdata
uav_writedata => uav_writedata, -- .writedata
uav_lock => uav_lock, -- .lock
uav_debugaccess => uav_debugaccess, -- .debugaccess
av_address => av_address, -- avalon_anti_slave_0.address
av_write => av_write, -- .write
av_read => av_read, -- .read
av_readdata => av_readdata, -- .readdata
av_writedata => av_writedata, -- .writedata
av_byteenable => av_byteenable, -- .byteenable
av_waitrequest => av_waitrequest, -- .waitrequest
av_begintransfer => open, -- (terminated)
av_beginbursttransfer => open, -- (terminated)
av_burstcount => open, -- (terminated)
av_readdatavalid => '0', -- (terminated)
av_writebyteenable => open, -- (terminated)
av_lock => open, -- (terminated)
av_chipselect => open, -- (terminated)
av_clken => open, -- (terminated)
uav_clken => '0', -- (terminated)
av_debugaccess => open, -- (terminated)
av_outputenable => open, -- (terminated)
uav_response => open, -- (terminated)
av_response => "00", -- (terminated)
uav_writeresponserequest => '0', -- (terminated)
uav_writeresponsevalid => open, -- (terminated)
av_writeresponserequest => open, -- (terminated)
av_writeresponsevalid => '0' -- (terminated)
);
end architecture rtl; -- of cb20_gpio_block_0_avalon_slave_0_translator
|
-- Copyright (c) 2012 Brian Nezvadovitz <http://nezzen.net>
-- This software is distributed under the terms of the MIT License shown below.
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to
-- deal in the Software without restriction, including without limitation the
-- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
-- sell copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
-- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS
-- IN THE SOFTWARE.
-- Implements an adder of variable width with carry signals.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity adder is
generic (
WIDTH : positive := 8
);
port (
a : in std_logic_vector(WIDTH-1 downto 0);
b : in std_logic_vector(WIDTH-1 downto 0);
c_in : in std_logic;
sum : out std_logic_vector(WIDTH-1 downto 0);
c_out : out std_logic
);
end;
architecture BHV of adder is
signal full_sum : std_logic_vector(WIDTH downto 0);
signal c_in_vec : std_logic_vector(0 downto 0);
begin
c_in_vec(0) <= c_in;
full_sum <= std_logic_vector( resize(unsigned(a), WIDTH+1) + resize(unsigned(b), WIDTH+1) + resize(unsigned(c_in_vec), WIDTH+1) );
sum <= full_sum(WIDTH-1 downto 0);
c_out <= full_sum(WIDTH);
end BHV;
|
library ieee;
use ieee.std_logic_unsigned;
entity tb is
end tb;
|
library ieee;
use ieee.std_logic_unsigned;
entity tb is
end tb;
|
--==============================================================================
-- File: ctrl_fsm.vhd
-- Author: Pietro Lorefice
--==============================================================================
-- Description:
-- FSM portion of the FSMD processor architecture. It keeps track of the
-- internal state and provides the datapath with the correct signals.
--
--==============================================================================
library ieee;
use ieee.std_logic_1164.all;
entity ctrl_fsm is
port (
clk : in std_logic; -- Clock
rst : in std_logic; -- Reset
opcode : in std_logic_vector(3 downto 0); -- Instruction opcode
alu_op_b_sel : out std_logic; -- ALU operand B select
alu_ctrl_op : out std_logic_vector(1 downto 0); -- ALU control unit operation
pc_en : out std_logic; -- Program counter register enable
ir_en : out std_logic; -- Instruction register enable
reg_we_l : out std_logic; -- Register file write enable
reg_op_a_sel : out std_logic; -- Register file operand A select
reg_op_b_sel : out std_logic; -- Register file operand B select
reg_wr_d_sel : out std_logic; -- Register file write data select
mem_sel_l : out std_logic; -- Data memory select
mem_we_l : out std_logic -- Data memory write enable
);
end entity ctrl_fsm;
architecture RTL of ctrl_fsm is
-- ==================
-- | State register |
-- ==================
type state_t is (fetch, fetch_w,
decode,
read_dab, read_dai, read_d_b, read_d_i,
add, sub, addi, log, logi, ld, st,
write_reg_alu, write_reg_mem, write_reg_mem_w, write_mem,
hlt
);
signal state_q, state_n : state_t;
-- ====================
-- | Output registers |
-- ====================
signal alu_op_b_sel_q, alu_op_b_sel_n : std_logic;
signal alu_ctrl_op_q, alu_ctrl_op_n : std_logic_vector(1 downto 0);
signal pc_en_q, pc_en_n : std_logic;
signal ir_en_q, ir_en_n : std_logic;
signal reg_we_l_q, reg_we_l_n : std_logic;
signal reg_op_a_sel_q, reg_op_a_sel_n : std_logic;
signal reg_op_b_sel_q, reg_op_b_sel_n : std_logic;
signal reg_wr_d_sel_q, reg_wr_d_sel_n : std_logic;
signal mem_sel_l_q, mem_sel_l_n : std_logic;
signal mem_we_l_q, mem_we_l_n : std_logic;
begin
-- ==================
-- | State register |
-- ==================
star : process(clk) is
begin
if rising_edge(clk) then
if rst = '1' then
state_q <= fetch;
alu_ctrl_op_q <= "00";
alu_op_b_sel_q <= '0';
pc_en_q <= '0';
ir_en_q <= '0';
reg_we_l_q <= '1';
reg_op_a_sel_q <= '0';
reg_op_b_sel_q <= '0';
reg_wr_d_sel_q <= '0';
mem_sel_l_q <= '1';
mem_we_l_q <= '1';
else
state_q <= state_n;
alu_ctrl_op_q <= alu_ctrl_op_n;
alu_op_b_sel_q <= alu_op_b_sel_n;
pc_en_q <= pc_en_n;
ir_en_q <= ir_en_n;
reg_we_l_q <= reg_we_l_n;
reg_op_a_sel_q <= reg_op_a_sel_n;
reg_op_b_sel_q <= reg_op_b_sel_n;
reg_wr_d_sel_q <= reg_wr_d_sel_n;
mem_sel_l_q <= mem_sel_l_n;
mem_we_l_q <= mem_we_l_n;
end if;
end if;
end process star;
-- =============
-- | FSM logic |
-- =============
fsm : process(state_q, opcode,
alu_ctrl_op_q, alu_op_b_sel_q,
ir_en_q, pc_en_q,
mem_sel_l_q, mem_we_l_q,
reg_op_a_sel_q, reg_op_b_sel_q, reg_we_l_q, reg_wr_d_sel_q
) is
begin
state_n <= state_q;
alu_ctrl_op_n <= alu_ctrl_op_q;
alu_op_b_sel_n <= alu_op_b_sel_q;
pc_en_n <= pc_en_q;
ir_en_n <= ir_en_q;
reg_we_l_n <= reg_we_l_q;
reg_op_a_sel_n <= reg_op_a_sel_q;
reg_op_b_sel_n <= reg_op_b_sel_q;
reg_wr_d_sel_n <= reg_wr_d_sel_q;
mem_sel_l_n <= mem_sel_l_q;
mem_we_l_n <= mem_we_l_q;
case state_q is
-- ===============
-- | Fetch phase |
-- ===============
when fetch =>
reg_we_l_n <= '1';
mem_sel_l_n <= '1';
mem_we_l_n <= '1';
pc_en_n <= '1';
ir_en_n <= '1';
state_n <= fetch_w;
when fetch_w =>
pc_en_n <= '0';
state_n <= decode;
-- ================
-- | Decode phase |
-- ================
when decode =>
ir_en_n <= '0';
case opcode is
when X"0" | X"1" =>
state_n <= read_dab;
when X"2" | X"5" | X"6" | X"8" | X"9" =>
state_n <= read_dai;
when X"3" =>
state_n <= read_d_b;
when X"4" =>
state_n <= read_d_i;
when others =>
null;
end case;
-- ==============
-- | Read phase |
-- ==============
when read_dab =>
reg_op_a_sel_n <= '1'; -- 1st operand = Ra
reg_op_b_sel_n <= '1'; -- 2nd operand = Rb
alu_op_b_sel_n <= '0'; -- 2nd ALU operand = Rb
case opcode is
when X"0" =>
state_n <= add;
when X"1" =>
state_n <= sub;
when others =>
state_n <= hlt;
end case;
when read_dai =>
reg_op_a_sel_n <= '1'; -- 1st operand = Ra
reg_op_b_sel_n <= '0'; -- 2nd operand = Rd
alu_op_b_sel_n <= '1'; -- 2nd ALU operand = Immediate
case opcode is
when X"2" =>
state_n <= addi;
when X"5" | X"6" =>
state_n <= ld;
when X"8" | X"9" =>
state_n <= st;
when others =>
state_n <= hlt;
end case;
when read_d_b =>
reg_op_a_sel_n <= '0'; -- 1st operand = Rd
reg_op_b_sel_n <= '1'; -- 2nd operand = Rb
alu_op_b_sel_n <= '0'; -- 2nd ALU operand = Rb
state_n <= log;
when read_d_i =>
reg_op_a_sel_n <= '0'; -- 1st operand = Rd
reg_op_b_sel_n <= '0'; -- 2nd operand = Don't care
alu_op_b_sel_n <= '1'; -- 2nd ALU operand = Immediate
state_n <= logi;
-- ===================
-- | Execution phase |
-- ===================
when add =>
alu_ctrl_op_n <= "10"; -- Ra + Rb
state_n <= write_reg_alu;
when sub =>
alu_ctrl_op_n <= "11"; -- Ra - Rb
state_n <= write_reg_alu;
when addi =>
alu_ctrl_op_n <= "10"; -- Ra + imm
state_n <= write_reg_alu;
when log =>
alu_ctrl_op_n <= "00"; -- Rd {&|!x} Rb
state_n <= write_reg_alu;
when logi =>
alu_ctrl_op_n <= "00"; -- Rd {&|!x} imm
state_n <= write_reg_alu;
when ld =>
alu_ctrl_op_n <= "10"; -- Ra + imm
state_n <= write_reg_mem;
when st =>
alu_ctrl_op_n <= "10"; -- Ra + imm
state_n <= write_mem;
-- ===============
-- | Write phase |
-- ===============
when write_reg_alu =>
reg_wr_d_sel_n <= '1'; -- Result = ALU
reg_we_l_n <= '0';
state_n <= fetch;
when write_reg_mem =>
reg_wr_d_sel_n <= '0'; -- Result = Memory
reg_we_l_n <= '0';
mem_sel_l_n <= '0';
state_n <= write_reg_mem_w;
when write_reg_mem_w =>
state_n <= fetch;
when write_mem =>
mem_sel_l_n <= '0';
mem_we_l_n <= '0';
state_n <= fetch;
-- ================
-- | !! HALTED !! |
-- ================
when hlt =>
state_n <= hlt;
end case;
end process fsm;
-- ======================
-- | Output assignments |
-- ======================
alu_op_b_sel <= alu_op_b_sel_q;
alu_ctrl_op <= alu_ctrl_op_q;
pc_en <= pc_en_q;
ir_en <= ir_en_q;
reg_we_l <= reg_we_l_q;
reg_op_a_sel <= reg_op_a_sel_q;
reg_op_b_sel <= reg_op_b_sel_q;
reg_wr_d_sel <= reg_wr_d_sel_q;
mem_sel_l <= mem_sel_l_q;
mem_we_l <= mem_we_l_q;
end architecture RTL;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc/fft_16_bit/RADIX22FFT_SDNF2_2_block3.vhd
-- Created: 2017-03-27 23:13:58
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF2_2_block3
-- Source Path: fft_16_bit/FFT HDL Optimized/RADIX22FFT_SDNF2_2
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY RADIX22FFT_SDNF2_2_block3 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
rotate_9 : IN std_logic; -- ufix1
dout_2_re : IN std_logic_vector(17 DOWNTO 0); -- sfix18
dout_2_im : IN std_logic_vector(17 DOWNTO 0); -- sfix18
dout_10_re : IN std_logic_vector(17 DOWNTO 0); -- sfix18
dout_10_im : IN std_logic_vector(17 DOWNTO 0); -- sfix18
dout_1_vld : IN std_logic;
softReset : IN std_logic;
dout_9_re : OUT std_logic_vector(18 DOWNTO 0); -- sfix19
dout_9_im : OUT std_logic_vector(18 DOWNTO 0); -- sfix19
dout_10_re_1 : OUT std_logic_vector(18 DOWNTO 0); -- sfix19
dout_10_im_1 : OUT std_logic_vector(18 DOWNTO 0); -- sfix19
dout_2_vld : OUT std_logic
);
END RADIX22FFT_SDNF2_2_block3;
ARCHITECTURE rtl OF RADIX22FFT_SDNF2_2_block3 IS
-- Signals
SIGNAL dout_2_re_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL din1_re : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_2_im_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL din1_im : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_10_re_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL din2_re : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_10_im_signed : signed(17 DOWNTO 0); -- sfix18
SIGNAL din2_im : signed(18 DOWNTO 0); -- sfix19
SIGNAL Radix22ButterflyG2_NF_din_vld_dly : std_logic;
SIGNAL Radix22ButterflyG2_NF_btf1_re_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf1_im_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf2_re_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf2_im_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_din_vld_dly_next : std_logic;
SIGNAL Radix22ButterflyG2_NF_btf1_re_reg_next : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf1_im_reg_next : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf2_re_reg_next : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG2_NF_btf2_im_reg_next : signed(19 DOWNTO 0); -- sfix20
SIGNAL dout_9_re_tmp : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_9_im_tmp : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_10_re_tmp : signed(18 DOWNTO 0); -- sfix19
SIGNAL dout_10_im_tmp : signed(18 DOWNTO 0); -- sfix19
BEGIN
dout_2_re_signed <= signed(dout_2_re);
din1_re <= resize(dout_2_re_signed, 19);
dout_2_im_signed <= signed(dout_2_im);
din1_im <= resize(dout_2_im_signed, 19);
dout_10_re_signed <= signed(dout_10_re);
din2_re <= resize(dout_10_re_signed, 19);
dout_10_im_signed <= signed(dout_10_im);
din2_im <= resize(dout_10_im_signed, 19);
-- Radix22ButterflyG2_NF
Radix22ButterflyG2_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG2_NF_din_vld_dly <= '0';
Radix22ButterflyG2_NF_btf1_re_reg <= to_signed(16#00000#, 20);
Radix22ButterflyG2_NF_btf1_im_reg <= to_signed(16#00000#, 20);
Radix22ButterflyG2_NF_btf2_re_reg <= to_signed(16#00000#, 20);
Radix22ButterflyG2_NF_btf2_im_reg <= to_signed(16#00000#, 20);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
Radix22ButterflyG2_NF_din_vld_dly <= Radix22ButterflyG2_NF_din_vld_dly_next;
Radix22ButterflyG2_NF_btf1_re_reg <= Radix22ButterflyG2_NF_btf1_re_reg_next;
Radix22ButterflyG2_NF_btf1_im_reg <= Radix22ButterflyG2_NF_btf1_im_reg_next;
Radix22ButterflyG2_NF_btf2_re_reg <= Radix22ButterflyG2_NF_btf2_re_reg_next;
Radix22ButterflyG2_NF_btf2_im_reg <= Radix22ButterflyG2_NF_btf2_im_reg_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG2_NF_process;
Radix22ButterflyG2_NF_output : PROCESS (Radix22ButterflyG2_NF_din_vld_dly, Radix22ButterflyG2_NF_btf1_re_reg,
Radix22ButterflyG2_NF_btf1_im_reg, Radix22ButterflyG2_NF_btf2_re_reg,
Radix22ButterflyG2_NF_btf2_im_reg, din1_re, din1_im, din2_re, din2_im,
dout_1_vld, rotate_9)
BEGIN
Radix22ButterflyG2_NF_btf1_re_reg_next <= Radix22ButterflyG2_NF_btf1_re_reg;
Radix22ButterflyG2_NF_btf1_im_reg_next <= Radix22ButterflyG2_NF_btf1_im_reg;
Radix22ButterflyG2_NF_btf2_re_reg_next <= Radix22ButterflyG2_NF_btf2_re_reg;
Radix22ButterflyG2_NF_btf2_im_reg_next <= Radix22ButterflyG2_NF_btf2_im_reg;
Radix22ButterflyG2_NF_din_vld_dly_next <= dout_1_vld;
IF rotate_9 /= '0' THEN
IF dout_1_vld = '1' THEN
Radix22ButterflyG2_NF_btf1_re_reg_next <= resize(din1_re, 20) + resize(din2_im, 20);
Radix22ButterflyG2_NF_btf2_re_reg_next <= resize(din1_re, 20) - resize(din2_im, 20);
Radix22ButterflyG2_NF_btf2_im_reg_next <= resize(din1_im, 20) + resize(din2_re, 20);
Radix22ButterflyG2_NF_btf1_im_reg_next <= resize(din1_im, 20) - resize(din2_re, 20);
END IF;
ELSIF dout_1_vld = '1' THEN
Radix22ButterflyG2_NF_btf1_re_reg_next <= resize(din1_re, 20) + resize(din2_re, 20);
Radix22ButterflyG2_NF_btf2_re_reg_next <= resize(din1_re, 20) - resize(din2_re, 20);
Radix22ButterflyG2_NF_btf1_im_reg_next <= resize(din1_im, 20) + resize(din2_im, 20);
Radix22ButterflyG2_NF_btf2_im_reg_next <= resize(din1_im, 20) - resize(din2_im, 20);
END IF;
dout_9_re_tmp <= Radix22ButterflyG2_NF_btf1_re_reg(18 DOWNTO 0);
dout_9_im_tmp <= Radix22ButterflyG2_NF_btf1_im_reg(18 DOWNTO 0);
dout_10_re_tmp <= Radix22ButterflyG2_NF_btf2_re_reg(18 DOWNTO 0);
dout_10_im_tmp <= Radix22ButterflyG2_NF_btf2_im_reg(18 DOWNTO 0);
dout_2_vld <= Radix22ButterflyG2_NF_din_vld_dly;
END PROCESS Radix22ButterflyG2_NF_output;
dout_9_re <= std_logic_vector(dout_9_re_tmp);
dout_9_im <= std_logic_vector(dout_9_im_tmp);
dout_10_re_1 <= std_logic_vector(dout_10_re_tmp);
dout_10_im_1 <= std_logic_vector(dout_10_im_tmp);
END rtl;
|
-- $Id: rbd_tst_rlink.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2011-2014 by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: rbd_tst_rlink - syn
-- Description: rbus device for tst_rlink
--
-- Dependencies: rbus/rbd_tester
-- rbus/rbd_bram
-- rbus/rbd_rbmon
-- rbus/rbd_eyemon
-- rbus/rbd_timer
-- rbus/rb_sres_or_3
-- rbus/rb_sres_or_4
--
-- Test bench: nexys3/tb/tb_tst_rlink_n3
--
-- Target Devices: generic
-- Tool versions: xst 13.1-14.7; ghdl 0.29-0.31
--
-- Revision History:
-- Date Rev Version Comment
-- 2014-11-09 603 4.0 use new rlink v4 iface and 4 bit STAT
-- 2014-08-15 583 3.5 rb_mreq addr now 16 bit
-- 2011-11-11 351 1.0 Initial version (derived from tst_rlink)
------------------------------------------------------------------------------
-- Usage of STAT signal:
-- STAT(0): timer 0 busy
-- STAT(1): timer 1 busy
-- STAT(2:3): unused
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.rblib.all;
use work.rbdlib.all;
-- ----------------------------------------------------------------------------
entity rbd_tst_rlink is -- rbus device for tst_rlink
port (
CLK : in slbit; -- clock
RESET : in slbit; -- reset
CE_USEC : in slbit; -- usec pulse
RB_MREQ : in rb_mreq_type; -- rbus: request
RB_SRES : out rb_sres_type; -- rbus: response
RB_LAM : out slv16; -- rbus: look at me
RB_STAT : out slv4; -- rbus: status flags
RB_SRES_TOP : in rb_sres_type; -- top-level rb_sres, for rbd_mon
RXSD : in slbit; -- serport rxsd, for rbd_eyemon
RXACT : in slbit; -- serport rxact, for rbd_eyemon
STAT : out slv8 -- status flags
);
end rbd_tst_rlink;
architecture syn of rbd_tst_rlink is
signal RB_SRES_TEST : rb_sres_type := rb_sres_init;
signal RB_SRES_BRAM : rb_sres_type := rb_sres_init;
signal RB_SRES_MON : rb_sres_type := rb_sres_init;
signal RB_SRES_EMON : rb_sres_type := rb_sres_init;
signal RB_SRES_TIM0 : rb_sres_type := rb_sres_init;
signal RB_SRES_TIM1 : rb_sres_type := rb_sres_init;
signal RB_SRES_SUM1 : rb_sres_type := rb_sres_init;
signal RB_LAM_TEST : slv16 := (others=>'0');
signal TIM0_DONE : slbit := '0';
signal TIM0_BUSY : slbit := '0';
signal TIM1_DONE : slbit := '0';
signal TIM1_BUSY : slbit := '0';
-- rbaddr_rbmon -- default addr - ffe8/8: 1111 1111 1110 1xxx
-- rbaddr_tester -- default addr - ffe0/8: 1111 1111 1110 0xxx
constant rbaddr_eyemon : slv16 := x"ffd0"; -- ffd0/4: 1111 1111 1101 00xx
constant rbaddr_tim1 : slv16 := x"fe11"; -- fe11/1: 1111 1110 0001 0001
constant rbaddr_tim0 : slv16 := x"fe10"; -- fe10/1: 1111 1110 0001 0000
constant rbaddr_bram : slv16 := x"fe00"; -- fe00/2: 1111 1110 0000 00xx
begin
TEST : rbd_tester
generic map (
RB_ADDR => rbaddr_tester)
port map (
CLK => CLK,
RESET => RESET,
RB_MREQ => RB_MREQ,
RB_SRES => RB_SRES_TEST,
RB_LAM => RB_LAM_TEST,
RB_STAT => RB_STAT
);
BRAM : rbd_bram
generic map (
RB_ADDR => rbaddr_bram)
port map (
CLK => CLK,
RESET => RESET,
RB_MREQ => RB_MREQ,
RB_SRES => RB_SRES_BRAM
);
MON : rbd_rbmon
generic map (
RB_ADDR => rbaddr_rbmon,
AWIDTH => 9)
port map (
CLK => CLK,
RESET => RESET,
RB_MREQ => RB_MREQ,
RB_SRES => RB_SRES_MON,
RB_SRES_SUM => RB_SRES_TOP
);
EMON : rbd_eyemon
generic map (
RB_ADDR => rbaddr_eyemon,
RDIV => slv(to_unsigned(0,8)))
port map (
CLK => CLK,
RESET => RESET,
RB_MREQ => RB_MREQ,
RB_SRES => RB_SRES_EMON,
RXSD => RXSD,
RXACT => RXACT
);
TIM0 : rbd_timer
generic map (
RB_ADDR => rbaddr_tim0)
port map (
CLK => CLK,
CE_USEC => CE_USEC,
RESET => RESET,
RB_MREQ => RB_MREQ,
RB_SRES => RB_SRES_TIM0,
DONE => TIM0_DONE,
BUSY => TIM0_BUSY
);
TIM1 : rbd_timer
generic map (
RB_ADDR => rbaddr_tim1)
port map (
CLK => CLK,
CE_USEC => CE_USEC,
RESET => RESET,
RB_MREQ => RB_MREQ,
RB_SRES => RB_SRES_TIM1,
DONE => TIM1_DONE,
BUSY => TIM1_BUSY
);
RB_SRES_OR1 : rb_sres_or_3
port map (
RB_SRES_1 => RB_SRES_TEST,
RB_SRES_2 => RB_SRES_BRAM,
RB_SRES_3 => RB_SRES_MON,
RB_SRES_OR => RB_SRES_SUM1
);
RB_SRES_OR : rb_sres_or_4
port map (
RB_SRES_1 => RB_SRES_SUM1,
RB_SRES_2 => RB_SRES_EMON,
RB_SRES_3 => RB_SRES_TIM0,
RB_SRES_4 => RB_SRES_TIM1,
RB_SRES_OR => RB_SRES
);
RB_LAM(15 downto 2) <= RB_LAM_TEST(15 downto 2);
RB_LAM(1) <= TIM1_DONE;
RB_LAM(0) <= TIM0_DONE;
STAT(0) <= TIM0_BUSY;
STAT(1) <= TIM1_BUSY;
STAT(7 downto 2) <= (others=>'0');
end syn;
|
library ieee;
use ieee.std_logic_1164.all;
entity cmp_215 is
port (
eq : out std_logic;
in0 : in std_logic_vector(2 downto 0);
in1 : in std_logic_vector(2 downto 0)
);
end cmp_215;
architecture augh of cmp_215 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in0 /= in1 else
'1';
-- Set the outputs
eq <= tmp;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
entity cmp_215 is
port (
eq : out std_logic;
in0 : in std_logic_vector(2 downto 0);
in1 : in std_logic_vector(2 downto 0)
);
end cmp_215;
architecture augh of cmp_215 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in0 /= in1 else
'1';
-- Set the outputs
eq <= tmp;
end architecture;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
j3zaIMoArA6XWIHFomLzKtuq+Rb2F+tSmSFNGOdieWSUzjbLz+u6uPRcJXllL3MZUcvj/uKaOaj9
u0SOi73LHg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
g7IZF/95wRkFGSInsPOZyO5sMJ+VrRPeMk1Blgwfu4W37IyfwU0wC36/2/yub4KiFF/mdlx0TSKw
EP08DLOpASSW8nblpF3eaw5WYMK2tUbVB83MbVhLDWJels5RU8ZtvQfpnN0wg00PGoyqKcQg7dn/
S7LnJCwhTtwOdibkn5s=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
xmiZu2CEeySwoJ3exH5beHvjFkdMUHC19GZhVMYdsBJyF38vUIt0PBnEtKgKuBh5L+hXPBv2Bcn1
45xYL3IW0hXv958NMBfrydbbKdc2V5H+Ip2BMijbMmj4f0PSSHCW3t0h2wzGdS0vSoSjMFn3SO/c
rikEVE2WW4wfYs7cMlqZ/goswvusBIMezls8gbIbmNnpIDHO0aO/G/ocW8F3kp6/KXOfPXb2820V
XypCJJs3iOV3sTAND1i1KRya1mele0sf2kv12wl7H1TvodOCeTJifnRqXa/55LwWMyY4NidqG0PF
KngAInxyZiv0msQB1uX2uSZkkKVIEXcihXizdQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Oah7MQQWFuso1UfVI6+06ZBzaeEbWnOVzKjCf90BmtDRoim1EcmTxmf6hL8yEVrH9aAwxN9vmIuP
F62f8Bpc87xfwvhNIlkJ8s4A3iSTXSE/1VZCnHsWySS6x4MoRD+OzKSLhjeKseLd4u8saYd27BJf
pEKEznWpli0c+mVIZHQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Sw7LWGzZeGjtXHY0gWzJ1mNcwX4GVGKCG+T+cIhzI1JlvGataZiKJyIuPKjVEIdeL9nYSKlx5wsJ
i2DKKxZbU/fxwcsOz/E3bwzmqQvxJi9hcQKnr8RsUuvalRtHMEAxzbxzTybENpYFBMHSozYUbdKw
DlTn8aZGPWxK0551Cgh8ArsXmMslGjui+tBH40wHVxyj5AgeeWlOTKlmTWBsOgrv/NZs4d6sWOqP
TTbQdeJfbAc58yTmfXvyOzWwnI4yyRyXzgDKdoIl2Dpm1rxTn5wb/KBu/pGkHNK6uCI2CWEsmPF4
LN0B3nuSzZt7dZQ8UrbH2+hv43qyDw3cqqQsJA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
j3zaIMoArA6XWIHFomLzKtuq+Rb2F+tSmSFNGOdieWSUzjbLz+u6uPRcJXllL3MZUcvj/uKaOaj9
u0SOi73LHg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
g7IZF/95wRkFGSInsPOZyO5sMJ+VrRPeMk1Blgwfu4W37IyfwU0wC36/2/yub4KiFF/mdlx0TSKw
EP08DLOpASSW8nblpF3eaw5WYMK2tUbVB83MbVhLDWJels5RU8ZtvQfpnN0wg00PGoyqKcQg7dn/
S7LnJCwhTtwOdibkn5s=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
xmiZu2CEeySwoJ3exH5beHvjFkdMUHC19GZhVMYdsBJyF38vUIt0PBnEtKgKuBh5L+hXPBv2Bcn1
45xYL3IW0hXv958NMBfrydbbKdc2V5H+Ip2BMijbMmj4f0PSSHCW3t0h2wzGdS0vSoSjMFn3SO/c
rikEVE2WW4wfYs7cMlqZ/goswvusBIMezls8gbIbmNnpIDHO0aO/G/ocW8F3kp6/KXOfPXb2820V
XypCJJs3iOV3sTAND1i1KRya1mele0sf2kv12wl7H1TvodOCeTJifnRqXa/55LwWMyY4NidqG0PF
KngAInxyZiv0msQB1uX2uSZkkKVIEXcihXizdQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Oah7MQQWFuso1UfVI6+06ZBzaeEbWnOVzKjCf90BmtDRoim1EcmTxmf6hL8yEVrH9aAwxN9vmIuP
F62f8Bpc87xfwvhNIlkJ8s4A3iSTXSE/1VZCnHsWySS6x4MoRD+OzKSLhjeKseLd4u8saYd27BJf
pEKEznWpli0c+mVIZHQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Sw7LWGzZeGjtXHY0gWzJ1mNcwX4GVGKCG+T+cIhzI1JlvGataZiKJyIuPKjVEIdeL9nYSKlx5wsJ
i2DKKxZbU/fxwcsOz/E3bwzmqQvxJi9hcQKnr8RsUuvalRtHMEAxzbxzTybENpYFBMHSozYUbdKw
DlTn8aZGPWxK0551Cgh8ArsXmMslGjui+tBH40wHVxyj5AgeeWlOTKlmTWBsOgrv/NZs4d6sWOqP
TTbQdeJfbAc58yTmfXvyOzWwnI4yyRyXzgDKdoIl2Dpm1rxTn5wb/KBu/pGkHNK6uCI2CWEsmPF4
LN0B3nuSzZt7dZQ8UrbH2+hv43qyDw3cqqQsJA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424)
`protect data_block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`protect end_protected
|
-- $Id: tb_w11a_n3.vhd 509 2013-04-21 20:46:20Z mueller $
--
-- Copyright 2011-2013 by Walter F.J. Mueller <[email protected]>
--
-- This program is free software; you may redistribute and/or modify it under
-- the terms of the GNU General Public License as published by the Free
-- Software Foundation, either version 2, or at your option any later version.
--
-- This program is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY, without even the implied warranty of MERCHANTABILITY
-- or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for complete details.
--
------------------------------------------------------------------------------
-- Module Name: tb_w11a_n3
-- Description: Configuration for tb_w11a_n3 for tb_nexys3_fusp_cuff
--
-- Dependencies: sys_w11a_n3
--
-- To test: sys_w11a_n3
--
-- Verified (with (#1) ../../tb/tb_rritba_pdp11core_stim.dat
-- (#2) ../../tb/tb_pdp11_core_stim.dat):
-- Date Rev Code ghdl ise Target Comment
-- 2011-11-25 295 - -.-- - - -:--
--
-- Revision History:
-- Date Rev Version Comment
-- 2013-04-21 509 1.1 now based on tb_nexys3_fusp_cuff
-- 2011-11-25 432 1.0 Initial version (cloned from _n2)
------------------------------------------------------------------------------
configuration tb_w11a_n3 of tb_nexys3_fusp_cuff is
for sim
for all : nexys3_fusp_cuff_aif
use entity work.sys_w11a_n3;
end for;
end for;
end tb_w11a_n3;
|
entity bounds13 is
end entity;
architecture test of bounds13 is
begin
process is
type myint is range 1 to 3;
begin
assert myint'value(" 3 ") = 3;
assert myint'value("4") = 1 or true; -- Error
wait;
end process;
end architecture;
|
entity bounds13 is
end entity;
architecture test of bounds13 is
begin
process is
type myint is range 1 to 3;
begin
assert myint'value(" 3 ") = 3;
assert myint'value("4") = 1 or true; -- Error
wait;
end process;
end architecture;
|
entity bounds13 is
end entity;
architecture test of bounds13 is
begin
process is
type myint is range 1 to 3;
begin
assert myint'value(" 3 ") = 3;
assert myint'value("4") = 1 or true; -- Error
wait;
end process;
end architecture;
|
entity bounds13 is
end entity;
architecture test of bounds13 is
begin
process is
type myint is range 1 to 3;
begin
assert myint'value(" 3 ") = 3;
assert myint'value("4") = 1 or true; -- Error
wait;
end process;
end architecture;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2613.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02613ent IS
END c13s03b01x00p02n01i02613ent;
ARCHITECTURE c13s03b01x00p02n01i02613arch OF c13s03b01x00p02n01i02613ent IS
BEGIN
TESTING: PROCESS
variable k%k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02613 - Identifier can not contain '%'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02613arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2613.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02613ent IS
END c13s03b01x00p02n01i02613ent;
ARCHITECTURE c13s03b01x00p02n01i02613arch OF c13s03b01x00p02n01i02613ent IS
BEGIN
TESTING: PROCESS
variable k%k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02613 - Identifier can not contain '%'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02613arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2613.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02613ent IS
END c13s03b01x00p02n01i02613ent;
ARCHITECTURE c13s03b01x00p02n01i02613arch OF c13s03b01x00p02n01i02613ent IS
BEGIN
TESTING: PROCESS
variable k%k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02613 - Identifier can not contain '%'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02613arch;
|
-- Teste geral para a estrutura do Processador Mips8B
Library Ieee;
Use Ieee.Std_Logic_1164.all;
Use Ieee.Numeric_Std.all;
Entity test_processor is
End Entity test_processor;
Architecture test_general of test_processor is
Component Mips8B is
Port(Reset_n: In Std_Logic;
Clock: In Std_Logic;
MAddr: Out Std_Logic_Vector(7 downto 0);
MCmd: Out Std_Logic_Vector(1 downto 0);
MData: Out Std_Logic_Vector(7 downto 0);
SData: In Std_Logic_Vector(7 downto 0);
SCmdAccept: In Std_Logic);
End Component Mips8B;
Type Memory_Array is Array(Natural Range <>) of Std_Logic_Vector(7 downto 0);
Use Work.MIPS8B_Base.ocpIDLE_little;
Use Work.MIPS8B_Base.ocpWR_little;
Use Work.MIPS8B_Base.ocpRD_little;
Use Work.MIPS8B_Base.ocpNULL_little;
Use Work.MIPS8B_Base.ocpDVA_little;
Signal Reset_n: Std_Logic;
Signal Clock: Std_Logic := '0';
Signal Clock_Mem: Std_Logic := '0';
Signal MAddr: Std_Logic_Vector(7 downto 0);
Signal MCmd: Std_Logic_Vector(1 downto 0);
Signal MData: Std_Logic_Vector(7 downto 0);
Signal SData: Std_Logic_Vector(7 downto 0);
Signal SCmdAccept: Std_Logic;
Begin
Reset_n <= '1', '0' after 20 ns, '1' after 40 ns;
Clock <= not Clock after 10 ns;
Clock_Mem <= not Clock_Mem after 15 ns;
Memory: Process
Variable int_SCmdAccept: Std_Logic;
Variable address: Unsigned(7 downto 0);
Variable mem_int: Memory_Array(0 to 255) := (
"00100000", "00000001", "00000000", "11001000",
"00100000", "00000010", "00000000", "10001001",
"00100000", "00000011", "00000000", "11001101",
"10100000", "00100010", "00000000", "00000000",
"00100000", "00100001", "00000000", "00000001",
"00100000", "01000010", "00000000", "11101111",
"00010000", "01100001", "00000000", "00000010",
"00010000", "00000000", "00000000", "11111100",
"00000000", "00000000", "00001000", "00100101",
"00100000", "00000110", "00000000", "11001000",
"00100000", "11000111", "00000000", "00000001",
"00100000", "00000101", "00000000", "11001101",
"00010000", "10100111", "00000000", "00001011",
"10000000", "11000011", "00000000", "00000000",
"10000000", "11100100", "00000000", "00000000",
"00000000", "10000011", "00010000", "00101010",
"00010000", "01000000", "00000000", "00000100",
"00100000", "00100001", "00000000", "00000001",
"10100000", "11000100", "00000000", "00000000",
"10100000", "11100011", "00000000", "00000000",
"00000000", "11100000", "00110000", "00100000",
"00100000", "11100111", "00000000", "00000001",
"00010000", "00000000", "00000000", "11110110",
"00010000", "00000001", "00000000", "00000010",
"00010000", "00000000", "00000000", "11110000",
"00100000", "00000011", "00000000", "11001101",
"00100000", "00000001", "00000000", "11001000",
"10000000", "00100010", "00000000", "00000000",
"10100000", "00100010", "00000000", "00000000",
"00100000", "00100001", "00000000", "00000001",
"00010000", "01100001", "00000000", "11111100",
"00010000", "00000000", "00000000", "11111100",
Others => "00000000");
Begin
Wait Until Clock_Mem'Event and Clock_Mem='1';
Case MCmd is
When ocpWR_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
mem_int(to_integer(address)) := MData;
Else
int_SCmdAccept := ocpNULL_little;
End If;
SData <= "ZZZZZZZZ";
When ocpRD_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
SData <= mem_int(to_integer(address));
Else
int_SCmdAccept := ocpNULL_little;
End If;
When Others =>
int_SCmdAccept := ocpNULL_little;
SData <= "ZZZZZZZZ";
End Case;
SCmdAccept <= int_SCmdAccept;
End Process Memory;
DUV: Mips8B
Port Map( Reset_n => Reset_n,
Clock => Clock,
MAddr => MAddr,
MCmd => MCmd,
MData => MData,
SData => SData,
SCmdAccept => SCmdAccept);
End Architecture test_general;
Configuration general_test of test_processor is
For test_general
For DUV: Mips8B Use Configuration Work.Mips8B_struct_conf;
End For;
End For;
End Configuration general_test;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
use techmap.netcomp.all;
library gaisler;
use gaisler.pci.all;
use work.pcilib2.all;
entity grpci2_phy_wrapper is
generic(
tech : integer := DEFMEMTECH;
oepol : integer := 0;
bypass : integer range 0 to 1 := 1;
netlist : integer := 0;
scantest: integer := 0;
iotest : integer := 0
);
port(
pciclk : in std_logic;
pcii : in pci_in_type;
phyi : in grpci2_phy_in_type;
pcio : out pci_out_type;
phyo : out grpci2_phy_out_type;
iotmact : in std_ulogic;
iotmoe : in std_ulogic;
iotdout : in std_logic_vector(44 downto 0);
iotdin : out std_logic_vector(45 downto 0)
);
end;
architecture wrapper of grpci2_phy_wrapper is
attribute dont_touch : boolean;
attribute dont_touch of net : label is true;
begin
rtl : if netlist = 0 generate
phy0 : grpci2_phy
generic map( tech => tech, oepol => oepol,
bypass => bypass, netlist => netlist,
scantest => scantest, iotest => iotest)
port map(
pciclk => pciclk,
pcii => pcii,
phyi => phyi,
pcio => pcio,
phyo => phyo,
iotmact => iotmact,
iotmoe => iotmoe,
iotdout => iotdout,
iotdin => iotdin
);
end generate;
net : if netlist /= 0 generate
phy0 : grpci2_phy_net
generic map( tech => tech, oepol => oepol,
bypass => bypass, netlist => netlist)
port map(
pciclk => pciclk,
--pcii : in pci_in_type,
pcii_rst => pcii.rst,
pcii_gnt => pcii.gnt,
pcii_idsel => pcii.idsel,
pcii_ad => pcii.ad,
pcii_cbe => pcii.cbe,
pcii_frame => pcii.frame,
pcii_irdy => pcii.irdy,
pcii_trdy => pcii.trdy,
pcii_devsel => pcii.devsel,
pcii_stop => pcii.stop,
pcii_lock => pcii.lock,
pcii_perr => pcii.perr,
pcii_serr => pcii.serr,
pcii_par => pcii.par,
pcii_host => pcii.host,
pcii_pci66 => pcii.pci66,
pcii_pme_status => pcii.pme_status,
pcii_int => pcii.int,
--phyi : in grpci2_phy_in_type,
phyi_pcirstout => phyi.pcirstout,
phyi_pciasyncrst => phyi.pciasyncrst,
phyi_pcisoftrst => phyi.pcisoftrst,
phyi_pciinten => phyi.pciinten,
phyi_m_request => phyi.m_request,
phyi_m_mabort => phyi.m_mabort,
phyi_pr_m_fstate => phyi.pr_m_fstate,
phyi_pr_m_cfifo_0_data => phyi.pr_m_cfifo(0).data,
phyi_pr_m_cfifo_0_last => phyi.pr_m_cfifo(0).last,
phyi_pr_m_cfifo_0_stlast => phyi.pr_m_cfifo(0).stlast,
phyi_pr_m_cfifo_0_hold => phyi.pr_m_cfifo(0).hold,
phyi_pr_m_cfifo_0_valid => phyi.pr_m_cfifo(0).valid,
phyi_pr_m_cfifo_0_err => phyi.pr_m_cfifo(0).err,
phyi_pr_m_cfifo_1_data => phyi.pr_m_cfifo(1).data,
phyi_pr_m_cfifo_1_last => phyi.pr_m_cfifo(1).last,
phyi_pr_m_cfifo_1_stlast => phyi.pr_m_cfifo(1).stlast,
phyi_pr_m_cfifo_1_hold => phyi.pr_m_cfifo(1).hold,
phyi_pr_m_cfifo_1_valid => phyi.pr_m_cfifo(1).valid,
phyi_pr_m_cfifo_1_err => phyi.pr_m_cfifo(1).err,
phyi_pr_m_cfifo_2_data => phyi.pr_m_cfifo(2).data,
phyi_pr_m_cfifo_2_last => phyi.pr_m_cfifo(2).last,
phyi_pr_m_cfifo_2_stlast => phyi.pr_m_cfifo(2).stlast,
phyi_pr_m_cfifo_2_hold => phyi.pr_m_cfifo(2).hold,
phyi_pr_m_cfifo_2_valid => phyi.pr_m_cfifo(2).valid,
phyi_pr_m_cfifo_2_err => phyi.pr_m_cfifo(2).err,
phyi_pv_m_cfifo_0_data => phyi.pv_m_cfifo(0).data,
phyi_pv_m_cfifo_0_last => phyi.pv_m_cfifo(0).last,
phyi_pv_m_cfifo_0_stlast => phyi.pv_m_cfifo(0).stlast,
phyi_pv_m_cfifo_0_hold => phyi.pv_m_cfifo(0).hold,
phyi_pv_m_cfifo_0_valid => phyi.pv_m_cfifo(0).valid,
phyi_pv_m_cfifo_0_err => phyi.pv_m_cfifo(0).err,
phyi_pv_m_cfifo_1_data => phyi.pv_m_cfifo(1).data,
phyi_pv_m_cfifo_1_last => phyi.pv_m_cfifo(1).last,
phyi_pv_m_cfifo_1_stlast => phyi.pv_m_cfifo(1).stlast,
phyi_pv_m_cfifo_1_hold => phyi.pv_m_cfifo(1).hold,
phyi_pv_m_cfifo_1_valid => phyi.pv_m_cfifo(1).valid,
phyi_pv_m_cfifo_1_err => phyi.pv_m_cfifo(1).err,
phyi_pv_m_cfifo_2_data => phyi.pv_m_cfifo(2).data,
phyi_pv_m_cfifo_2_last => phyi.pv_m_cfifo(2).last,
phyi_pv_m_cfifo_2_stlast => phyi.pv_m_cfifo(2).stlast,
phyi_pv_m_cfifo_2_hold => phyi.pv_m_cfifo(2).hold,
phyi_pv_m_cfifo_2_valid => phyi.pv_m_cfifo(2).valid,
phyi_pv_m_cfifo_2_err => phyi.pv_m_cfifo(2).err,
phyi_pr_m_addr => phyi.pr_m_addr,
phyi_pr_m_cbe_data => phyi.pr_m_cbe_data,
phyi_pr_m_cbe_cmd => phyi.pr_m_cbe_cmd,
phyi_pr_m_first => phyi.pr_m_first,
phyi_pv_m_term => phyi.pv_m_term,
phyi_pr_m_ltimer => phyi.pr_m_ltimer,
phyi_pr_m_burst => phyi.pr_m_burst,
phyi_pr_m_abort => phyi.pr_m_abort,
phyi_pr_m_perren => phyi.pr_m_perren,
phyi_pr_m_done_fifo => phyi.pr_m_done_fifo,
phyi_t_abort => phyi.t_abort,
phyi_t_ready => phyi.t_ready,
phyi_t_retry => phyi.t_retry,
phyi_pr_t_state => phyi.pr_t_state,
phyi_pv_t_state => phyi.pv_t_state,
phyi_pr_t_fstate => phyi.pr_t_fstate,
phyi_pr_t_cfifo_0_data => phyi.pr_t_cfifo(0).data,
phyi_pr_t_cfifo_0_last => phyi.pr_t_cfifo(0).last,
phyi_pr_t_cfifo_0_stlast => phyi.pr_t_cfifo(0).stlast,
phyi_pr_t_cfifo_0_hold => phyi.pr_t_cfifo(0).hold,
phyi_pr_t_cfifo_0_valid => phyi.pr_t_cfifo(0).valid,
phyi_pr_t_cfifo_0_err => phyi.pr_t_cfifo(0).err,
phyi_pr_t_cfifo_1_data => phyi.pr_t_cfifo(1).data,
phyi_pr_t_cfifo_1_last => phyi.pr_t_cfifo(1).last,
phyi_pr_t_cfifo_1_stlast => phyi.pr_t_cfifo(1).stlast,
phyi_pr_t_cfifo_1_hold => phyi.pr_t_cfifo(1).hold,
phyi_pr_t_cfifo_1_valid => phyi.pr_t_cfifo(1).valid,
phyi_pr_t_cfifo_1_err => phyi.pr_t_cfifo(1).err,
phyi_pr_t_cfifo_2_data => phyi.pr_t_cfifo(2).data,
phyi_pr_t_cfifo_2_last => phyi.pr_t_cfifo(2).last,
phyi_pr_t_cfifo_2_stlast => phyi.pr_t_cfifo(2).stlast,
phyi_pr_t_cfifo_2_hold => phyi.pr_t_cfifo(2).hold,
phyi_pr_t_cfifo_2_valid => phyi.pr_t_cfifo(2).valid,
phyi_pr_t_cfifo_2_err => phyi.pr_t_cfifo(2).err,
phyi_pv_t_diswithout => phyi.pv_t_diswithout,
phyi_pr_t_stoped => phyi.pr_t_stoped,
phyi_pr_t_lcount => phyi.pr_t_lcount,
phyi_pr_t_first_word => phyi.pr_t_first_word,
phyi_pr_t_cur_acc_0_read => phyi.pr_t_cur_acc_0_read,
phyi_pv_t_hold_write => phyi.pv_t_hold_write,
phyi_pv_t_hold_reset => phyi.pv_t_hold_reset,
phyi_pr_conf_comm_perren => phyi.pr_conf_comm_perren,
phyi_pr_conf_comm_serren => phyi.pr_conf_comm_serren,
--pcio : out pci_out_type,
pcio_aden => pcio.aden,
pcio_vaden => pcio.vaden,
pcio_cbeen => pcio.cbeen,
pcio_frameen => pcio.frameen,
pcio_irdyen => pcio.irdyen,
pcio_trdyen => pcio.trdyen,
pcio_devselen => pcio.devselen,
pcio_stopen => pcio.stopen,
pcio_ctrlen => pcio.ctrlen,
pcio_perren => pcio.perren,
pcio_paren => pcio.paren,
pcio_reqen => pcio.reqen,
pcio_locken => pcio.locken,
pcio_serren => pcio.serren,
pcio_inten => pcio.inten,
pcio_vinten => pcio.vinten,
pcio_req => pcio.req,
pcio_ad => pcio.ad,
pcio_cbe => pcio.cbe,
pcio_frame => pcio.frame,
pcio_irdy => pcio.irdy,
pcio_trdy => pcio.trdy,
pcio_devsel => pcio.devsel,
pcio_stop => pcio.stop,
pcio_perr => pcio.perr,
pcio_serr => pcio.serr,
pcio_par => pcio.par,
pcio_lock => pcio.lock,
pcio_power_state => pcio.power_state,
pcio_pme_enable => pcio.pme_enable,
pcio_pme_clear => pcio.pme_clear,
pcio_int => pcio.int,
pcio_rst => pcio.rst,
--phyo : out grpci2_phy_out_type
phyo_pciv_rst => phyo.pciv.rst,
phyo_pciv_gnt => phyo.pciv.gnt,
phyo_pciv_idsel => phyo.pciv.idsel,
phyo_pciv_ad => phyo.pciv.ad,
phyo_pciv_cbe => phyo.pciv.cbe,
phyo_pciv_frame => phyo.pciv.frame,
phyo_pciv_irdy => phyo.pciv.irdy,
phyo_pciv_trdy => phyo.pciv.trdy,
phyo_pciv_devsel => phyo.pciv.devsel,
phyo_pciv_stop => phyo.pciv.stop,
phyo_pciv_lock => phyo.pciv.lock,
phyo_pciv_perr => phyo.pciv.perr,
phyo_pciv_serr => phyo.pciv.serr,
phyo_pciv_par => phyo.pciv.par,
phyo_pciv_host => phyo.pciv.host,
phyo_pciv_pci66 => phyo.pciv.pci66,
phyo_pciv_pme_status => phyo.pciv.pme_status,
phyo_pciv_int => phyo.pciv.int,
phyo_pr_m_state => phyo.pr_m_state,
phyo_pr_m_last => phyo.pr_m_last,
phyo_pr_m_hold => phyo.pr_m_hold,
phyo_pr_m_term => phyo.pr_m_term,
phyo_pr_t_hold => phyo.pr_t_hold,
phyo_pr_t_stop => phyo.pr_t_stop,
phyo_pr_t_abort => phyo.pr_t_abort,
phyo_pr_t_diswithout => phyo.pr_t_diswithout,
phyo_pr_t_addr_perr => phyo.pr_t_addr_perr,
phyo_pcirsto => phyo.pcirsto,
phyo_pr_po_ad => phyo.pr_po.ad,
phyo_pr_po_aden => phyo.pr_po.aden,
phyo_pr_po_cbe => phyo.pr_po.cbe,
phyo_pr_po_cbeen => phyo.pr_po.cbeen,
phyo_pr_po_frame => phyo.pr_po.frame,
phyo_pr_po_frameen => phyo.pr_po.frameen,
phyo_pr_po_irdy => phyo.pr_po.irdy,
phyo_pr_po_irdyen => phyo.pr_po.irdyen,
phyo_pr_po_trdy => phyo.pr_po.trdy,
phyo_pr_po_trdyen => phyo.pr_po.trdyen,
phyo_pr_po_stop => phyo.pr_po.stop,
phyo_pr_po_stopen => phyo.pr_po.stopen,
phyo_pr_po_devsel => phyo.pr_po.devsel,
phyo_pr_po_devselen => phyo.pr_po.devselen,
phyo_pr_po_par => phyo.pr_po.par,
phyo_pr_po_paren => phyo.pr_po.paren,
phyo_pr_po_perr => phyo.pr_po.perr,
phyo_pr_po_perren => phyo.pr_po.perren,
phyo_pr_po_lock => phyo.pr_po.lock,
phyo_pr_po_locken => phyo.pr_po.locken,
phyo_pr_po_req => phyo.pr_po.req,
phyo_pr_po_reqen => phyo.pr_po.reqen,
phyo_pr_po_serren => phyo.pr_po.serren,
phyo_pr_po_inten => phyo.pr_po.inten,
phyo_pr_po_vinten => phyo.pr_po.vinten,
phyo_pio_rst => phyo.pio.rst,
phyo_pio_gnt => phyo.pio.gnt,
phyo_pio_idsel => phyo.pio.idsel,
phyo_pio_ad => phyo.pio.ad,
phyo_pio_cbe => phyo.pio.cbe,
phyo_pio_frame => phyo.pio.frame,
phyo_pio_irdy => phyo.pio.irdy,
phyo_pio_trdy => phyo.pio.trdy,
phyo_pio_devsel => phyo.pio.devsel,
phyo_pio_stop => phyo.pio.stop,
phyo_pio_lock => phyo.pio.lock,
phyo_pio_perr => phyo.pio.perr,
phyo_pio_serr => phyo.pio.serr,
phyo_pio_par => phyo.pio.par,
phyo_pio_host => phyo.pio.host,
phyo_pio_pci66 => phyo.pio.pci66,
phyo_pio_pme_status => phyo.pio.pme_status,
phyo_pio_int => phyo.pio.int,
phyo_poo_ad => phyo.poo.ad,
phyo_poo_aden => phyo.poo.aden,
phyo_poo_cbe => phyo.poo.cbe,
phyo_poo_cbeen => phyo.poo.cbeen,
phyo_poo_frame => phyo.poo.frame,
phyo_poo_frameen => phyo.poo.frameen,
phyo_poo_irdy => phyo.poo.irdy,
phyo_poo_irdyen => phyo.poo.irdyen,
phyo_poo_trdy => phyo.poo.trdy,
phyo_poo_trdyen => phyo.poo.trdyen,
phyo_poo_stop => phyo.poo.stop,
phyo_poo_stopen => phyo.poo.stopen,
phyo_poo_devsel => phyo.poo.devsel,
phyo_poo_devselen => phyo.poo.devselen,
phyo_poo_par => phyo.poo.par,
phyo_poo_paren => phyo.poo.paren,
phyo_poo_perr => phyo.poo.perr,
phyo_poo_perren => phyo.poo.perren,
phyo_poo_lock => phyo.poo.lock,
phyo_poo_locken => phyo.poo.locken,
phyo_poo_req => phyo.poo.req,
phyo_poo_reqen => phyo.poo.reqen,
phyo_poo_serren => phyo.poo.serren,
phyo_poo_inten => phyo.poo.inten,
phyo_poo_vinten => phyo.poo.vinten
);
end generate;
end;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
package inline_10 is
-- code from book
attribute foreign : string;
-- end code from book
end package inline_10;
entity and2 is
end entity and2;
-- code from book
architecture accelerated of and2 is
attribute foreign of accelerated : architecture is
"accelerate/function:and_2in/nocheck";
begin
end architecture accelerated;
-- end code from book
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
package inline_10 is
-- code from book
attribute foreign : string;
-- end code from book
end package inline_10;
entity and2 is
end entity and2;
-- code from book
architecture accelerated of and2 is
attribute foreign of accelerated : architecture is
"accelerate/function:and_2in/nocheck";
begin
end architecture accelerated;
-- end code from book
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
package inline_10 is
-- code from book
attribute foreign : string;
-- end code from book
end package inline_10;
entity and2 is
end entity and2;
-- code from book
architecture accelerated of and2 is
attribute foreign of accelerated : architecture is
"accelerate/function:and_2in/nocheck";
begin
end architecture accelerated;
-- end code from book
|
architecture ARCH of ENTITY1 is
begin
-- Passing wo default
assert boolean
report "Something something something" &
"Something else and this other thing"
severity FAILURE;
assert boolean
report "Something something something" &
"Something else and this other thing";
-- Passing w default
assert boolean
report "Something something something" &
"Something else and this other thing"
severity FAILURE;
assert boolean
report "Something something something" &
"Something else and this other thing";
-- Failing
assert boolean
report "Something something something" &
"Something else and this other thing"
severity FAILURE;
assert boolean
report "Something something something" &
"Something else and this other thing";
end architecture ARCH;
|
--
-- Copyright 2011, Kevin Lindsey
-- See LICENSE file for licensing information
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity DisplayCounter is
port(
-- main clock
clock: in std_logic;
-- rotary push button
A, B, PB: in std_logic;
-- 4-digit 7-segment display
segments: out std_logic_vector(6 downto 0);
dp: out std_logic;
sel: out std_logic_vector(3 downto 0)
);
end DisplayCounter;
architecture Behavioral of DisplayCounter is
-- component for rotary-only support of rotary switch
component Rotary is
port(
clock: in std_logic;
A: in std_logic;
B: in std_logic;
inc: out std_logic;
dec: out std_logic
);
end component;
-- component to display 4 7-segment digits
component Display4 is
generic(
DIGIT_COUNT: positive
);
port(
clock: in std_logic;
data_write_enable: in std_logic;
data: in std_logic_vector(DIGIT_COUNT * 4 - 1 downto 0);
dps: in std_logic_vector(DIGIT_COUNT - 1 downto 0);
segments: out std_logic_vector(6 downto 0);
dp: out std_logic;
sel: out std_logic_vector(DIGIT_COUNT - 1 downto 0)
);
end component;
-- component to debounce rotary push button
component Debouncer is
port(
clock: in std_logic;
reset: in std_logic;
d_in: in std_logic;
d_out: out std_logic
);
end component;
-- individual digit data and an aggregate of those values
subtype digitType is std_logic_vector(3 downto 0);
type digitTypes is array(0 to 3) of digitType;
signal digits: digitTypes;
signal display_data: std_logic_vector(15 downto 0);
-- signals from rotary indicating rotation direction
signal up, down: std_logic;
-- signals to write current display data
signal enable_write: std_logic := '1';
signal active_digit: std_logic_vector(3 downto 0) := (others => '0');
-- state tracking to detect push button edges
signal last_debounced: std_logic := '0';
signal current_debounced: std_logic := '0';
signal PB_debounced: std_logic := '0';
begin
-- create rotary decoder
r: Rotary
port map(
clock => clock,
A => A,
B => B,
inc => up,
dec => down
);
-- create 4-digit display
d4: Display4
generic map(
DIGIT_COUNT => 4
)
port map(
clock => clock,
data_write_enable => enable_write,
data => display_data,
dps => active_digit,
segments => segments,
dp => dp,
sel => sel
);
-- create button debouncer
db: Debouncer
port map(
clock => clock,
reset => PB,
d_in => not PB,
d_out => PB_debounced
);
-- concatenate individual digits into a single 16-bit value
update_display: process(clock, digits)
begin
if clock'event and clock = '1' then
display_data <= digits(0) & digits(1) & digits(2) & digits(3);
end if;
end process;
-- update current digit based on rotary output
-- update active digit which turns on that digits decimal point. Normally,
-- I would separate the logic for rotary and the push button into separate
-- processes, but since both require activation of enable_write, I decided
-- to combine both into a single process
update_counter: process(clock, up, down, PB, active_digit)
variable digit_index: integer range 0 to 3 := 0;
begin
if clock'event and clock = '1' then
-- shift last value and current value for edge detection
last_debounced <= current_debounced;
current_debounced <= PB_debounced;
-- handle increment/decrement if a digit is active; otherwise,
-- handle possible postive edge of push button
if down = '1' and active_digit /= "0000" then
digits(digit_index) <= digits(digit_index) - 1;
enable_write <= '1';
elsif up = '1' and active_digit /= "0000" then
digits(digit_index) <= digits(digit_index) + 1;
enable_write <= '1';
elsif last_debounced = '0' and current_debounced = '1' then
case active_digit is
when "0000" => active_digit <= "0001"; digit_index := 0;
when "0001" => active_digit <= "0010"; digit_index := 1;
when "0010" => active_digit <= "0100"; digit_index := 2;
when "0100" => active_digit <= "1000"; digit_index := 3;
when "1000" => active_digit <= "0000"; digit_index := 0;
when others => active_digit <= "0000"; digit_index := 0;
end case;
enable_write <= '1';
else
enable_write <= '0';
end if;
end if;
end process;
end Behavioral;
|
------------------------------------------------------------------------------
-- ZIPPY routing element (8 inputs, 1 output, 3 tristate outputs)
--
-- Project :
-- File : $URL: svn+ssh://[email protected]/home/plessl/SVN/simzippy/trunk/vhdl/routel.vhd $
-- Authors : Rolf Enzler <[email protected]>
-- Christian Plessl <[email protected]>
-- Company : Swiss Federal Institute of Technology (ETH) Zurich
-- Created : 2002/09/06
-- $Id: routel.vhd 241 2005-04-07 08:50:55Z plessl $
------------------------------------------------------------------------------
-- Routing element in engine. Route input data from interconnect to
-- processing element.
-- Each routing element has 8 inputs (local interconect and bus taps) and
-- 1 output that can be connected to the neighbor cells direct inputs as well
-- as 3 outputs with tristate buffers (that are used to drive the south buses).
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.ZArchPkg.all;
use work.ComponentsPkg.all;
entity RoutEl is
generic (
DATAWIDTH : integer);
port (
ClkxC : in std_logic;
RstxRB : in std_logic;
ConfigxI : in routConfigRec;
-- access to routing
InputxDI : in cellInputRec;
OutputxZO : out CellOutputRec;
-- access to processing element
ProcElInxDO : out procelInputArray; -- inputs to processing element
ProcElOutxDI : in std_logic_vector(DATAWIDTH-1 downto 0)); -- output of PE
end RoutEl;
architecture simple of RoutEl is
begin -- simple
-----------------------------------------------------------------------------
-- INPUT DRIVERS to processing element
-----------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Generate input drivers that drive the cell inputs signals to the
-- processing element inputs. The desired input for a procel input is
-- selected by enabling tristate buffers on a bus (not with a multiplexer, as
-- used in the initial versions of the code)
-----------------------------------------------------------------------------
gen_inputdrivers : for inp in ConfigxI.i'range generate
-- generate drivers for local interconnect inputs
gen_local : for localcon in ConfigxI.i(inp).LocalxE'range generate
localcondrvin : TristateBuf
generic map (
WIDTH => DATAWIDTH)
port map (
InxDI => InputxDI.LocalxDI(localcon),
OExEI => ConfigxI.i(inp).LocalxE(localcon),
OutxZO => ProcelInxDO(inp)
);
end generate gen_local;
-- generate drivers for HBusN inputs
gen_hbusn : for hbusn in ConfigxI.i(inp).HBusNxE'range generate
hbusndrvin : TristateBuf
generic map (
WIDTH => DATAWIDTH)
port map (
InxDI => InputxDI.HBusNxDI(hbusn),
OExEI => ConfigxI.i(inp).HBusNxE(hbusn),
OutxZO => ProcelInxDO(inp)
);
end generate gen_hbusn;
-- generate drivers for HBusS inputs
gen_hbuss : for hbuss in ConfigxI.i(inp).HBusSxE'range generate
hbussdrvin : TristateBuf
generic map (
WIDTH => DATAWIDTH)
port map (
InxDI => InputxDI.HBusSxDI(hbuss),
OExEI => ConfigxI.i(inp).HBusSxE(hbuss),
OutxZO => ProcelInxDO(inp)
);
end generate gen_hbuss;
-- generate drivers for VBusE inputs
gen_vbuse : for vbuse in ConfigxI.i(inp).VBusExE'range generate
vbusedrvin : TristateBuf
generic map (
WIDTH => DATAWIDTH)
port map (
InxDI => InputxDI.VBusExDI(vbuse),
OExEI => ConfigxI.i(inp).VBusExE(vbuse),
OutxZO => ProcelInxDO(inp)
);
end generate gen_vbuse;
end generate gen_inputdrivers;
-----------------------------------------------------------------------------
-- OUTPUT DRIVERS from processing elements
-----------------------------------------------------------------------------
-- direct output path
OutputxZO.LocalxDO <= ProcElOutxDI;
-- generate drivers for HBusN outputs
gen_drvouthbusn : for hbusn in ConfigxI.o.HBusNxE'range generate
hbusndrvout : TristateBuf
generic map (
WIDTH => DATAWIDTH)
port map (
InxDI => ProcElOutxDI,
OExEI => ConfigxI.o.HBusNxE(hbusn),
OutxZO => OutputxZO.HBusNxDZ(hbusn)
);
end generate gen_drvouthbusn;
-- generate drivers for HBusS outputs
gen_drvouthbuss : for hbuss in ConfigxI.o.HBusSxE'range generate
hbussdrvout : TristateBuf
generic map (
WIDTH => DATAWIDTH)
port map (
InxDI => ProcElOUtxDI,
OExEI => ConfigxI.o.HBusSxE(hbuss),
OutxZO => OutputxZO.HBusSxDZ(hbuss)
);
end generate gen_drvouthbuss;
-- generate drivers for VBusE inputs
gen_drvoutvbuse : for vbuse in ConfigxI.o.VBusExE'range generate
vbusedrvout : TristateBuf
generic map (
WIDTH => DATAWIDTH)
port map (
InxDI => ProcElOUtxDI,
OExEI => ConfigxI.o.VBusExE(vbuse),
OutxZO => OutputxZO.VBusExDZ(vbuse)
);
end generate gen_drvoutvbuse;
end simple;
|
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.09:04:04)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mpegmv_ibea_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30);
output1, output2, output3: OUT unsigned(0 TO 31));
END mpegmv_ibea_entity;
ARCHITECTURE mpegmv_ibea_description OF mpegmv_ibea_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
WHEN "00000010" =>
register3 := input3 * 3;
register1 := register1 + 5;
register4 := input4 * 6;
register2 := register2 + 8;
WHEN "00000011" =>
register1 := register4 + register1;
register4 := input5 * 9;
WHEN "00000100" =>
register1 := register4 + register1;
register4 := input6 * 10;
register5 := input7 * 11;
register2 := register3 + register2;
WHEN "00000101" =>
register3 := input8 * 12;
register5 := register5 + 14;
register6 := input9 * 15;
register1 := ((NOT register1) + 1) XOR register1;
register2 := register4 + register2;
WHEN "00000110" =>
register3 := register3 + 19;
register4 := input10 * 20;
register7 := input11 * 21;
WHEN "00000111" =>
register4 := register4 + register5;
register5 := input12 * 22;
output1 <= register7 + register3;
WHEN "00001000" =>
register3 := register5 + 25;
register4 := register6 + register4;
register5 := input13 * 26;
register2 := ((NOT register2) + 1) XOR register2;
register6 := input14 * 29;
WHEN "00001001" =>
register3 := register6 + register3;
output2 <= register1(0 TO 15) & register4(0 TO 15);
WHEN "00001010" =>
register1 := register5 + register3;
WHEN "00001011" =>
output3 <= register2(0 TO 15) & register1(0 TO 15);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mpegmv_ibea_description; |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block
wSnbWrCNlW89Hl8c5qLI8x5XsG8/CghupqMtsGERdMSXa3EK5J/Qit0WHm+njR821JFwXT565GTk
PfQmbPHqNCS0UKLtcdc3PZz0/PLyHx2I+i3o31d/LEWCSvVej8q9Im/IsuTfRijqwwpHV38mRUJu
zFppdNstRebIZC07b2wx4/Zw/EX4cSUu0lNqeHBvLS2bm6IdBe4MgzTchruIq08TrolYM2Pec74I
8AurMryqYhC6o/Ybr8S5oyAQKm3bDPQMozGLd2GswnXOpVxdQR7+Hcyfq/5aTzsLwGcNe2JCa9i8
0p/PpVMLrZWBL9KT3BXC7LiYyvbQaSj7GlTH5LlBKPsT/zboxVe8l8P0bRe4dyxRXzeeXPmsxiOF
0/97i6LbZCS1yzEDwEIGqWsQfBYSuYjMhqx8QLIPe7l4MS6pMtVm1wAwbZnR8MvKCKwrridhlL0a
sYEM4o5StHOo/sLWgJlTvYzV4wBL1kYSyuAWv5J2XUHvmseGIdNHnQk/EHV2Q8USm6z0OKXDAyPU
Sh9aHmD9LCdkDiyrAJGrai5dRFUJ3Ddo8PUjEdkVX5acXglzprK5M9di71HwWMt5aZ0Wi/SF68sY
UXFKCBlotPMyX1Rn9f+qiGldR2tYWjyGjaeTqzgFYeTDOxuNZ9gO/D+s8NmGVG7VaSGpNswKgdiP
NbcOO8Nq2ovne7Rfr6W5Gcc6ZaQI3aXrPm5aRP+LkyT5kSCO1bNOJ4X/klsW3zGGL8Vj3wS0zdci
2dUa6AaFKd12zun70jAFPzUE5cTTAbFsMtClV9Pq9x/yrZt1elEvkGg9M4qPjj/U3+L0VPjb3Mh4
jcxEwGjb4ogTCeX0kg2z+xYoihuXrU3c07HF8yg2tM4y6HagSoYplt/3TuLuBovINEnhdIW7+Wo7
wwEIEDtWtG468YEvpPnMVZL8DQPbEFRaN7ggyg3ajrUmGdEtPcRMeD9jTiRqin2dbsO0Awpz2Rw2
fX2w6bcZuUP3r1GAVYOP/fx8tPq58+IOCQkm0F65Rto25RJaO57YXZxa+tdHA9BBpp6EA37TfFhe
GGQoH92qkzX94C97QPn9a0uRzBIZzUXMXXZUhEbG1W309NbQetXemgpFw0Te23Dj2PSYua5lmUIm
3lYjodOPINOD7P8upsOuPgxvF0mVRPbv1EtF7G1dl7MYCqLDh+ES1/GE4C+Q3Glrcgn2xP4XJJjJ
VSXnkskBosP/n19w6EzI7LlEFn6VupIkTa2s91ujn8dFvwNjW3eu7SqSR7kPuoQT/uUctwSnLu0B
1r6df9edkDQmkEkI8rbERFx6Xy410GK4t6e1wnHkXzpSwqPwbb/h2F8z9sZH90KSbm2x4hPW4uaS
Cpfk2AdWjIYpUyB9uWvujYFhEYu2FhnpepEbo0UqnGmi7nY8Bcipe/uJWMfUd0tkMxmrDDMY/PgD
8/nodOpNOcJA1euM2HklIOvK74fGp5ZEip2nXGGNBVL/YnpS4W8+dyRYe/Ai0+0mVOHcBt+vqKBw
A6xOkZcouLNPHR/tA5z+ThOnUd0NvslK1FY7BB1Vgfu4AhPX79e6f9H7HRW/WWBDso6MpASN7NQn
ZqJcZkPN7WmHcKT+Be9B4glpqx5Bb+BqHR1RsFwCRRTzrj+8JppUwrLiiEyAhcksWOXkQSpd5DRL
Igyh4e8icsYXhfVEW+rPAcgvsiFGBrIrJ0vupk7WkN7kFwNH9kgNup7/Dvv+UgDQI9Bp3wNE8/vJ
Qw3rYD7p/QbTvtEPzrqm5oLEXRObv9Iars8jrsnwJQi9JCHfEvy/Zpq7fmkvAPq+ze9/1ajaSej+
j8xVFpMSiiYQ8C0nvWWcFqVe+3yzBm1j6R57EkF7SZlh7OLrqBEv9bygNvk7l025TgL9LIVDPtkX
AlMWZfEJ2bXrWqUkDD1+wTYjPXQUvoTrKab8t5wyWg8SVVQbRiCT5+MP8ShfKgaDvuV/40VELz+p
LihJQfbg2IdRC29XBGeVnlhwhSPpE3KXVCPl5ZjwgXc6cS7OVwBn50JIy0lErtdqdAopRtXyoANC
+nsV0+rFq3JCsEAXrsfTW2yoXrMnoABqY+V6Rv4C/wd4i1aoAMp+sgKgVMVpRA7QlBRLoCAjzrF/
jiPqfrpE9WM2yNPW/P1v73UQCOitYKQmeKE5D0VnfbB8yrlhKYElulMkI+0tfSdxJB5+tVTI8RTI
J46CsXxjPoYHQkhtZuYUWmWXcgH3D9kf8iFub7ZKZHgDz9BFBh7gyRXXyX5Zdo1uhhMjH8nBUDoQ
mEMbPjVIvmmCf33HGlROPfG+avNhyVz570/9XjJMOvOJPU3HlBtSQoE6zs33xFyJCOObvzcQCJG2
aZVtSEfPBbUVI3IWTMBpkMKU4gQEbBiNQdqnmfjLvOcIJ4pag2zIOoGa23QbGC5fk3DNCZlljHhu
l1EayU8CQCoFW5R49Dba7Ic5Wb5vxEwLpssaqCPKMKDyBL9dh4GZKsLpTYlHcaRYCRocL0LrTLjY
cxFBmOuv+2gCj6z8lXG5NY/0kNQcd/+LOsYvvMAP7tGvQYm3SNRPReBZIfbx1NseTxLuLb/da/KJ
N1ZyzGrugnyChiV2/k0XRoozzu3i9tZ8L+soqLnZv0dPV+b9HBCugg/yQ1m6f4910Twi1nEzBs/B
QbF3VWpcOGoUm5p9fcILVRe9gk2fVh7JyM8dBsVi1HwJMcnxoUuWCzLqXgRVU5pF4z5QxQOim6M/
/gPWCuhV+pvogyEUrpH14U+mycKeMMXGogT0hRppCovDZgDavSlp1nlPe2kl47Q3NB6fH9/+ekOJ
rNWIEJtw/77kqXnQYQGr2guqvZqnyUZ14+ONEmBPeZHm8jhW+UQHsRk61zLNaxrJSf0XPNuV58nK
fAiB2yUy0TpzQ+qcuwjBUEoIxDqhO+K9nnPJUhSOfxzk1OPTkZxMhr9KId88i8v9DzWJyNHvIaLN
KOzhFFRDn1LO49iqeZfabx0Fqu9jj+R1xG9jLMReOz/+EVcEopTnSen2SgOBR+yFMDHc4bcJl1bu
8StrXNQOHG9CcVaWTTyfM6VUdC+YhI+gq2IUykfnqv8BNOq9FcwGKI1rBrnZKIarvOt7Ox+4O4W7
6fLp4OC11RL4jemMTFekYNM3+bwko4NZOcE9Hgh/IgzS3D/vg9wOO5qoPm0WMTTyBU4tEbEK9TMR
0C6b76r4WraPe/w6cDZKU8et8pdhftc393/xONVo6yQp22BrW7W7shIFBU/ye+iXTiK3omLftWv2
UUaY4uZJ19Pj1KKi7m+C5BFEKaDafpbVmdgdtN/OG3BMY015mHf9DawcUE/4P4vh9mJTMsXl0a7G
owb/SKrLWo1SO6tiXczT6dlzLaberrflmdPWOzxRVT4g+kth93PhesenGDq4O7uf8e+tIRQ7opSb
TiwR9kCP9BekwiYg2fT72UFGozMOL8/HNhjWMBSl/jMQxP4edpH9rQz/vUA8GF/ixNDDrPrNoLes
yXC1prvqJIYsrfrdzaoCYild0p1R4CemRPopfqqwJRXxwwLDWFksfqKxLvvZz8JA0uz70LSSQmEi
YOtBnoHakvM9/yFjprI9oEAEKtOoK/0N0Hv6zNHyp/UbMX9O0JF6iDemf7RvyqWu0Y+pza5M4CGw
x01VtrxVlxmGc941ZsBmH0tD3AaSydL6wVhzhd2Ujo/KYpSRUgTfNMdxZLLwFZBidyKc5CHmlnmi
PIwqw7VvRfsGsqQwHSDNSciJ9/nApAViPFTxDTiPzfFRjX8VmB0gHdil5x/4jSpQ+l24n5C4l7S4
K0LWz7DeDZLjDBMXlU3O3ZR4KhkYMjdlw37mjVjOK6W+339Dgz2Ft4qzXqKUA/ZGY6wJeJMHJwcv
aIwSG3SzixxwbKT7ueRbtrLPsvdHmZIF9b8ZOxypm1BXKxuZ8ZO5z5zCb5RnhkWoouZMRYexuBCN
K8bVb0DXbBLVx42pjwg2d9Rstqv3KMLxkIVyaH1+pBMH9o0tXtEqEaZhlDd69RoFbrxeEJAB2Z3S
qSb9yO1/UCIOes0WnZjgdxBb8a+NyHIzrylK70oua43cGVTGmHToqQavumxBhXl6QAP+jGP0HyqJ
ZKLTg+eeGsNb4jVzG0ND/UVOQZVtIqGq+/BJqQbY7fnLi6vb4OZkTYkIpYmLDrN9i3T3Zv5wkIhb
bFesC6DtCFJEb8EYYun7JZ2+mblPfsNLQmcC/Ra8eOvjNxPoGJshRr+v6dS2UOgHaILWxRlA+7E/
pdsfzU/J6zLpCHyoZ5EbtqKkyOgI0H8ImG4VAfR+YHdkaGhz5pPzdInbIldNl8n9kTwjCvoytVhZ
auX5mrq5AYrRXY9aqIvHg0NPGYt5fG57KPBLGhu4QLzil54zV07mUQ6PaZ+TtU6vBJeUnyzfRw5p
gSPrnGub3hbkGy7oaFINsdoIM4vYhdp80TFNLKatx4RpkJ2p3JnA1+rAZbpMnbDkcdvZTvBfXuWV
EAUeFdop6EElXsHK2sBAY0I+jHxjhL8v+uydJ0Mtu/24RnYebsamFVu54ODcmWfaqS73dBFUyHCG
f7cccuQHZrQPzd5l6tZFcwImkB7EkS9DKsMfjAAm8Ly6IDDLdvRGP8MTyKz9ZYllt4RCtD7d51KE
LMZ8aBk2rTCWdIM3DGxYViDzx+MQO/beexzZni6QLoXaOcxO0ZtAm6jelK/Z+qJWy2ZHwXcjPXSq
UIfzCjDYNuvLLzdzZfOja+8xp9qqlSEgwZxJT5/oy8A3/DhqdmXDWJE37T4eZjwb4j8ZA/Z8/DZX
ruVB/k8qzPfFtH876p4hhQlqjaFqmWxQ/zBevroLloNqPXX8g5EoAI9FC0LiWrx/3bDu+Q53rUw3
p3HpQ3KgpZGDvkm1ilulVN54SEHyPH5pUsZ7kdfw0EiTKryaggETK8yvZD5564bQ3mYs1TzAt3FT
oF5JnnN/WUgqBSKnUAjM986kAdUn2ssD3wCfAWgRjog+wY1+wzirK14hFUKZ4YQSpMc8kQOvV6L/
xMSmpnUpImOke4fnILUTJ/q1smzXIaoUDvZATHHIqfODxvu9jjxxd0yS/e6N8kq+t9Aqs4QhvGNe
K3hO9U9r2pd+GmqMA22Ne023T+xq/5031gKYMbWkKSsIcU21m44ATTMES0/5o5AsnF0QC4EGIxcU
06pAUhhrbe2sCw1fetB/x6GoS6egWvLAoWcfnqdHFyIHkvtvxBX7LlkeILavAFQWkjksihKG1DOz
JX2fvTBRFPOThKHMgOMxC7XpMInLlcKv8queRGcTkuRRI84kOL14ho6OScq/8JsdFLSvxASR+aJ3
Rgmh8CrClSueKZJqXMvOeXAySGovmAPQuVRaEEvNrrWTsw3Y/YQX6HxhMYwuKLPtYd255vg6KUom
ztcIVOK5YFLO5qEZhys5Y/tXoXCkmMRV3A/5VKRbyWdf4OzVCzV5h6KCJs4dyBXcNC3AzXl3t2lQ
vAaoYx7ti0w5NyNphC4AXnZj2YUT0ZLOIq/xE9ex5ABHj2fnbSsnJ66jIhozsKbxLA7KimDYysLi
HaVCqoP8IdrV2WX15TabZPyZ93dXqp1EFgqDqvkYyyyTx1qyYHNWBZHBST7Epayt+nn8hecgtsu3
GpolOkp0Uyfn/dR/1D0piqKzuM55CNlQPg6YU1sqDpyLVoH+07EGLcd9DT6wYaQe3nIf1sWEiV8f
eB2GdF1SG8nsHtclUfWE3SDikn99uuQUpKx3Dmi434KtWCRBhhkixNpGY1mL8v3PkGq+aV61TYn9
eXzOyO6wB73aylUtgdxeQ9sA3o0oCCEdN897mfJtJJ7O+AuS4RUTVTm1Lr6CFsIBecq3Ygi6bqJS
eaXlsFpOO57BydJDP6HiY9gxAIJ48+iFPvpW3gq9FzMFHwvz7UWXwAFsLAtox4lkiWcHdXn9ocnX
0UsArnpTwehW6tQr4SU553YuML77wavoaN8iPIgRXu/tGnSFqEW3Hu1MXfd5Ml/AkJwslvD8qa2C
LaCXQe1fQ4mw5cnmKwRn3WLyfh1n2dV2zbKjVXEUlW0VIiaUvSmHNbST9FKA+HXoj8YquE35oGLj
GpybA7Vm4/GUxixUmH0M/6B+GL/kRnyeRVCyuXEcVMZFrdsOp9a/l5fjrSFLJ/9PhyHHhqXbzMGi
xw8bYZ+TI6VxADEEDfzv0sD+70+nYuTUpkssKWrYzNzVTNQdD6loVM0IhRgnNOta6bTVYGPRl6qc
nT4KQFc5BXT24DmdX8a0YDsRA8UFvE7kZdrQTUnunQZlzABfqrKbkRL7S2WxfwdBAEOpfGyfjELB
4prIzok8MJRwQlcJnnVvG74n9NumqAopPR/rhH0DaApJnJM0CEZJcL/afIedD73tqwvMM9R+jR8t
UDLHDo/zv0K2eZRMOdZmtEuxdtsOMFhPRFVrPF8evJ2PNxx84ki1iTW/SVREQuUzDSR5CAbHUUc6
jKVu49yXxRYutVPNdYu244j453GC+/Z+9LFOkpLscm/TfmdBlcuzkJDy3rx2LA8Wjr/xC/D39Wl/
KKWzzXR9xDI5/HCIsnmQt0/71u5JJWgeur5wDsXzjdLiG6J3br4buqwbPYalv2lkunPXFr6qMVh3
QKHq1ay9j6oOEFZ0JXlxX3jKSOT7mM3BGytgLixoWlEAW1kjf6KdWd0uLjgmIEEZRRRfThq6HO99
6u7Wl/Y3S4NY0kjt0ka8q7aKKYQkLHZ80WBuXcZx9P4wC+ky5I5+8dtI0ZfQcUAKKjF3r5knSpUd
RVEUukcQTxcM8xREbGueAEn3N3fSa7BYS4CQOCFVKBVvCM3FiM0CcrSW4ePSmLFKSXnwH6esZyze
rJkmSgZU4DnnGCNK9vqTKN91XHm6BaIxl9Z1vncL/DgQ+axeEr7ZomuScGoc7SHi3IIiY13F3t+G
C98cdDSj+iS5EijyKvQ/WbNOIewerOyp+X9/Ct5wEzoSUObAAhIORugc6eYEPiek/DBFI8y+o12z
SHBcmzOvEPPiiYw+X4HYPsVJcy9GRqhBD7/biDvp5pU1lzVElYcbjn75Ol09L7WitOiUfmPBoels
WEzGv3bGQz3mhPjVareZdlysMkTVDi6sZ5GyA1VL+JVHPjuPibO5Zws9YawrFEcfc0nW6Dxa49hu
UGTHNaGvPZJXKmVaqsPkeSua3jubEzs9EkyjfhubJIUnBO6MQP7d8TeSAP9kYrpLH9kghFm6HmXq
XRU92W/nvSJIQPxJOkeS1NUXuqRUtz0/CR6otZNIR84QA92zcn5ua50BX1ool2GfAaIzwyhTA3jz
dvAqMhZQh9FwPY/Q3LGz5kNtRLtJXAUzXRSxGtZKosqd/JzT2uxoFQTTmya/y4ykuztzTHBmpEZC
i6VRxUgZAhvKI6C9cO3BWtg9g8XE/6/V4ry1Xn6BXvWLJVO5pjtVxSEjVXkLvo4cWRqnmU4li2eM
EZPlt/fra9+Xp1dBkMlJIrOraGOtvVbH1tB4tzJX/f9kVamPStmvhxH65OZDIcaD2PSyWN5Js11F
2T3wr46PtaHnUOJkbLeqrsfmYsbEbArM0XxRSaMiX3B0//X9i5v/Q+dIfhuvY8k6xDegsHcVZz6x
GP5+c2vIMAZR9eGMA4wbxSValgbOJk1Fvn9XV+zVdlhZwA8LDODZAcY7UdUpg8YQQ9wjLQ7gjsW2
uUPcU9jQShaLx0qxwcpbbKS5w+NFWp3fJJQb2UbDm0s+9irVpX8ShsgfRExB72lT1H3G
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block
wSnbWrCNlW89Hl8c5qLI8x5XsG8/CghupqMtsGERdMSXa3EK5J/Qit0WHm+njR821JFwXT565GTk
PfQmbPHqNCS0UKLtcdc3PZz0/PLyHx2I+i3o31d/LEWCSvVej8q9Im/IsuTfRijqwwpHV38mRUJu
zFppdNstRebIZC07b2wx4/Zw/EX4cSUu0lNqeHBvLS2bm6IdBe4MgzTchruIq08TrolYM2Pec74I
8AurMryqYhC6o/Ybr8S5oyAQKm3bDPQMozGLd2GswnXOpVxdQR7+Hcyfq/5aTzsLwGcNe2JCa9i8
0p/PpVMLrZWBL9KT3BXC7LiYyvbQaSj7GlTH5LlBKPsT/zboxVe8l8P0bRe4dyxRXzeeXPmsxiOF
0/97i6LbZCS1yzEDwEIGqWsQfBYSuYjMhqx8QLIPe7l4MS6pMtVm1wAwbZnR8MvKCKwrridhlL0a
sYEM4o5StHOo/sLWgJlTvYzV4wBL1kYSyuAWv5J2XUHvmseGIdNHnQk/EHV2Q8USm6z0OKXDAyPU
Sh9aHmD9LCdkDiyrAJGrai5dRFUJ3Ddo8PUjEdkVX5acXglzprK5M9di71HwWMt5aZ0Wi/SF68sY
UXFKCBlotPMyX1Rn9f+qiGldR2tYWjyGjaeTqzgFYeTDOxuNZ9gO/D+s8NmGVG7VaSGpNswKgdiP
NbcOO8Nq2ovne7Rfr6W5Gcc6ZaQI3aXrPm5aRP+LkyT5kSCO1bNOJ4X/klsW3zGGL8Vj3wS0zdci
2dUa6AaFKd12zun70jAFPzUE5cTTAbFsMtClV9Pq9x/yrZt1elEvkGg9M4qPjj/U3+L0VPjb3Mh4
jcxEwGjb4ogTCeX0kg2z+xYoihuXrU3c07HF8yg2tM4y6HagSoYplt/3TuLuBovINEnhdIW7+Wo7
wwEIEDtWtG468YEvpPnMVZL8DQPbEFRaN7ggyg3ajrUmGdEtPcRMeD9jTiRqin2dbsO0Awpz2Rw2
fX2w6bcZuUP3r1GAVYOP/fx8tPq58+IOCQkm0F65Rto25RJaO57YXZxa+tdHA9BBpp6EA37TfFhe
GGQoH92qkzX94C97QPn9a0uRzBIZzUXMXXZUhEbG1W309NbQetXemgpFw0Te23Dj2PSYua5lmUIm
3lYjodOPINOD7P8upsOuPgxvF0mVRPbv1EtF7G1dl7MYCqLDh+ES1/GE4C+Q3Glrcgn2xP4XJJjJ
VSXnkskBosP/n19w6EzI7LlEFn6VupIkTa2s91ujn8dFvwNjW3eu7SqSR7kPuoQT/uUctwSnLu0B
1r6df9edkDQmkEkI8rbERFx6Xy410GK4t6e1wnHkXzpSwqPwbb/h2F8z9sZH90KSbm2x4hPW4uaS
Cpfk2AdWjIYpUyB9uWvujYFhEYu2FhnpepEbo0UqnGmi7nY8Bcipe/uJWMfUd0tkMxmrDDMY/PgD
8/nodOpNOcJA1euM2HklIOvK74fGp5ZEip2nXGGNBVL/YnpS4W8+dyRYe/Ai0+0mVOHcBt+vqKBw
A6xOkZcouLNPHR/tA5z+ThOnUd0NvslK1FY7BB1Vgfu4AhPX79e6f9H7HRW/WWBDso6MpASN7NQn
ZqJcZkPN7WmHcKT+Be9B4glpqx5Bb+BqHR1RsFwCRRTzrj+8JppUwrLiiEyAhcksWOXkQSpd5DRL
Igyh4e8icsYXhfVEW+rPAcgvsiFGBrIrJ0vupk7WkN7kFwNH9kgNup7/Dvv+UgDQI9Bp3wNE8/vJ
Qw3rYD7p/QbTvtEPzrqm5oLEXRObv9Iars8jrsnwJQi9JCHfEvy/Zpq7fmkvAPq+ze9/1ajaSej+
j8xVFpMSiiYQ8C0nvWWcFqVe+3yzBm1j6R57EkF7SZlh7OLrqBEv9bygNvk7l025TgL9LIVDPtkX
AlMWZfEJ2bXrWqUkDD1+wTYjPXQUvoTrKab8t5wyWg8SVVQbRiCT5+MP8ShfKgaDvuV/40VELz+p
LihJQfbg2IdRC29XBGeVnlhwhSPpE3KXVCPl5ZjwgXc6cS7OVwBn50JIy0lErtdqdAopRtXyoANC
+nsV0+rFq3JCsEAXrsfTW2yoXrMnoABqY+V6Rv4C/wd4i1aoAMp+sgKgVMVpRA7QlBRLoCAjzrF/
jiPqfrpE9WM2yNPW/P1v73UQCOitYKQmeKE5D0VnfbB8yrlhKYElulMkI+0tfSdxJB5+tVTI8RTI
J46CsXxjPoYHQkhtZuYUWmWXcgH3D9kf8iFub7ZKZHgDz9BFBh7gyRXXyX5Zdo1uhhMjH8nBUDoQ
mEMbPjVIvmmCf33HGlROPfG+avNhyVz570/9XjJMOvOJPU3HlBtSQoE6zs33xFyJCOObvzcQCJG2
aZVtSEfPBbUVI3IWTMBpkMKU4gQEbBiNQdqnmfjLvOcIJ4pag2zIOoGa23QbGC5fk3DNCZlljHhu
l1EayU8CQCoFW5R49Dba7Ic5Wb5vxEwLpssaqCPKMKDyBL9dh4GZKsLpTYlHcaRYCRocL0LrTLjY
cxFBmOuv+2gCj6z8lXG5NY/0kNQcd/+LOsYvvMAP7tGvQYm3SNRPReBZIfbx1NseTxLuLb/da/KJ
N1ZyzGrugnyChiV2/k0XRoozzu3i9tZ8L+soqLnZv0dPV+b9HBCugg/yQ1m6f4910Twi1nEzBs/B
QbF3VWpcOGoUm5p9fcILVRe9gk2fVh7JyM8dBsVi1HwJMcnxoUuWCzLqXgRVU5pF4z5QxQOim6M/
/gPWCuhV+pvogyEUrpH14U+mycKeMMXGogT0hRppCovDZgDavSlp1nlPe2kl47Q3NB6fH9/+ekOJ
rNWIEJtw/77kqXnQYQGr2guqvZqnyUZ14+ONEmBPeZHm8jhW+UQHsRk61zLNaxrJSf0XPNuV58nK
fAiB2yUy0TpzQ+qcuwjBUEoIxDqhO+K9nnPJUhSOfxzk1OPTkZxMhr9KId88i8v9DzWJyNHvIaLN
KOzhFFRDn1LO49iqeZfabx0Fqu9jj+R1xG9jLMReOz/+EVcEopTnSen2SgOBR+yFMDHc4bcJl1bu
8StrXNQOHG9CcVaWTTyfM6VUdC+YhI+gq2IUykfnqv8BNOq9FcwGKI1rBrnZKIarvOt7Ox+4O4W7
6fLp4OC11RL4jemMTFekYNM3+bwko4NZOcE9Hgh/IgzS3D/vg9wOO5qoPm0WMTTyBU4tEbEK9TMR
0C6b76r4WraPe/w6cDZKU8et8pdhftc393/xONVo6yQp22BrW7W7shIFBU/ye+iXTiK3omLftWv2
UUaY4uZJ19Pj1KKi7m+C5BFEKaDafpbVmdgdtN/OG3BMY015mHf9DawcUE/4P4vh9mJTMsXl0a7G
owb/SKrLWo1SO6tiXczT6dlzLaberrflmdPWOzxRVT4g+kth93PhesenGDq4O7uf8e+tIRQ7opSb
TiwR9kCP9BekwiYg2fT72UFGozMOL8/HNhjWMBSl/jMQxP4edpH9rQz/vUA8GF/ixNDDrPrNoLes
yXC1prvqJIYsrfrdzaoCYild0p1R4CemRPopfqqwJRXxwwLDWFksfqKxLvvZz8JA0uz70LSSQmEi
YOtBnoHakvM9/yFjprI9oEAEKtOoK/0N0Hv6zNHyp/UbMX9O0JF6iDemf7RvyqWu0Y+pza5M4CGw
x01VtrxVlxmGc941ZsBmH0tD3AaSydL6wVhzhd2Ujo/KYpSRUgTfNMdxZLLwFZBidyKc5CHmlnmi
PIwqw7VvRfsGsqQwHSDNSciJ9/nApAViPFTxDTiPzfFRjX8VmB0gHdil5x/4jSpQ+l24n5C4l7S4
K0LWz7DeDZLjDBMXlU3O3ZR4KhkYMjdlw37mjVjOK6W+339Dgz2Ft4qzXqKUA/ZGY6wJeJMHJwcv
aIwSG3SzixxwbKT7ueRbtrLPsvdHmZIF9b8ZOxypm1BXKxuZ8ZO5z5zCb5RnhkWoouZMRYexuBCN
K8bVb0DXbBLVx42pjwg2d9Rstqv3KMLxkIVyaH1+pBMH9o0tXtEqEaZhlDd69RoFbrxeEJAB2Z3S
qSb9yO1/UCIOes0WnZjgdxBb8a+NyHIzrylK70oua43cGVTGmHToqQavumxBhXl6QAP+jGP0HyqJ
ZKLTg+eeGsNb4jVzG0ND/UVOQZVtIqGq+/BJqQbY7fnLi6vb4OZkTYkIpYmLDrN9i3T3Zv5wkIhb
bFesC6DtCFJEb8EYYun7JZ2+mblPfsNLQmcC/Ra8eOvjNxPoGJshRr+v6dS2UOgHaILWxRlA+7E/
pdsfzU/J6zLpCHyoZ5EbtqKkyOgI0H8ImG4VAfR+YHdkaGhz5pPzdInbIldNl8n9kTwjCvoytVhZ
auX5mrq5AYrRXY9aqIvHg0NPGYt5fG57KPBLGhu4QLzil54zV07mUQ6PaZ+TtU6vBJeUnyzfRw5p
gSPrnGub3hbkGy7oaFINsdoIM4vYhdp80TFNLKatx4RpkJ2p3JnA1+rAZbpMnbDkcdvZTvBfXuWV
EAUeFdop6EElXsHK2sBAY0I+jHxjhL8v+uydJ0Mtu/24RnYebsamFVu54ODcmWfaqS73dBFUyHCG
f7cccuQHZrQPzd5l6tZFcwImkB7EkS9DKsMfjAAm8Ly6IDDLdvRGP8MTyKz9ZYllt4RCtD7d51KE
LMZ8aBk2rTCWdIM3DGxYViDzx+MQO/beexzZni6QLoXaOcxO0ZtAm6jelK/Z+qJWy2ZHwXcjPXSq
UIfzCjDYNuvLLzdzZfOja+8xp9qqlSEgwZxJT5/oy8A3/DhqdmXDWJE37T4eZjwb4j8ZA/Z8/DZX
ruVB/k8qzPfFtH876p4hhQlqjaFqmWxQ/zBevroLloNqPXX8g5EoAI9FC0LiWrx/3bDu+Q53rUw3
p3HpQ3KgpZGDvkm1ilulVN54SEHyPH5pUsZ7kdfw0EiTKryaggETK8yvZD5564bQ3mYs1TzAt3FT
oF5JnnN/WUgqBSKnUAjM986kAdUn2ssD3wCfAWgRjog+wY1+wzirK14hFUKZ4YQSpMc8kQOvV6L/
xMSmpnUpImOke4fnILUTJ/q1smzXIaoUDvZATHHIqfODxvu9jjxxd0yS/e6N8kq+t9Aqs4QhvGNe
K3hO9U9r2pd+GmqMA22Ne023T+xq/5031gKYMbWkKSsIcU21m44ATTMES0/5o5AsnF0QC4EGIxcU
06pAUhhrbe2sCw1fetB/x6GoS6egWvLAoWcfnqdHFyIHkvtvxBX7LlkeILavAFQWkjksihKG1DOz
JX2fvTBRFPOThKHMgOMxC7XpMInLlcKv8queRGcTkuRRI84kOL14ho6OScq/8JsdFLSvxASR+aJ3
Rgmh8CrClSueKZJqXMvOeXAySGovmAPQuVRaEEvNrrWTsw3Y/YQX6HxhMYwuKLPtYd255vg6KUom
ztcIVOK5YFLO5qEZhys5Y/tXoXCkmMRV3A/5VKRbyWdf4OzVCzV5h6KCJs4dyBXcNC3AzXl3t2lQ
vAaoYx7ti0w5NyNphC4AXnZj2YUT0ZLOIq/xE9ex5ABHj2fnbSsnJ66jIhozsKbxLA7KimDYysLi
HaVCqoP8IdrV2WX15TabZPyZ93dXqp1EFgqDqvkYyyyTx1qyYHNWBZHBST7Epayt+nn8hecgtsu3
GpolOkp0Uyfn/dR/1D0piqKzuM55CNlQPg6YU1sqDpyLVoH+07EGLcd9DT6wYaQe3nIf1sWEiV8f
eB2GdF1SG8nsHtclUfWE3SDikn99uuQUpKx3Dmi434KtWCRBhhkixNpGY1mL8v3PkGq+aV61TYn9
eXzOyO6wB73aylUtgdxeQ9sA3o0oCCEdN897mfJtJJ7O+AuS4RUTVTm1Lr6CFsIBecq3Ygi6bqJS
eaXlsFpOO57BydJDP6HiY9gxAIJ48+iFPvpW3gq9FzMFHwvz7UWXwAFsLAtox4lkiWcHdXn9ocnX
0UsArnpTwehW6tQr4SU553YuML77wavoaN8iPIgRXu/tGnSFqEW3Hu1MXfd5Ml/AkJwslvD8qa2C
LaCXQe1fQ4mw5cnmKwRn3WLyfh1n2dV2zbKjVXEUlW0VIiaUvSmHNbST9FKA+HXoj8YquE35oGLj
GpybA7Vm4/GUxixUmH0M/6B+GL/kRnyeRVCyuXEcVMZFrdsOp9a/l5fjrSFLJ/9PhyHHhqXbzMGi
xw8bYZ+TI6VxADEEDfzv0sD+70+nYuTUpkssKWrYzNzVTNQdD6loVM0IhRgnNOta6bTVYGPRl6qc
nT4KQFc5BXT24DmdX8a0YDsRA8UFvE7kZdrQTUnunQZlzABfqrKbkRL7S2WxfwdBAEOpfGyfjELB
4prIzok8MJRwQlcJnnVvG74n9NumqAopPR/rhH0DaApJnJM0CEZJcL/afIedD73tqwvMM9R+jR8t
UDLHDo/zv0K2eZRMOdZmtEuxdtsOMFhPRFVrPF8evJ2PNxx84ki1iTW/SVREQuUzDSR5CAbHUUc6
jKVu49yXxRYutVPNdYu244j453GC+/Z+9LFOkpLscm/TfmdBlcuzkJDy3rx2LA8Wjr/xC/D39Wl/
KKWzzXR9xDI5/HCIsnmQt0/71u5JJWgeur5wDsXzjdLiG6J3br4buqwbPYalv2lkunPXFr6qMVh3
QKHq1ay9j6oOEFZ0JXlxX3jKSOT7mM3BGytgLixoWlEAW1kjf6KdWd0uLjgmIEEZRRRfThq6HO99
6u7Wl/Y3S4NY0kjt0ka8q7aKKYQkLHZ80WBuXcZx9P4wC+ky5I5+8dtI0ZfQcUAKKjF3r5knSpUd
RVEUukcQTxcM8xREbGueAEn3N3fSa7BYS4CQOCFVKBVvCM3FiM0CcrSW4ePSmLFKSXnwH6esZyze
rJkmSgZU4DnnGCNK9vqTKN91XHm6BaIxl9Z1vncL/DgQ+axeEr7ZomuScGoc7SHi3IIiY13F3t+G
C98cdDSj+iS5EijyKvQ/WbNOIewerOyp+X9/Ct5wEzoSUObAAhIORugc6eYEPiek/DBFI8y+o12z
SHBcmzOvEPPiiYw+X4HYPsVJcy9GRqhBD7/biDvp5pU1lzVElYcbjn75Ol09L7WitOiUfmPBoels
WEzGv3bGQz3mhPjVareZdlysMkTVDi6sZ5GyA1VL+JVHPjuPibO5Zws9YawrFEcfc0nW6Dxa49hu
UGTHNaGvPZJXKmVaqsPkeSua3jubEzs9EkyjfhubJIUnBO6MQP7d8TeSAP9kYrpLH9kghFm6HmXq
XRU92W/nvSJIQPxJOkeS1NUXuqRUtz0/CR6otZNIR84QA92zcn5ua50BX1ool2GfAaIzwyhTA3jz
dvAqMhZQh9FwPY/Q3LGz5kNtRLtJXAUzXRSxGtZKosqd/JzT2uxoFQTTmya/y4ykuztzTHBmpEZC
i6VRxUgZAhvKI6C9cO3BWtg9g8XE/6/V4ry1Xn6BXvWLJVO5pjtVxSEjVXkLvo4cWRqnmU4li2eM
EZPlt/fra9+Xp1dBkMlJIrOraGOtvVbH1tB4tzJX/f9kVamPStmvhxH65OZDIcaD2PSyWN5Js11F
2T3wr46PtaHnUOJkbLeqrsfmYsbEbArM0XxRSaMiX3B0//X9i5v/Q+dIfhuvY8k6xDegsHcVZz6x
GP5+c2vIMAZR9eGMA4wbxSValgbOJk1Fvn9XV+zVdlhZwA8LDODZAcY7UdUpg8YQQ9wjLQ7gjsW2
uUPcU9jQShaLx0qxwcpbbKS5w+NFWp3fJJQb2UbDm0s+9irVpX8ShsgfRExB72lT1H3G
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.