content
stringlengths 1
1.04M
⌀ |
---|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block
wSnbWrCNlW89Hl8c5qLI8x5XsG8/CghupqMtsGERdMSXa3EK5J/Qit0WHm+njR821JFwXT565GTk
PfQmbPHqNCS0UKLtcdc3PZz0/PLyHx2I+i3o31d/LEWCSvVej8q9Im/IsuTfRijqwwpHV38mRUJu
zFppdNstRebIZC07b2wx4/Zw/EX4cSUu0lNqeHBvLS2bm6IdBe4MgzTchruIq08TrolYM2Pec74I
8AurMryqYhC6o/Ybr8S5oyAQKm3bDPQMozGLd2GswnXOpVxdQR7+Hcyfq/5aTzsLwGcNe2JCa9i8
0p/PpVMLrZWBL9KT3BXC7LiYyvbQaSj7GlTH5LlBKPsT/zboxVe8l8P0bRe4dyxRXzeeXPmsxiOF
0/97i6LbZCS1yzEDwEIGqWsQfBYSuYjMhqx8QLIPe7l4MS6pMtVm1wAwbZnR8MvKCKwrridhlL0a
sYEM4o5StHOo/sLWgJlTvYzV4wBL1kYSyuAWv5J2XUHvmseGIdNHnQk/EHV2Q8USm6z0OKXDAyPU
Sh9aHmD9LCdkDiyrAJGrai5dRFUJ3Ddo8PUjEdkVX5acXglzprK5M9di71HwWMt5aZ0Wi/SF68sY
UXFKCBlotPMyX1Rn9f+qiGldR2tYWjyGjaeTqzgFYeTDOxuNZ9gO/D+s8NmGVG7VaSGpNswKgdiP
NbcOO8Nq2ovne7Rfr6W5Gcc6ZaQI3aXrPm5aRP+LkyT5kSCO1bNOJ4X/klsW3zGGL8Vj3wS0zdci
2dUa6AaFKd12zun70jAFPzUE5cTTAbFsMtClV9Pq9x/yrZt1elEvkGg9M4qPjj/U3+L0VPjb3Mh4
jcxEwGjb4ogTCeX0kg2z+xYoihuXrU3c07HF8yg2tM4y6HagSoYplt/3TuLuBovINEnhdIW7+Wo7
wwEIEDtWtG468YEvpPnMVZL8DQPbEFRaN7ggyg3ajrUmGdEtPcRMeD9jTiRqin2dbsO0Awpz2Rw2
fX2w6bcZuUP3r1GAVYOP/fx8tPq58+IOCQkm0F65Rto25RJaO57YXZxa+tdHA9BBpp6EA37TfFhe
GGQoH92qkzX94C97QPn9a0uRzBIZzUXMXXZUhEbG1W309NbQetXemgpFw0Te23Dj2PSYua5lmUIm
3lYjodOPINOD7P8upsOuPgxvF0mVRPbv1EtF7G1dl7MYCqLDh+ES1/GE4C+Q3Glrcgn2xP4XJJjJ
VSXnkskBosP/n19w6EzI7LlEFn6VupIkTa2s91ujn8dFvwNjW3eu7SqSR7kPuoQT/uUctwSnLu0B
1r6df9edkDQmkEkI8rbERFx6Xy410GK4t6e1wnHkXzpSwqPwbb/h2F8z9sZH90KSbm2x4hPW4uaS
Cpfk2AdWjIYpUyB9uWvujYFhEYu2FhnpepEbo0UqnGmi7nY8Bcipe/uJWMfUd0tkMxmrDDMY/PgD
8/nodOpNOcJA1euM2HklIOvK74fGp5ZEip2nXGGNBVL/YnpS4W8+dyRYe/Ai0+0mVOHcBt+vqKBw
A6xOkZcouLNPHR/tA5z+ThOnUd0NvslK1FY7BB1Vgfu4AhPX79e6f9H7HRW/WWBDso6MpASN7NQn
ZqJcZkPN7WmHcKT+Be9B4glpqx5Bb+BqHR1RsFwCRRTzrj+8JppUwrLiiEyAhcksWOXkQSpd5DRL
Igyh4e8icsYXhfVEW+rPAcgvsiFGBrIrJ0vupk7WkN7kFwNH9kgNup7/Dvv+UgDQI9Bp3wNE8/vJ
Qw3rYD7p/QbTvtEPzrqm5oLEXRObv9Iars8jrsnwJQi9JCHfEvy/Zpq7fmkvAPq+ze9/1ajaSej+
j8xVFpMSiiYQ8C0nvWWcFqVe+3yzBm1j6R57EkF7SZlh7OLrqBEv9bygNvk7l025TgL9LIVDPtkX
AlMWZfEJ2bXrWqUkDD1+wTYjPXQUvoTrKab8t5wyWg8SVVQbRiCT5+MP8ShfKgaDvuV/40VELz+p
LihJQfbg2IdRC29XBGeVnlhwhSPpE3KXVCPl5ZjwgXc6cS7OVwBn50JIy0lErtdqdAopRtXyoANC
+nsV0+rFq3JCsEAXrsfTW2yoXrMnoABqY+V6Rv4C/wd4i1aoAMp+sgKgVMVpRA7QlBRLoCAjzrF/
jiPqfrpE9WM2yNPW/P1v73UQCOitYKQmeKE5D0VnfbB8yrlhKYElulMkI+0tfSdxJB5+tVTI8RTI
J46CsXxjPoYHQkhtZuYUWmWXcgH3D9kf8iFub7ZKZHgDz9BFBh7gyRXXyX5Zdo1uhhMjH8nBUDoQ
mEMbPjVIvmmCf33HGlROPfG+avNhyVz570/9XjJMOvOJPU3HlBtSQoE6zs33xFyJCOObvzcQCJG2
aZVtSEfPBbUVI3IWTMBpkMKU4gQEbBiNQdqnmfjLvOcIJ4pag2zIOoGa23QbGC5fk3DNCZlljHhu
l1EayU8CQCoFW5R49Dba7Ic5Wb5vxEwLpssaqCPKMKDyBL9dh4GZKsLpTYlHcaRYCRocL0LrTLjY
cxFBmOuv+2gCj6z8lXG5NY/0kNQcd/+LOsYvvMAP7tGvQYm3SNRPReBZIfbx1NseTxLuLb/da/KJ
N1ZyzGrugnyChiV2/k0XRoozzu3i9tZ8L+soqLnZv0dPV+b9HBCugg/yQ1m6f4910Twi1nEzBs/B
QbF3VWpcOGoUm5p9fcILVRe9gk2fVh7JyM8dBsVi1HwJMcnxoUuWCzLqXgRVU5pF4z5QxQOim6M/
/gPWCuhV+pvogyEUrpH14U+mycKeMMXGogT0hRppCovDZgDavSlp1nlPe2kl47Q3NB6fH9/+ekOJ
rNWIEJtw/77kqXnQYQGr2guqvZqnyUZ14+ONEmBPeZHm8jhW+UQHsRk61zLNaxrJSf0XPNuV58nK
fAiB2yUy0TpzQ+qcuwjBUEoIxDqhO+K9nnPJUhSOfxzk1OPTkZxMhr9KId88i8v9DzWJyNHvIaLN
KOzhFFRDn1LO49iqeZfabx0Fqu9jj+R1xG9jLMReOz/+EVcEopTnSen2SgOBR+yFMDHc4bcJl1bu
8StrXNQOHG9CcVaWTTyfM6VUdC+YhI+gq2IUykfnqv8BNOq9FcwGKI1rBrnZKIarvOt7Ox+4O4W7
6fLp4OC11RL4jemMTFekYNM3+bwko4NZOcE9Hgh/IgzS3D/vg9wOO5qoPm0WMTTyBU4tEbEK9TMR
0C6b76r4WraPe/w6cDZKU8et8pdhftc393/xONVo6yQp22BrW7W7shIFBU/ye+iXTiK3omLftWv2
UUaY4uZJ19Pj1KKi7m+C5BFEKaDafpbVmdgdtN/OG3BMY015mHf9DawcUE/4P4vh9mJTMsXl0a7G
owb/SKrLWo1SO6tiXczT6dlzLaberrflmdPWOzxRVT4g+kth93PhesenGDq4O7uf8e+tIRQ7opSb
TiwR9kCP9BekwiYg2fT72UFGozMOL8/HNhjWMBSl/jMQxP4edpH9rQz/vUA8GF/ixNDDrPrNoLes
yXC1prvqJIYsrfrdzaoCYild0p1R4CemRPopfqqwJRXxwwLDWFksfqKxLvvZz8JA0uz70LSSQmEi
YOtBnoHakvM9/yFjprI9oEAEKtOoK/0N0Hv6zNHyp/UbMX9O0JF6iDemf7RvyqWu0Y+pza5M4CGw
x01VtrxVlxmGc941ZsBmH0tD3AaSydL6wVhzhd2Ujo/KYpSRUgTfNMdxZLLwFZBidyKc5CHmlnmi
PIwqw7VvRfsGsqQwHSDNSciJ9/nApAViPFTxDTiPzfFRjX8VmB0gHdil5x/4jSpQ+l24n5C4l7S4
K0LWz7DeDZLjDBMXlU3O3ZR4KhkYMjdlw37mjVjOK6W+339Dgz2Ft4qzXqKUA/ZGY6wJeJMHJwcv
aIwSG3SzixxwbKT7ueRbtrLPsvdHmZIF9b8ZOxypm1BXKxuZ8ZO5z5zCb5RnhkWoouZMRYexuBCN
K8bVb0DXbBLVx42pjwg2d9Rstqv3KMLxkIVyaH1+pBMH9o0tXtEqEaZhlDd69RoFbrxeEJAB2Z3S
qSb9yO1/UCIOes0WnZjgdxBb8a+NyHIzrylK70oua43cGVTGmHToqQavumxBhXl6QAP+jGP0HyqJ
ZKLTg+eeGsNb4jVzG0ND/UVOQZVtIqGq+/BJqQbY7fnLi6vb4OZkTYkIpYmLDrN9i3T3Zv5wkIhb
bFesC6DtCFJEb8EYYun7JZ2+mblPfsNLQmcC/Ra8eOvjNxPoGJshRr+v6dS2UOgHaILWxRlA+7E/
pdsfzU/J6zLpCHyoZ5EbtqKkyOgI0H8ImG4VAfR+YHdkaGhz5pPzdInbIldNl8n9kTwjCvoytVhZ
auX5mrq5AYrRXY9aqIvHg0NPGYt5fG57KPBLGhu4QLzil54zV07mUQ6PaZ+TtU6vBJeUnyzfRw5p
gSPrnGub3hbkGy7oaFINsdoIM4vYhdp80TFNLKatx4RpkJ2p3JnA1+rAZbpMnbDkcdvZTvBfXuWV
EAUeFdop6EElXsHK2sBAY0I+jHxjhL8v+uydJ0Mtu/24RnYebsamFVu54ODcmWfaqS73dBFUyHCG
f7cccuQHZrQPzd5l6tZFcwImkB7EkS9DKsMfjAAm8Ly6IDDLdvRGP8MTyKz9ZYllt4RCtD7d51KE
LMZ8aBk2rTCWdIM3DGxYViDzx+MQO/beexzZni6QLoXaOcxO0ZtAm6jelK/Z+qJWy2ZHwXcjPXSq
UIfzCjDYNuvLLzdzZfOja+8xp9qqlSEgwZxJT5/oy8A3/DhqdmXDWJE37T4eZjwb4j8ZA/Z8/DZX
ruVB/k8qzPfFtH876p4hhQlqjaFqmWxQ/zBevroLloNqPXX8g5EoAI9FC0LiWrx/3bDu+Q53rUw3
p3HpQ3KgpZGDvkm1ilulVN54SEHyPH5pUsZ7kdfw0EiTKryaggETK8yvZD5564bQ3mYs1TzAt3FT
oF5JnnN/WUgqBSKnUAjM986kAdUn2ssD3wCfAWgRjog+wY1+wzirK14hFUKZ4YQSpMc8kQOvV6L/
xMSmpnUpImOke4fnILUTJ/q1smzXIaoUDvZATHHIqfODxvu9jjxxd0yS/e6N8kq+t9Aqs4QhvGNe
K3hO9U9r2pd+GmqMA22Ne023T+xq/5031gKYMbWkKSsIcU21m44ATTMES0/5o5AsnF0QC4EGIxcU
06pAUhhrbe2sCw1fetB/x6GoS6egWvLAoWcfnqdHFyIHkvtvxBX7LlkeILavAFQWkjksihKG1DOz
JX2fvTBRFPOThKHMgOMxC7XpMInLlcKv8queRGcTkuRRI84kOL14ho6OScq/8JsdFLSvxASR+aJ3
Rgmh8CrClSueKZJqXMvOeXAySGovmAPQuVRaEEvNrrWTsw3Y/YQX6HxhMYwuKLPtYd255vg6KUom
ztcIVOK5YFLO5qEZhys5Y/tXoXCkmMRV3A/5VKRbyWdf4OzVCzV5h6KCJs4dyBXcNC3AzXl3t2lQ
vAaoYx7ti0w5NyNphC4AXnZj2YUT0ZLOIq/xE9ex5ABHj2fnbSsnJ66jIhozsKbxLA7KimDYysLi
HaVCqoP8IdrV2WX15TabZPyZ93dXqp1EFgqDqvkYyyyTx1qyYHNWBZHBST7Epayt+nn8hecgtsu3
GpolOkp0Uyfn/dR/1D0piqKzuM55CNlQPg6YU1sqDpyLVoH+07EGLcd9DT6wYaQe3nIf1sWEiV8f
eB2GdF1SG8nsHtclUfWE3SDikn99uuQUpKx3Dmi434KtWCRBhhkixNpGY1mL8v3PkGq+aV61TYn9
eXzOyO6wB73aylUtgdxeQ9sA3o0oCCEdN897mfJtJJ7O+AuS4RUTVTm1Lr6CFsIBecq3Ygi6bqJS
eaXlsFpOO57BydJDP6HiY9gxAIJ48+iFPvpW3gq9FzMFHwvz7UWXwAFsLAtox4lkiWcHdXn9ocnX
0UsArnpTwehW6tQr4SU553YuML77wavoaN8iPIgRXu/tGnSFqEW3Hu1MXfd5Ml/AkJwslvD8qa2C
LaCXQe1fQ4mw5cnmKwRn3WLyfh1n2dV2zbKjVXEUlW0VIiaUvSmHNbST9FKA+HXoj8YquE35oGLj
GpybA7Vm4/GUxixUmH0M/6B+GL/kRnyeRVCyuXEcVMZFrdsOp9a/l5fjrSFLJ/9PhyHHhqXbzMGi
xw8bYZ+TI6VxADEEDfzv0sD+70+nYuTUpkssKWrYzNzVTNQdD6loVM0IhRgnNOta6bTVYGPRl6qc
nT4KQFc5BXT24DmdX8a0YDsRA8UFvE7kZdrQTUnunQZlzABfqrKbkRL7S2WxfwdBAEOpfGyfjELB
4prIzok8MJRwQlcJnnVvG74n9NumqAopPR/rhH0DaApJnJM0CEZJcL/afIedD73tqwvMM9R+jR8t
UDLHDo/zv0K2eZRMOdZmtEuxdtsOMFhPRFVrPF8evJ2PNxx84ki1iTW/SVREQuUzDSR5CAbHUUc6
jKVu49yXxRYutVPNdYu244j453GC+/Z+9LFOkpLscm/TfmdBlcuzkJDy3rx2LA8Wjr/xC/D39Wl/
KKWzzXR9xDI5/HCIsnmQt0/71u5JJWgeur5wDsXzjdLiG6J3br4buqwbPYalv2lkunPXFr6qMVh3
QKHq1ay9j6oOEFZ0JXlxX3jKSOT7mM3BGytgLixoWlEAW1kjf6KdWd0uLjgmIEEZRRRfThq6HO99
6u7Wl/Y3S4NY0kjt0ka8q7aKKYQkLHZ80WBuXcZx9P4wC+ky5I5+8dtI0ZfQcUAKKjF3r5knSpUd
RVEUukcQTxcM8xREbGueAEn3N3fSa7BYS4CQOCFVKBVvCM3FiM0CcrSW4ePSmLFKSXnwH6esZyze
rJkmSgZU4DnnGCNK9vqTKN91XHm6BaIxl9Z1vncL/DgQ+axeEr7ZomuScGoc7SHi3IIiY13F3t+G
C98cdDSj+iS5EijyKvQ/WbNOIewerOyp+X9/Ct5wEzoSUObAAhIORugc6eYEPiek/DBFI8y+o12z
SHBcmzOvEPPiiYw+X4HYPsVJcy9GRqhBD7/biDvp5pU1lzVElYcbjn75Ol09L7WitOiUfmPBoels
WEzGv3bGQz3mhPjVareZdlysMkTVDi6sZ5GyA1VL+JVHPjuPibO5Zws9YawrFEcfc0nW6Dxa49hu
UGTHNaGvPZJXKmVaqsPkeSua3jubEzs9EkyjfhubJIUnBO6MQP7d8TeSAP9kYrpLH9kghFm6HmXq
XRU92W/nvSJIQPxJOkeS1NUXuqRUtz0/CR6otZNIR84QA92zcn5ua50BX1ool2GfAaIzwyhTA3jz
dvAqMhZQh9FwPY/Q3LGz5kNtRLtJXAUzXRSxGtZKosqd/JzT2uxoFQTTmya/y4ykuztzTHBmpEZC
i6VRxUgZAhvKI6C9cO3BWtg9g8XE/6/V4ry1Xn6BXvWLJVO5pjtVxSEjVXkLvo4cWRqnmU4li2eM
EZPlt/fra9+Xp1dBkMlJIrOraGOtvVbH1tB4tzJX/f9kVamPStmvhxH65OZDIcaD2PSyWN5Js11F
2T3wr46PtaHnUOJkbLeqrsfmYsbEbArM0XxRSaMiX3B0//X9i5v/Q+dIfhuvY8k6xDegsHcVZz6x
GP5+c2vIMAZR9eGMA4wbxSValgbOJk1Fvn9XV+zVdlhZwA8LDODZAcY7UdUpg8YQQ9wjLQ7gjsW2
uUPcU9jQShaLx0qxwcpbbKS5w+NFWp3fJJQb2UbDm0s+9irVpX8ShsgfRExB72lT1H3G
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block
wSnbWrCNlW89Hl8c5qLI8x5XsG8/CghupqMtsGERdMSXa3EK5J/Qit0WHm+njR821JFwXT565GTk
PfQmbPHqNCS0UKLtcdc3PZz0/PLyHx2I+i3o31d/LEWCSvVej8q9Im/IsuTfRijqwwpHV38mRUJu
zFppdNstRebIZC07b2wx4/Zw/EX4cSUu0lNqeHBvLS2bm6IdBe4MgzTchruIq08TrolYM2Pec74I
8AurMryqYhC6o/Ybr8S5oyAQKm3bDPQMozGLd2GswnXOpVxdQR7+Hcyfq/5aTzsLwGcNe2JCa9i8
0p/PpVMLrZWBL9KT3BXC7LiYyvbQaSj7GlTH5LlBKPsT/zboxVe8l8P0bRe4dyxRXzeeXPmsxiOF
0/97i6LbZCS1yzEDwEIGqWsQfBYSuYjMhqx8QLIPe7l4MS6pMtVm1wAwbZnR8MvKCKwrridhlL0a
sYEM4o5StHOo/sLWgJlTvYzV4wBL1kYSyuAWv5J2XUHvmseGIdNHnQk/EHV2Q8USm6z0OKXDAyPU
Sh9aHmD9LCdkDiyrAJGrai5dRFUJ3Ddo8PUjEdkVX5acXglzprK5M9di71HwWMt5aZ0Wi/SF68sY
UXFKCBlotPMyX1Rn9f+qiGldR2tYWjyGjaeTqzgFYeTDOxuNZ9gO/D+s8NmGVG7VaSGpNswKgdiP
NbcOO8Nq2ovne7Rfr6W5Gcc6ZaQI3aXrPm5aRP+LkyT5kSCO1bNOJ4X/klsW3zGGL8Vj3wS0zdci
2dUa6AaFKd12zun70jAFPzUE5cTTAbFsMtClV9Pq9x/yrZt1elEvkGg9M4qPjj/U3+L0VPjb3Mh4
jcxEwGjb4ogTCeX0kg2z+xYoihuXrU3c07HF8yg2tM4y6HagSoYplt/3TuLuBovINEnhdIW7+Wo7
wwEIEDtWtG468YEvpPnMVZL8DQPbEFRaN7ggyg3ajrUmGdEtPcRMeD9jTiRqin2dbsO0Awpz2Rw2
fX2w6bcZuUP3r1GAVYOP/fx8tPq58+IOCQkm0F65Rto25RJaO57YXZxa+tdHA9BBpp6EA37TfFhe
GGQoH92qkzX94C97QPn9a0uRzBIZzUXMXXZUhEbG1W309NbQetXemgpFw0Te23Dj2PSYua5lmUIm
3lYjodOPINOD7P8upsOuPgxvF0mVRPbv1EtF7G1dl7MYCqLDh+ES1/GE4C+Q3Glrcgn2xP4XJJjJ
VSXnkskBosP/n19w6EzI7LlEFn6VupIkTa2s91ujn8dFvwNjW3eu7SqSR7kPuoQT/uUctwSnLu0B
1r6df9edkDQmkEkI8rbERFx6Xy410GK4t6e1wnHkXzpSwqPwbb/h2F8z9sZH90KSbm2x4hPW4uaS
Cpfk2AdWjIYpUyB9uWvujYFhEYu2FhnpepEbo0UqnGmi7nY8Bcipe/uJWMfUd0tkMxmrDDMY/PgD
8/nodOpNOcJA1euM2HklIOvK74fGp5ZEip2nXGGNBVL/YnpS4W8+dyRYe/Ai0+0mVOHcBt+vqKBw
A6xOkZcouLNPHR/tA5z+ThOnUd0NvslK1FY7BB1Vgfu4AhPX79e6f9H7HRW/WWBDso6MpASN7NQn
ZqJcZkPN7WmHcKT+Be9B4glpqx5Bb+BqHR1RsFwCRRTzrj+8JppUwrLiiEyAhcksWOXkQSpd5DRL
Igyh4e8icsYXhfVEW+rPAcgvsiFGBrIrJ0vupk7WkN7kFwNH9kgNup7/Dvv+UgDQI9Bp3wNE8/vJ
Qw3rYD7p/QbTvtEPzrqm5oLEXRObv9Iars8jrsnwJQi9JCHfEvy/Zpq7fmkvAPq+ze9/1ajaSej+
j8xVFpMSiiYQ8C0nvWWcFqVe+3yzBm1j6R57EkF7SZlh7OLrqBEv9bygNvk7l025TgL9LIVDPtkX
AlMWZfEJ2bXrWqUkDD1+wTYjPXQUvoTrKab8t5wyWg8SVVQbRiCT5+MP8ShfKgaDvuV/40VELz+p
LihJQfbg2IdRC29XBGeVnlhwhSPpE3KXVCPl5ZjwgXc6cS7OVwBn50JIy0lErtdqdAopRtXyoANC
+nsV0+rFq3JCsEAXrsfTW2yoXrMnoABqY+V6Rv4C/wd4i1aoAMp+sgKgVMVpRA7QlBRLoCAjzrF/
jiPqfrpE9WM2yNPW/P1v73UQCOitYKQmeKE5D0VnfbB8yrlhKYElulMkI+0tfSdxJB5+tVTI8RTI
J46CsXxjPoYHQkhtZuYUWmWXcgH3D9kf8iFub7ZKZHgDz9BFBh7gyRXXyX5Zdo1uhhMjH8nBUDoQ
mEMbPjVIvmmCf33HGlROPfG+avNhyVz570/9XjJMOvOJPU3HlBtSQoE6zs33xFyJCOObvzcQCJG2
aZVtSEfPBbUVI3IWTMBpkMKU4gQEbBiNQdqnmfjLvOcIJ4pag2zIOoGa23QbGC5fk3DNCZlljHhu
l1EayU8CQCoFW5R49Dba7Ic5Wb5vxEwLpssaqCPKMKDyBL9dh4GZKsLpTYlHcaRYCRocL0LrTLjY
cxFBmOuv+2gCj6z8lXG5NY/0kNQcd/+LOsYvvMAP7tGvQYm3SNRPReBZIfbx1NseTxLuLb/da/KJ
N1ZyzGrugnyChiV2/k0XRoozzu3i9tZ8L+soqLnZv0dPV+b9HBCugg/yQ1m6f4910Twi1nEzBs/B
QbF3VWpcOGoUm5p9fcILVRe9gk2fVh7JyM8dBsVi1HwJMcnxoUuWCzLqXgRVU5pF4z5QxQOim6M/
/gPWCuhV+pvogyEUrpH14U+mycKeMMXGogT0hRppCovDZgDavSlp1nlPe2kl47Q3NB6fH9/+ekOJ
rNWIEJtw/77kqXnQYQGr2guqvZqnyUZ14+ONEmBPeZHm8jhW+UQHsRk61zLNaxrJSf0XPNuV58nK
fAiB2yUy0TpzQ+qcuwjBUEoIxDqhO+K9nnPJUhSOfxzk1OPTkZxMhr9KId88i8v9DzWJyNHvIaLN
KOzhFFRDn1LO49iqeZfabx0Fqu9jj+R1xG9jLMReOz/+EVcEopTnSen2SgOBR+yFMDHc4bcJl1bu
8StrXNQOHG9CcVaWTTyfM6VUdC+YhI+gq2IUykfnqv8BNOq9FcwGKI1rBrnZKIarvOt7Ox+4O4W7
6fLp4OC11RL4jemMTFekYNM3+bwko4NZOcE9Hgh/IgzS3D/vg9wOO5qoPm0WMTTyBU4tEbEK9TMR
0C6b76r4WraPe/w6cDZKU8et8pdhftc393/xONVo6yQp22BrW7W7shIFBU/ye+iXTiK3omLftWv2
UUaY4uZJ19Pj1KKi7m+C5BFEKaDafpbVmdgdtN/OG3BMY015mHf9DawcUE/4P4vh9mJTMsXl0a7G
owb/SKrLWo1SO6tiXczT6dlzLaberrflmdPWOzxRVT4g+kth93PhesenGDq4O7uf8e+tIRQ7opSb
TiwR9kCP9BekwiYg2fT72UFGozMOL8/HNhjWMBSl/jMQxP4edpH9rQz/vUA8GF/ixNDDrPrNoLes
yXC1prvqJIYsrfrdzaoCYild0p1R4CemRPopfqqwJRXxwwLDWFksfqKxLvvZz8JA0uz70LSSQmEi
YOtBnoHakvM9/yFjprI9oEAEKtOoK/0N0Hv6zNHyp/UbMX9O0JF6iDemf7RvyqWu0Y+pza5M4CGw
x01VtrxVlxmGc941ZsBmH0tD3AaSydL6wVhzhd2Ujo/KYpSRUgTfNMdxZLLwFZBidyKc5CHmlnmi
PIwqw7VvRfsGsqQwHSDNSciJ9/nApAViPFTxDTiPzfFRjX8VmB0gHdil5x/4jSpQ+l24n5C4l7S4
K0LWz7DeDZLjDBMXlU3O3ZR4KhkYMjdlw37mjVjOK6W+339Dgz2Ft4qzXqKUA/ZGY6wJeJMHJwcv
aIwSG3SzixxwbKT7ueRbtrLPsvdHmZIF9b8ZOxypm1BXKxuZ8ZO5z5zCb5RnhkWoouZMRYexuBCN
K8bVb0DXbBLVx42pjwg2d9Rstqv3KMLxkIVyaH1+pBMH9o0tXtEqEaZhlDd69RoFbrxeEJAB2Z3S
qSb9yO1/UCIOes0WnZjgdxBb8a+NyHIzrylK70oua43cGVTGmHToqQavumxBhXl6QAP+jGP0HyqJ
ZKLTg+eeGsNb4jVzG0ND/UVOQZVtIqGq+/BJqQbY7fnLi6vb4OZkTYkIpYmLDrN9i3T3Zv5wkIhb
bFesC6DtCFJEb8EYYun7JZ2+mblPfsNLQmcC/Ra8eOvjNxPoGJshRr+v6dS2UOgHaILWxRlA+7E/
pdsfzU/J6zLpCHyoZ5EbtqKkyOgI0H8ImG4VAfR+YHdkaGhz5pPzdInbIldNl8n9kTwjCvoytVhZ
auX5mrq5AYrRXY9aqIvHg0NPGYt5fG57KPBLGhu4QLzil54zV07mUQ6PaZ+TtU6vBJeUnyzfRw5p
gSPrnGub3hbkGy7oaFINsdoIM4vYhdp80TFNLKatx4RpkJ2p3JnA1+rAZbpMnbDkcdvZTvBfXuWV
EAUeFdop6EElXsHK2sBAY0I+jHxjhL8v+uydJ0Mtu/24RnYebsamFVu54ODcmWfaqS73dBFUyHCG
f7cccuQHZrQPzd5l6tZFcwImkB7EkS9DKsMfjAAm8Ly6IDDLdvRGP8MTyKz9ZYllt4RCtD7d51KE
LMZ8aBk2rTCWdIM3DGxYViDzx+MQO/beexzZni6QLoXaOcxO0ZtAm6jelK/Z+qJWy2ZHwXcjPXSq
UIfzCjDYNuvLLzdzZfOja+8xp9qqlSEgwZxJT5/oy8A3/DhqdmXDWJE37T4eZjwb4j8ZA/Z8/DZX
ruVB/k8qzPfFtH876p4hhQlqjaFqmWxQ/zBevroLloNqPXX8g5EoAI9FC0LiWrx/3bDu+Q53rUw3
p3HpQ3KgpZGDvkm1ilulVN54SEHyPH5pUsZ7kdfw0EiTKryaggETK8yvZD5564bQ3mYs1TzAt3FT
oF5JnnN/WUgqBSKnUAjM986kAdUn2ssD3wCfAWgRjog+wY1+wzirK14hFUKZ4YQSpMc8kQOvV6L/
xMSmpnUpImOke4fnILUTJ/q1smzXIaoUDvZATHHIqfODxvu9jjxxd0yS/e6N8kq+t9Aqs4QhvGNe
K3hO9U9r2pd+GmqMA22Ne023T+xq/5031gKYMbWkKSsIcU21m44ATTMES0/5o5AsnF0QC4EGIxcU
06pAUhhrbe2sCw1fetB/x6GoS6egWvLAoWcfnqdHFyIHkvtvxBX7LlkeILavAFQWkjksihKG1DOz
JX2fvTBRFPOThKHMgOMxC7XpMInLlcKv8queRGcTkuRRI84kOL14ho6OScq/8JsdFLSvxASR+aJ3
Rgmh8CrClSueKZJqXMvOeXAySGovmAPQuVRaEEvNrrWTsw3Y/YQX6HxhMYwuKLPtYd255vg6KUom
ztcIVOK5YFLO5qEZhys5Y/tXoXCkmMRV3A/5VKRbyWdf4OzVCzV5h6KCJs4dyBXcNC3AzXl3t2lQ
vAaoYx7ti0w5NyNphC4AXnZj2YUT0ZLOIq/xE9ex5ABHj2fnbSsnJ66jIhozsKbxLA7KimDYysLi
HaVCqoP8IdrV2WX15TabZPyZ93dXqp1EFgqDqvkYyyyTx1qyYHNWBZHBST7Epayt+nn8hecgtsu3
GpolOkp0Uyfn/dR/1D0piqKzuM55CNlQPg6YU1sqDpyLVoH+07EGLcd9DT6wYaQe3nIf1sWEiV8f
eB2GdF1SG8nsHtclUfWE3SDikn99uuQUpKx3Dmi434KtWCRBhhkixNpGY1mL8v3PkGq+aV61TYn9
eXzOyO6wB73aylUtgdxeQ9sA3o0oCCEdN897mfJtJJ7O+AuS4RUTVTm1Lr6CFsIBecq3Ygi6bqJS
eaXlsFpOO57BydJDP6HiY9gxAIJ48+iFPvpW3gq9FzMFHwvz7UWXwAFsLAtox4lkiWcHdXn9ocnX
0UsArnpTwehW6tQr4SU553YuML77wavoaN8iPIgRXu/tGnSFqEW3Hu1MXfd5Ml/AkJwslvD8qa2C
LaCXQe1fQ4mw5cnmKwRn3WLyfh1n2dV2zbKjVXEUlW0VIiaUvSmHNbST9FKA+HXoj8YquE35oGLj
GpybA7Vm4/GUxixUmH0M/6B+GL/kRnyeRVCyuXEcVMZFrdsOp9a/l5fjrSFLJ/9PhyHHhqXbzMGi
xw8bYZ+TI6VxADEEDfzv0sD+70+nYuTUpkssKWrYzNzVTNQdD6loVM0IhRgnNOta6bTVYGPRl6qc
nT4KQFc5BXT24DmdX8a0YDsRA8UFvE7kZdrQTUnunQZlzABfqrKbkRL7S2WxfwdBAEOpfGyfjELB
4prIzok8MJRwQlcJnnVvG74n9NumqAopPR/rhH0DaApJnJM0CEZJcL/afIedD73tqwvMM9R+jR8t
UDLHDo/zv0K2eZRMOdZmtEuxdtsOMFhPRFVrPF8evJ2PNxx84ki1iTW/SVREQuUzDSR5CAbHUUc6
jKVu49yXxRYutVPNdYu244j453GC+/Z+9LFOkpLscm/TfmdBlcuzkJDy3rx2LA8Wjr/xC/D39Wl/
KKWzzXR9xDI5/HCIsnmQt0/71u5JJWgeur5wDsXzjdLiG6J3br4buqwbPYalv2lkunPXFr6qMVh3
QKHq1ay9j6oOEFZ0JXlxX3jKSOT7mM3BGytgLixoWlEAW1kjf6KdWd0uLjgmIEEZRRRfThq6HO99
6u7Wl/Y3S4NY0kjt0ka8q7aKKYQkLHZ80WBuXcZx9P4wC+ky5I5+8dtI0ZfQcUAKKjF3r5knSpUd
RVEUukcQTxcM8xREbGueAEn3N3fSa7BYS4CQOCFVKBVvCM3FiM0CcrSW4ePSmLFKSXnwH6esZyze
rJkmSgZU4DnnGCNK9vqTKN91XHm6BaIxl9Z1vncL/DgQ+axeEr7ZomuScGoc7SHi3IIiY13F3t+G
C98cdDSj+iS5EijyKvQ/WbNOIewerOyp+X9/Ct5wEzoSUObAAhIORugc6eYEPiek/DBFI8y+o12z
SHBcmzOvEPPiiYw+X4HYPsVJcy9GRqhBD7/biDvp5pU1lzVElYcbjn75Ol09L7WitOiUfmPBoels
WEzGv3bGQz3mhPjVareZdlysMkTVDi6sZ5GyA1VL+JVHPjuPibO5Zws9YawrFEcfc0nW6Dxa49hu
UGTHNaGvPZJXKmVaqsPkeSua3jubEzs9EkyjfhubJIUnBO6MQP7d8TeSAP9kYrpLH9kghFm6HmXq
XRU92W/nvSJIQPxJOkeS1NUXuqRUtz0/CR6otZNIR84QA92zcn5ua50BX1ool2GfAaIzwyhTA3jz
dvAqMhZQh9FwPY/Q3LGz5kNtRLtJXAUzXRSxGtZKosqd/JzT2uxoFQTTmya/y4ykuztzTHBmpEZC
i6VRxUgZAhvKI6C9cO3BWtg9g8XE/6/V4ry1Xn6BXvWLJVO5pjtVxSEjVXkLvo4cWRqnmU4li2eM
EZPlt/fra9+Xp1dBkMlJIrOraGOtvVbH1tB4tzJX/f9kVamPStmvhxH65OZDIcaD2PSyWN5Js11F
2T3wr46PtaHnUOJkbLeqrsfmYsbEbArM0XxRSaMiX3B0//X9i5v/Q+dIfhuvY8k6xDegsHcVZz6x
GP5+c2vIMAZR9eGMA4wbxSValgbOJk1Fvn9XV+zVdlhZwA8LDODZAcY7UdUpg8YQQ9wjLQ7gjsW2
uUPcU9jQShaLx0qxwcpbbKS5w+NFWp3fJJQb2UbDm0s+9irVpX8ShsgfRExB72lT1H3G
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpZqUX7RHqqBov6r9sp19cCgAmwWMQKz/kilwg6KfQHVNd7thNhiMjNr9jWB5lhCnXS2Dmq96KWe
V2+V1FG8hw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eHZEt9aF2k9bUkzJgCuA+q4yfEhMdqCEDNKyWFDaQseZ/ofqbFQAQc2uVVXTRkEXQs+GrviVm+j7
2wxr0JrS1Xw60RqMKKhLpfqRVe2BmFAKgU2BRL0PnA5WtTOSGCOmSJGfPa08juK1otVgwc2Gzis9
06D0/bVknfjjRpJI8Po=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s0TU3tsqHiK9WgquIx4poaAXQ17I+2l5Vqn12DnbEwMyPpn0YeINJkDaKFxRf41aPK1Wkun6v9Z/
YYZDqYBgVO9Z0NMkbD4LC5C9cZSBdk4ezqdUWACnMS4IR+6qI0nvPM6pNZernzgmYtMGFsG0h7AO
2CLMNIzANr+bYhHkAqpdx/KPtV7Deh8xOAkQeNSD+8rjhU0z6Gg+2FjdPjkTgWwsP8xrTSENuxiw
xPh+QM3dvd2tDQbC1sSMu3CzeLQh9mMzJ/R1uFQDv4VC1TFFFPI7VMPMlrl3y0ondyZNERO3SeHy
Mn6aVbKjlR68QJuFwdsz80LSh3ZTJ+foTk16ug==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
uIfIqnJL93Nk48nDUNvQ46MGSw+0jZe8QEp6D5vC3ytHCm6yvGspxOPTR0O/6R1kGtbYGX5AVD6b
KvoAJRDP7Wr2E6PTOWfFxWtEHCKiApDz7UksHM1gqF0d7SCMfsYR0KKn9LnLJiQxmEJD5y64ve5y
9s0qEeMi9k4HxMVPc9k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XH+fS8ngHwfDFxF50DT7MdOHeXbY/uKmg7Eva1j7eQ+2X+a34Rn17d34wKLf1Z56AIT4ksXzo17E
WT5KT9rKAQNao71yUm+YQAunOwqKEPRyxOz3bb+3Zvx3y9p+F7xTeZFLan3KtqwByX5rGkNJtGjN
oI8H+T5FEpTIirQ9oxghooMSVVhKX8RsayssyrgajR3SSX0Q0ggoCOy3XtjsFKfrcDNlt7iEsMAt
+8vV+volJUxGGSYbt9ATDx7fk+pYKVnFR1jV5fEpxyqiZQoGjkjsnbN29jqgiZBfhyEe2uAb7sF2
RnfrEGY96pFoR0k3gse3XEc9radVftI75N7ROg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block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`protect end_protected
|
--Part of Mano Basic Computer
--Behzad Mokhtari; [email protected]
--Sahand University of Technology; sut.ac.ir
--Licensed under GPLv3
--FlipFlopD
Library IEEE; use IEEE.std_logic_1164.ALL, IEEE.numeric_std.all;
Library manoBasic; use manoBasic.defines.all, manoBasic.devices.all;
--edge Trigered
entity flipflopD is
port(
D : in std_logic := '0';
CLK : in std_logic := '0';
CLR : in std_logic := '1';
Q : buffer std_logic := '0';
nQ : buffer std_logic := '1'
);
end flipflopD;
architecture Structure of flipflopD is
signal s,r,rs,di: std_logic;
begin
di <= D and (not CLR);
Q <= s nand nQ;
nQ <= Q nand r;
s <= CLK nand (s nand rs);
r <= not (s and CLK and rs);
rs <= r nand di;
end Structure;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.usb_pkg.all;
entity ulpi_tx is
generic (
g_simulation : boolean := false;
g_support_split : boolean := true;
g_support_token : boolean := true );
port (
clock : in std_logic;
reset : in std_logic;
-- Bus Interface
tx_start : out std_logic;
tx_last : out std_logic;
tx_valid : out std_logic;
tx_next : in std_logic;
tx_data : out std_logic_vector(7 downto 0);
rx_busy : in std_logic;
-- CRC calculator
crc_sync : out std_logic;
crc_dvalid : out std_logic;
data_to_crc : out std_logic_vector(7 downto 0);
data_crc : in std_logic_vector(15 downto 0);
-- Status
status : in std_logic_vector(7 downto 0);
speed : in std_logic_vector(1 downto 0);
usb_tx_req : in t_usb_tx_req;
usb_tx_resp : out t_usb_tx_resp );
end ulpi_tx;
architecture gideon of ulpi_tx is
type t_state is (idle, crc_1, crc_2, token0, token1, token2, token3,
transmit, wait4next, write_end, handshake, gap, gap2);
signal state : t_state;
signal tx_data_i : std_logic_vector(7 downto 0);
signal tx_last_i : std_logic;
signal token_crc : std_logic_vector(4 downto 0) := "00000";
signal split_crc : std_logic_vector(4 downto 0) := "00000";
signal no_data_d : std_logic;
signal gap_count : integer range 0 to 2047;
signal rd_data : std_logic_vector(7 downto 0);
signal rd_last : std_logic;
signal rd_next : std_logic;
signal token_vector : std_logic_vector(18 downto 0);
signal long : boolean;
signal fifo_flush : std_logic;
signal busy : std_logic;
signal sending_sof : boolean;
signal tx_allowed : std_logic;
signal start_value : unsigned(10 downto 0);
signal start_timer : std_logic;
-- internal fifo is 3 bytes as it seems. 3 bytes is at max 40 bits incl. 1.5 SE0 EOP. at Full speed this is 40*5 = 200 clocks
-- at low speed this is 40*40 clocks = 1600
type t_int_array is array (natural range <>) of integer;
constant c_gap_values : t_int_array(0 to 3) := ( 1599, 199, 13, 13 );
-- XILINX USB STICK:
-- On high speed, gap values 0x05 - 0x25 WORK.. (bigger than 0x25 doesn't, smaller than 0x05 doesn't..)
-- TRUST USB 2.0 Hub:
-- On high speed, gap values 0x07 - 0x1D WORK.. with the exception of 0x09.
-- Samsung DVD-Burner:
-- On high speed, gap values 0x00 - 0x23 WORK.. with the exception of 0x04.
-- Western Digital external HD:
-- On high speed, gap values 0x05 - 0x21 WORK.. with the exception of 0x06 and 0x09.
--
attribute fsm_encoding : string;
attribute fsm_encoding of state : signal is "sequential";
begin
usb_tx_resp.request_ack <= (usb_tx_req.send_token or usb_tx_req.send_handsh or usb_tx_req.send_packet or usb_tx_req.send_split)
when (state = idle) and (tx_allowed = '1') else '0';
usb_tx_resp.busy <= busy;
process(clock)
begin
if rising_edge(clock) then
case state is
when idle =>
tx_start <= '0';
tx_valid <= '0';
tx_last_i <= '0';
fifo_flush <= '0';
tx_data_i <= X"00";
no_data_d <= usb_tx_req.no_data;
long <= false;
sending_sof <= usb_tx_req.pid = c_pid_sof;
if tx_allowed = '1' then
if usb_tx_req.send_token='1' and g_support_token then
token_vector <= token_to_vector(usb_tx_req.token) & X"00";
tx_start <= '1';
tx_valid <= '1';
tx_data_i <= X"4" & usb_tx_req.pid;
state <= token1;
elsif usb_tx_req.send_split='1' and g_support_split then
token_vector <= split_token_to_vector(usb_tx_req.split_token);
tx_start <= '1';
tx_valid <= '1';
tx_data_i <= X"4" & usb_tx_req.pid;
long <= true;
state <= token0;
elsif usb_tx_req.send_handsh='1' then
tx_start <= '1';
tx_valid <= '1';
tx_data_i <= X"4" & usb_tx_req.pid;
tx_last_i <= '1';
state <= handshake;
elsif usb_tx_req.send_packet='1' then
tx_start <= '1';
tx_valid <= '1';
tx_data_i <= X"4" & usb_tx_req.pid;
state <= wait4next;
end if;
end if;
when wait4next =>
if tx_next='1' then
tx_start <= '0';
tx_valid <= '1';
if no_data_d='1' then
state <= crc_1;
else
state <= transmit;
end if;
end if;
when handshake =>
if tx_next='1' then
tx_start <= '0';
tx_valid <= '0';
tx_last_i <= '0';
state <= gap;
end if;
when write_end =>
if tx_next='1' then
tx_start <= '0';
tx_valid <= '0';
tx_last_i <= '0';
state <= idle;
end if;
when crc_1 =>
if tx_next = '1' then
tx_last_i <= '1';
fifo_flush <= '1';
state <= crc_2;
end if;
when crc_2 =>
if tx_next = '1' then
tx_last_i <= '0';
tx_valid <= '0';
state <= gap;
end if;
when token0 =>
if tx_next = '1' then
tx_start <= '0';
tx_data_i <= token_vector(7 downto 0);
state <= token1;
end if;
when token1 =>
if tx_next = '1' then
tx_start <= '0';
tx_data_i <= token_vector(15 downto 8);
state <= token2;
end if;
when token2 =>
if tx_next = '1' then
if long then
tx_data_i <= split_crc & token_vector(18 downto 16);
else
tx_data_i <= token_crc & token_vector(18 downto 16);
end if;
tx_last_i <= '1';
state <= token3;
end if;
when token3 =>
if tx_next = '1' then
tx_last_i <= '0';
tx_valid <= '0';
state <= gap;
end if;
when gap => -- pulse timer
state <= gap2;
when gap2 =>
if tx_allowed = '1' then
state <= idle;
end if;
when transmit =>
if tx_next='1' and rd_last='1' then
state <= crc_1;
end if;
when others =>
null;
end case;
if reset='1' then
state <= idle;
fifo_flush <= '0';
end if;
end if;
end process;
crc_dvalid <= '1' when (state = transmit) and tx_next='1' else '0';
--crc_sync <= '1' when (state = idle) else '0';
crc_sync <= usb_tx_req.send_packet;
busy <= '0' when (state = idle) else '1'; -- or (state = gap) else '1';
g_token: if g_support_token generate
i_token_crc: entity work.token_crc
port map (
clock => clock,
token_in => token_vector(18 downto 8),
crc => token_crc );
end generate;
g_split: if g_support_split generate
i_split_crc: entity work.token_crc_19
port map (
clock => clock,
token_in => token_vector(18 downto 0),
crc => split_crc );
end generate;
with state select tx_data <=
rd_data when transmit,
data_crc(7 downto 0) when crc_1,
data_crc(15 downto 8) when crc_2,
tx_data_i when others;
tx_last <= tx_last_i;
rd_next <= '1' when (tx_next = '1') and (state = transmit) else '0';
i_tx_fifo: entity work.srl_fifo
generic map (
Width => 9,
Threshold => 13 )
port map (
clock => clock,
reset => reset,
GetElement => rd_next,
PutElement => usb_tx_req.data_valid,
FlushFifo => fifo_flush,
DataIn(8) => usb_tx_req.data_last,
DataIn(7 downto 0) => usb_tx_req.data,
DataOut(8) => rd_last,
DataOut(7 downto 0) => rd_data,
SpaceInFifo => open,
AlmostFull => usb_tx_resp.data_wait,
DataInFifo => open );
data_to_crc <= rd_data;
start_timer <= '1' when state = gap else rx_busy; -- we start the tx_backoff timer when we are receiving, or when we finished transmitting
process(sending_sof, speed, status)
begin
if g_simulation then
start_value <= to_unsigned(15, start_value'length);
elsif rx_busy = '1' then
start_value <= to_unsigned(12, start_value'length);
elsif sending_sof and speed(1)='1' then
start_value <= to_unsigned(22, start_value'length);
else
case speed is
when "00" => start_value <= to_unsigned(c_gap_values(0), start_value'length);
when "01" => start_value <= to_unsigned(c_gap_values(1), start_value'length);
when others => start_value <= to_unsigned(c_gap_values(2), start_value'length);
end case;
end if;
end process;
i_timer: entity work.timer
generic map (
g_reset => '1',
g_width => 11 )
port map (
clock => clock,
reset => reset,
start => start_timer,
start_value => start_value,
timeout => tx_allowed );
end gideon;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 5
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_5;
USE floating_point_v7_1_5.floating_point_v7_1_5;
ENTITY convolve_kernel_ap_fmul_3_max_dsp_32 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END convolve_kernel_ap_fmul_3_max_dsp_32;
ARCHITECTURE convolve_kernel_ap_fmul_3_max_dsp_32_arch OF convolve_kernel_ap_fmul_3_max_dsp_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF convolve_kernel_ap_fmul_3_max_dsp_32_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_5 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_5;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF convolve_kernel_ap_fmul_3_max_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_5,Vivado 2017.3";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF convolve_kernel_ap_fmul_3_max_dsp_32_arch : ARCHITECTURE IS "convolve_kernel_ap_fmul_3_max_dsp_32,floating_point_v7_1_5,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF convolve_kernel_ap_fmul_3_max_dsp_32_arch: ARCHITECTURE IS "convolve_kernel_ap_fmul_3_max_dsp_32,floating_point_v7_1_5,{x_ipProduct=Vivado 2017.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_F" &
"MS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0" &
",C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
ATTRIBUTE X_INTERFACE_PARAMETER OF m_axis_result_tvalid: SIGNAL IS "XIL_INTERFACENAME M_AXIS_RESULT, TDATA_NUM_BYTES 4, TDEST_WIDTH 0, TID_WIDTH 0, TUSER_WIDTH 0, HAS_TREADY 0, HAS_TSTRB 0, HAS_TKEEP 0, HAS_TLAST 0, FREQ_HZ 100000000, PHASE 0.000, LAYERED_METADATA undef";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axis_b_tvalid: SIGNAL IS "XIL_INTERFACENAME S_AXIS_B, TDATA_NUM_BYTES 4, TDEST_WIDTH 0, TID_WIDTH 0, TUSER_WIDTH 0, HAS_TREADY 0, HAS_TSTRB 0, HAS_TKEEP 0, HAS_TLAST 0, FREQ_HZ 100000000, PHASE 0.000, LAYERED_METADATA undef";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axis_a_tvalid: SIGNAL IS "XIL_INTERFACENAME S_AXIS_A, TDATA_NUM_BYTES 4, TDEST_WIDTH 0, TID_WIDTH 0, TUSER_WIDTH 0, HAS_TREADY 0, HAS_TSTRB 0, HAS_TKEEP 0, HAS_TLAST 0, FREQ_HZ 100000000, PHASE 0.000, LAYERED_METADATA undef";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_PARAMETER OF aclken: SIGNAL IS "XIL_INTERFACENAME aclken_intf, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_PARAMETER OF aclk: SIGNAL IS "XIL_INTERFACENAME aclk_intf, ASSOCIATED_BUSIF S_AXIS_OPERATION:M_AXIS_RESULT:S_AXIS_C:S_AXIS_B:S_AXIS_A, ASSOCIATED_RESET aresetn, ASSOCIATED_CLKEN aclken, FREQ_HZ 10000000, PHASE 0.000";
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
BEGIN
U0 : floating_point_v7_1_5
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 1,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 32,
C_A_FRACTION_WIDTH => 24,
C_B_WIDTH => 32,
C_B_FRACTION_WIDTH => 24,
C_C_WIDTH => 32,
C_C_FRACTION_WIDTH => 24,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 3,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 3,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 32,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 32,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 32,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END convolve_kernel_ap_fmul_3_max_dsp_32_arch;
|
--
----- package mem3 -----
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
PACKAGE mem3 IS
TYPE mem_type_5 IS array (Integer range <>) OF std_logic_vector(17 downto 0);
TYPE mem_type_6 IS array (Integer range <>) OF std_logic_vector(15 downto 0);
FUNCTION hex2bin (hex: character) RETURN std_logic_vector;
FUNCTION str3_slv12 (hex: string) RETURN std_logic_vector;
FUNCTION data2data (data_w: integer) RETURN integer;
FUNCTION data2addr_w (data_w: integer) RETURN integer;
FUNCTION data2data_w (data_w: integer) RETURN integer;
FUNCTION init_ram (hex: string; DATA_WIDTH_A : integer; DATA_WIDTH_B : integer) RETURN std_logic_vector;
FUNCTION init_ram1 (hex: string) RETURN mem_type_6;
FUNCTION str2slv (str: in string) RETURN std_logic_vector;
FUNCTION Valid_Address (IN_ADDR : in std_logic_vector) return boolean;
END mem3;
PACKAGE BODY mem3 IS
FUNCTION hex2bin (hex: character) RETURN std_logic_vector IS
VARIABLE result : std_logic_vector (3 downto 0);
BEGIN
CASE hex IS
WHEN '0' =>
result := "0000";
WHEN '1' =>
result := "0001";
WHEN '2' =>
result := "0010";
WHEN '3' =>
result := "0011";
WHEN '4' =>
result := "0100";
WHEN '5' =>
result := "0101";
WHEN '6' =>
result := "0110";
WHEN '7' =>
result := "0111";
WHEN '8' =>
result := "1000";
WHEN '9' =>
result := "1001";
WHEN 'A'|'a' =>
result := "1010";
WHEN 'B'|'b' =>
result := "1011";
WHEN 'C'|'c' =>
result := "1100";
WHEN 'D'|'d' =>
result := "1101";
WHEN 'E'|'e' =>
result := "1110";
WHEN 'F'|'f' =>
result := "1111";
WHEN 'X'|'x' =>
result := "XXXX";
WHEN others =>
NULL;
END CASE;
RETURN result;
END;
FUNCTION str5_slv18 (s : string(5 downto 1)) return std_logic_vector is
VARIABLE result : std_logic_vector(17 downto 0);
BEGIN
FOR i in 0 to 3 LOOP
result(((i+1)*4)-1 downto (i*4)) := hex2bin(s(i+1));
END LOOP;
result(17 downto 16) := hex2bin(s(5))(1 downto 0);
RETURN result;
END;
FUNCTION str4_slv16 (s : string(4 downto 1)) return std_logic_vector is
VARIABLE result : std_logic_vector(15 downto 0);
BEGIN
FOR i in 0 to 3 LOOP
result(((i+1)*4)-1 downto (i*4)) := hex2bin(s(i+1));
END LOOP;
RETURN result;
END;
FUNCTION str3_slv12 (hex: string) return std_logic_vector is
VARIABLE result : std_logic_vector(11 downto 0);
BEGIN
FOR i in 0 to 2 LOOP
result(((i+1)*4)-1 downto (i*4)) := hex2bin(hex(i+1));
END LOOP;
RETURN result;
END;
FUNCTION data2addr_w (data_w : integer) return integer is
VARIABLE result : integer;
BEGIN
CASE data_w IS
WHEN 1 =>
result := 13;
WHEN 2 =>
result := 12;
WHEN 4 =>
result := 11;
WHEN 9 =>
result := 10;
WHEN 18 =>
result := 9;
WHEN 36 =>
result := 8;
WHEN others =>
NULL;
END CASE;
RETURN result;
END;
FUNCTION data2data_w (data_w : integer) return integer is
VARIABLE result : integer;
BEGIN
CASE data_w IS
WHEN 1 =>
result := 1;
WHEN 2 =>
result := 2;
WHEN 4 =>
result := 4;
WHEN 9 =>
result := 9;
WHEN 18 =>
result := 18;
WHEN 36 =>
result := 18;
WHEN others =>
NULL;
END CASE;
RETURN result;
END;
FUNCTION data2data (data_w : integer) return integer is
VARIABLE result : integer;
BEGIN
CASE data_w IS
WHEN 1 =>
result := 8;
WHEN 2 =>
result := 4;
WHEN 4 =>
result := 2;
WHEN 9 =>
result := 36864;
WHEN 18 =>
result := 36864;
WHEN 36 =>
result := 36864;
WHEN others =>
NULL;
END CASE;
RETURN result;
END;
FUNCTION init_ram (hex: string; DATA_WIDTH_A : integer; DATA_WIDTH_B : integer) RETURN std_logic_vector IS
CONSTANT length : integer := hex'length;
VARIABLE result1 : mem_type_5 (0 to ((length/5)-1));
VARIABLE result : std_logic_vector(((length*18)/5)-1 downto 0);
BEGIN
FOR i in 0 to ((length/5)-1) LOOP
result1(i) := str5_slv18(hex((i+1)*5 downto (i*5)+1));
END LOOP;
IF (DATA_WIDTH_A >= 9 and DATA_WIDTH_B >= 9) THEN
FOR j in 0 to 511 LOOP
result(((j*18) + 17) downto (j*18)) := result1(j)(17 downto 0);
END LOOP;
ELSE
FOR j in 0 to 511 LOOP
result(((j*18) + 7) downto (j*18)) := result1(j)(7 downto 0);
result((j*18) + 8) := '0';
result(((j*18) + 16) downto ((j*18) + 9)) := result1(j)(15 downto 8);
result((j*18) + 17) := '0';
END LOOP;
END IF;
RETURN result;
END;
FUNCTION init_ram1 (hex: string) RETURN mem_type_6 IS
CONSTANT length : integer := hex'length;
VARIABLE result : mem_type_6 (0 to ((length/4)-1));
BEGIN
FOR i in 0 to ((length/4)-1) LOOP
result(i) := str4_slv16(hex((i+1)*4 downto (i*4)+1));
END LOOP;
RETURN result;
END;
-- String to std_logic_vector
FUNCTION str2slv (
str : in string
) return std_logic_vector is
variable j : integer := str'length;
variable slv : std_logic_vector (str'length downto 1);
begin
for i in str'low to str'high loop
case str(i) is
when '0' => slv(j) := '0';
when '1' => slv(j) := '1';
when 'X' => slv(j) := 'X';
when 'U' => slv(j) := 'U';
when others => slv(j) := 'X';
end case;
j := j - 1;
end loop;
return slv;
end str2slv;
function Valid_Address (
IN_ADDR : in std_logic_vector
) return boolean is
variable v_Valid_Flag : boolean := TRUE;
begin
for i in IN_ADDR'high downto IN_ADDR'low loop
if (IN_ADDR(i) /= '0' and IN_ADDR(i) /= '1') then
v_Valid_Flag := FALSE;
end if;
end loop;
return v_Valid_Flag;
end Valid_Address;
END mem3 ;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc725.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b01x00p03n01i00725ent IS
generic ( constant i : integer
);
generic ( constant j : integer
);
END c01s01b01x00p03n01i00725ent;
ARCHITECTURE c01s01b01x00p03n01i00725arch OF c01s01b01x00p03n01i00725ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s01b01x00p03n01i00725 - Extra generic clause."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x00p03n01i00725arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc725.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b01x00p03n01i00725ent IS
generic ( constant i : integer
);
generic ( constant j : integer
);
END c01s01b01x00p03n01i00725ent;
ARCHITECTURE c01s01b01x00p03n01i00725arch OF c01s01b01x00p03n01i00725ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s01b01x00p03n01i00725 - Extra generic clause."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x00p03n01i00725arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc725.vhd,v 1.2 2001-10-26 16:30:27 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b01x00p03n01i00725ent IS
generic ( constant i : integer
);
generic ( constant j : integer
);
END c01s01b01x00p03n01i00725ent;
ARCHITECTURE c01s01b01x00p03n01i00725arch OF c01s01b01x00p03n01i00725ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c01s01b01x00p03n01i00725 - Extra generic clause."
severity ERROR;
wait;
END PROCESS TESTING;
END c01s01b01x00p03n01i00725arch;
|
-- -------------------------------------------------------------
--
-- File Name: hdl_prj/hdlsrc/hdl_ofdm_tx/RADIX22FFT_SDNF1_3.vhd
-- Created: 2018-02-27 13:25:18
--
-- Generated by MATLAB 9.3 and HDL Coder 3.11
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: RADIX22FFT_SDNF1_3
-- Source Path: hdl_ofdm_tx/ifft/RADIX22FFT_SDNF1_3
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE work.hdl_ofdm_tx_pkg.ALL;
ENTITY RADIX22FFT_SDNF1_3 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb_1_16_0 : IN std_logic;
twdlXdin_1_re : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13
twdlXdin_1_im : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13
twdlXdin_5_re : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13
twdlXdin_5_im : IN std_logic_vector(18 DOWNTO 0); -- sfix19_En13
twdlXdin_1_vld : IN std_logic;
softReset : IN std_logic;
dout_1_re : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13
dout_1_im : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13
dout_2_re : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13
dout_2_im : OUT std_logic_vector(18 DOWNTO 0); -- sfix19_En13
dout_1_vld : OUT std_logic
);
END RADIX22FFT_SDNF1_3;
ARCHITECTURE rtl OF RADIX22FFT_SDNF1_3 IS
-- Signals
SIGNAL twdlXdin_1_re_signed : signed(18 DOWNTO 0); -- sfix19_En13
SIGNAL twdlXdin_1_im_signed : signed(18 DOWNTO 0); -- sfix19_En13
SIGNAL twdlXdin_5_re_signed : signed(18 DOWNTO 0); -- sfix19_En13
SIGNAL twdlXdin_5_im_signed : signed(18 DOWNTO 0); -- sfix19_En13
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg : signed(19 DOWNTO 0); -- sfix20
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 : std_logic;
SIGNAL Radix22ButterflyG1_NF_btf1_re_reg_next : signed(19 DOWNTO 0); -- sfix20_En13
SIGNAL Radix22ButterflyG1_NF_btf1_im_reg_next : signed(19 DOWNTO 0); -- sfix20_En13
SIGNAL Radix22ButterflyG1_NF_btf2_re_reg_next : signed(19 DOWNTO 0); -- sfix20_En13
SIGNAL Radix22ButterflyG1_NF_btf2_im_reg_next : signed(19 DOWNTO 0); -- sfix20_En13
SIGNAL Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next : std_logic;
SIGNAL dout_1_re_tmp : signed(18 DOWNTO 0); -- sfix19_En13
SIGNAL dout_1_im_tmp : signed(18 DOWNTO 0); -- sfix19_En13
SIGNAL dout_2_re_tmp : signed(18 DOWNTO 0); -- sfix19_En13
SIGNAL dout_2_im_tmp : signed(18 DOWNTO 0); -- sfix19_En13
BEGIN
twdlXdin_1_re_signed <= signed(twdlXdin_1_re);
twdlXdin_1_im_signed <= signed(twdlXdin_1_im);
twdlXdin_5_re_signed <= signed(twdlXdin_5_re);
twdlXdin_5_im_signed <= signed(twdlXdin_5_im);
-- Radix22ButterflyG1_NF
Radix22ButterflyG1_NF_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= to_signed(16#00000#, 20);
Radix22ButterflyG1_NF_btf1_im_reg <= to_signed(16#00000#, 20);
Radix22ButterflyG1_NF_btf2_re_reg <= to_signed(16#00000#, 20);
Radix22ButterflyG1_NF_btf2_im_reg <= to_signed(16#00000#, 20);
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb_1_16_0 = '1' THEN
Radix22ButterflyG1_NF_btf1_re_reg <= Radix22ButterflyG1_NF_btf1_re_reg_next;
Radix22ButterflyG1_NF_btf1_im_reg <= Radix22ButterflyG1_NF_btf1_im_reg_next;
Radix22ButterflyG1_NF_btf2_re_reg <= Radix22ButterflyG1_NF_btf2_re_reg_next;
Radix22ButterflyG1_NF_btf2_im_reg <= Radix22ButterflyG1_NF_btf2_im_reg_next;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1 <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next;
END IF;
END IF;
END PROCESS Radix22ButterflyG1_NF_process;
Radix22ButterflyG1_NF_output : PROCESS (Radix22ButterflyG1_NF_btf1_re_reg, Radix22ButterflyG1_NF_btf1_im_reg,
Radix22ButterflyG1_NF_btf2_re_reg, Radix22ButterflyG1_NF_btf2_im_reg,
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1, twdlXdin_1_re_signed,
twdlXdin_1_im_signed, twdlXdin_5_re_signed, twdlXdin_5_im_signed,
twdlXdin_1_vld)
VARIABLE add_cast : signed(19 DOWNTO 0);
VARIABLE add_cast_0 : signed(19 DOWNTO 0);
VARIABLE sub_cast : signed(19 DOWNTO 0);
VARIABLE sub_cast_0 : signed(19 DOWNTO 0);
VARIABLE add_cast_1 : signed(19 DOWNTO 0);
VARIABLE add_cast_2 : signed(19 DOWNTO 0);
VARIABLE sub_cast_1 : signed(19 DOWNTO 0);
VARIABLE sub_cast_2 : signed(19 DOWNTO 0);
BEGIN
Radix22ButterflyG1_NF_btf1_re_reg_next <= Radix22ButterflyG1_NF_btf1_re_reg;
Radix22ButterflyG1_NF_btf1_im_reg_next <= Radix22ButterflyG1_NF_btf1_im_reg;
Radix22ButterflyG1_NF_btf2_re_reg_next <= Radix22ButterflyG1_NF_btf2_re_reg;
Radix22ButterflyG1_NF_btf2_im_reg_next <= Radix22ButterflyG1_NF_btf2_im_reg;
Radix22ButterflyG1_NF_dinXtwdl_vld_dly1_next <= twdlXdin_1_vld;
IF twdlXdin_1_vld = '1' THEN
add_cast := resize(twdlXdin_1_re_signed, 20);
add_cast_0 := resize(twdlXdin_5_re_signed, 20);
Radix22ButterflyG1_NF_btf1_re_reg_next <= add_cast + add_cast_0;
sub_cast := resize(twdlXdin_1_re_signed, 20);
sub_cast_0 := resize(twdlXdin_5_re_signed, 20);
Radix22ButterflyG1_NF_btf2_re_reg_next <= sub_cast - sub_cast_0;
add_cast_1 := resize(twdlXdin_1_im_signed, 20);
add_cast_2 := resize(twdlXdin_5_im_signed, 20);
Radix22ButterflyG1_NF_btf1_im_reg_next <= add_cast_1 + add_cast_2;
sub_cast_1 := resize(twdlXdin_1_im_signed, 20);
sub_cast_2 := resize(twdlXdin_5_im_signed, 20);
Radix22ButterflyG1_NF_btf2_im_reg_next <= sub_cast_1 - sub_cast_2;
END IF;
dout_1_re_tmp <= Radix22ButterflyG1_NF_btf1_re_reg(18 DOWNTO 0);
dout_1_im_tmp <= Radix22ButterflyG1_NF_btf1_im_reg(18 DOWNTO 0);
dout_2_re_tmp <= Radix22ButterflyG1_NF_btf2_re_reg(18 DOWNTO 0);
dout_2_im_tmp <= Radix22ButterflyG1_NF_btf2_im_reg(18 DOWNTO 0);
dout_1_vld <= Radix22ButterflyG1_NF_dinXtwdl_vld_dly1;
END PROCESS Radix22ButterflyG1_NF_output;
dout_1_re <= std_logic_vector(dout_1_re_tmp);
dout_1_im <= std_logic_vector(dout_1_im_tmp);
dout_2_re <= std_logic_vector(dout_2_re_tmp);
dout_2_im <= std_logic_vector(dout_2_im_tmp);
END rtl;
|
-- costasloop.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity costasloop is
port(carrier: in signed(11 downto 0);
clk, reset: in std_logic;
op: out std_logic);
end costasloop;
architecture costasloop_arch of costasloop is
component nco is
port(clk, reset: in std_logic;
fword: in unsigned(5 downto 0);
op_sin: out signed(4 downto 0);
op_cos: out signed(4 downto 0));
end component;
component q_one_dot_fp_multiplier is
generic (a_word_size, b_word_size:integer);
port(a: in signed(a_word_size-1 downto 0);
b: in signed(b_word_size-1 downto 0);
mult_out: out signed(a_word_size + b_word_size -2 downto 0));
end component;
component lpf is
port(clk, reset: in std_logic;
x_in: in signed(15 downto 0);
y_out: out signed(19 downto 0));
end component;
component loopfilter is
port(clk, reset: in std_logic;
mult_error_op:in signed(38 downto 0);
f_desired: in unsigned(5 downto 0);
f_word_output: out unsigned(5 downto 0));
end component;
signal nco_input: unsigned(5 downto 0);
signal nco_sin, nco_cos: signed(4 downto 0);
signal mult_sin, mult_cos: signed(15 downto 0);
signal raw_op_sin, raw_op_cos: signed(19 downto 0);
signal mult_error_op: signed(38 downto 0);
begin
--NCO phase multiplier
N: nco port map(clk, reset, nco_input, nco_sin, nco_cos);
--Multiplier
M0: q_one_dot_fp_multiplier generic map(a_word_size=>nco_sin'length, b_word_size => carrier'length) port map(nco_sin, carrier, mult_sin);
M1: q_one_dot_fp_multiplier generic map(a_word_size=>nco_sin'length, b_word_size => carrier'length) port map(nco_cos, carrier, mult_cos);
--FIR Filter
L0: lpf port map(clk, reset, mult_sin, raw_op_sin);
L1: lpf port map(clk, reset, mult_cos, raw_op_cos);
--Extract output (Comparator)
COMPARATOR: op <= raw_op_sin(raw_op_sin'length -1); --Sign bit
--Error Multiplier
EM: q_one_dot_fp_multiplier generic map(a_word_size=>raw_op_sin'length, b_word_size => raw_op_cos'length) port map(raw_op_sin, raw_op_cos, mult_error_op);
--Loop Filter
--NCO mapping to error
LF: loopfilter port map(clk, reset, mult_error_op, to_unsigned(16, 6), nco_input);
end costasloop_arch;
|
-- costasloop.vhd
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity costasloop is
port(carrier: in signed(11 downto 0);
clk, reset: in std_logic;
op: out std_logic);
end costasloop;
architecture costasloop_arch of costasloop is
component nco is
port(clk, reset: in std_logic;
fword: in unsigned(5 downto 0);
op_sin: out signed(4 downto 0);
op_cos: out signed(4 downto 0));
end component;
component q_one_dot_fp_multiplier is
generic (a_word_size, b_word_size:integer);
port(a: in signed(a_word_size-1 downto 0);
b: in signed(b_word_size-1 downto 0);
mult_out: out signed(a_word_size + b_word_size -2 downto 0));
end component;
component lpf is
port(clk, reset: in std_logic;
x_in: in signed(15 downto 0);
y_out: out signed(19 downto 0));
end component;
component loopfilter is
port(clk, reset: in std_logic;
mult_error_op:in signed(38 downto 0);
f_desired: in unsigned(5 downto 0);
f_word_output: out unsigned(5 downto 0));
end component;
signal nco_input: unsigned(5 downto 0);
signal nco_sin, nco_cos: signed(4 downto 0);
signal mult_sin, mult_cos: signed(15 downto 0);
signal raw_op_sin, raw_op_cos: signed(19 downto 0);
signal mult_error_op: signed(38 downto 0);
begin
--NCO phase multiplier
N: nco port map(clk, reset, nco_input, nco_sin, nco_cos);
--Multiplier
M0: q_one_dot_fp_multiplier generic map(a_word_size=>nco_sin'length, b_word_size => carrier'length) port map(nco_sin, carrier, mult_sin);
M1: q_one_dot_fp_multiplier generic map(a_word_size=>nco_sin'length, b_word_size => carrier'length) port map(nco_cos, carrier, mult_cos);
--FIR Filter
L0: lpf port map(clk, reset, mult_sin, raw_op_sin);
L1: lpf port map(clk, reset, mult_cos, raw_op_cos);
--Extract output (Comparator)
COMPARATOR: op <= raw_op_sin(raw_op_sin'length -1); --Sign bit
--Error Multiplier
EM: q_one_dot_fp_multiplier generic map(a_word_size=>raw_op_sin'length, b_word_size => raw_op_cos'length) port map(raw_op_sin, raw_op_cos, mult_error_op);
--Loop Filter
--NCO mapping to error
LF: loopfilter port map(clk, reset, mult_error_op, to_unsigned(16, 6), nco_input);
end costasloop_arch;
|
----------------------------------------------------------------------
-- Created by Microsemi SmartDesign Thu Jun 22 17:22:48 2017
-- Parameters for COREUART
----------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.numeric_std.all;
package coreparameters is
constant BAUD_VAL_FRCTN_EN : integer := 1;
constant FAMILY : integer := 15;
constant HDL_license : string( 1 to 1 ) := "U";
constant RX_FIFO : integer := 0;
constant RX_LEGACY_MODE : integer := 0;
constant testbench : string( 1 to 4 ) := "User";
constant TX_FIFO : integer := 0;
constant USE_SOFT_FIFO : integer := 0;
end coreparameters;
|
library ieee;
use ieee.std_logic_1164.all;
entity circuito_recepcao is
port(liga : in std_logic;
reset : in std_logic;
CD : in std_logic;
RD : in std_logic;
clock : in std_logic;
DTR : out std_logic;
temDadoRecebido : out std_logic;
DadoRecebido : out std_logic;
d_estado : out std_logic_vector(1 downto 0));
end circuito_recepcao;
architecture circuito_recepcao_Arch of circuito_recepcao is
component fluxo_de_dados_recepcao is
port(RD : in std_logic;
enable_recepcao : in std_logic;
DadoRecebido : out std_logic);
end component;
component unidade_controle_recepcao is
port(liga : in std_logic;
reset : in std_logic;
CD : in std_logic;
clock : in std_logic;
enable_recepcao : out std_logic;
DTR : out std_logic;
s_estado : out std_logic_vector(1 downto 0));
end component;
signal s_enable_recepcao : std_logic;
begin
k1 : unidade_controle_recepcao port map (liga, reset, CD, clock, s_enable_recepcao, DTR, d_estado);
k2 : fluxo_de_dados_recepcao port map (RD, s_enable_recepcao, DadoRecebido);
temDadoRecebido <= s_enable_recepcao;
end circuito_recepcao_Arch; |
package unreachable is
function func (x : integer) return integer;
end package;
package body unreachable is
function func (x : integer) return integer is
begin
if x > 0 then
return x * 2;
end if;
-- Error here
end function;
end package body;
|
-- Copyright (C) 1991-2011 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files from any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, Altera MegaCore Function License
-- Agreement, or other applicable license agreement, including,
-- without limitation, that your use is for the sole purpose of
-- programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the
-- applicable agreement for further details.
-- Quartus II 11.0 Build 157 04/27/2011
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
package arriaiigz_atom_pack is
function str_to_bin (lut_mask : string ) return std_logic_vector;
function product(list : std_logic_vector) return std_logic ;
function alt_conv_integer(arg : in std_logic_vector) return integer;
-- default generic values
CONSTANT DefWireDelay : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01 : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01Z : VitalDelayType01Z := (OTHERS => 0 ns);
CONSTANT DefSetupHoldCnst : TIME := 0 ns;
CONSTANT DefPulseWdthCnst : TIME := 0 ns;
-- default control options
-- CONSTANT DefGlitchMode : VitalGlitchKindType := OnEvent;
-- change default delay type to Transport : for spr 68748
CONSTANT DefGlitchMode : VitalGlitchKindType := VitalTransport;
CONSTANT DefGlitchMsgOn : BOOLEAN := FALSE;
CONSTANT DefGlitchXOn : BOOLEAN := FALSE;
CONSTANT DefMsgOnChecks : BOOLEAN := TRUE;
CONSTANT DefXOnChecks : BOOLEAN := TRUE;
-- output strength mapping
-- UX01ZWHL-
CONSTANT PullUp : VitalOutputMapType := "UX01HX01X";
CONSTANT NoPullUpZ : VitalOutputMapType := "UX01ZX01X";
CONSTANT PullDown : VitalOutputMapType := "UX01LX01X";
-- primitive result strength mapping
CONSTANT wiredOR : VitalResultMapType := ( 'U', 'X', 'L', '1' );
CONSTANT wiredAND : VitalResultMapType := ( 'U', 'X', '0', 'H' );
CONSTANT L : VitalTableSymbolType := '0';
CONSTANT H : VitalTableSymbolType := '1';
CONSTANT x : VitalTableSymbolType := '-';
CONSTANT S : VitalTableSymbolType := 'S';
CONSTANT R : VitalTableSymbolType := '/';
CONSTANT U : VitalTableSymbolType := 'X';
CONSTANT V : VitalTableSymbolType := 'B'; -- valid clock signal (non-rising)
-- Declare array types for CAM_SLICE
TYPE arriaiigz_mem_data IS ARRAY (0 to 31) of STD_LOGIC_VECTOR (31 downto 0);
function int2str( value : integer ) return string;
function map_x_to_0 (value : std_logic) return std_logic;
function SelectDelay (CONSTANT Paths: IN VitalPathArray01Type) return TIME;
function int2bit (arg : boolean) return std_logic;
function int2bit (arg : integer) return std_logic;
function bin2int (s : std_logic_vector) return integer;
function bin2int (s : std_logic) return integer;
function int2bin (arg : integer; size : integer) return std_logic_vector;
function int2bin (arg : boolean; size : integer) return std_logic_vector;
function calc_sum_len( widtha : integer; widthb : integer) return integer;
end arriaiigz_atom_pack;
library IEEE;
use IEEE.std_logic_1164.all;
package body arriaiigz_atom_pack is
type masklength is array (4 downto 1) of std_logic_vector(3 downto 0);
function str_to_bin (lut_mask : string) return std_logic_vector is
variable slice : masklength := (OTHERS => "0000");
variable mask : std_logic_vector(15 downto 0);
begin
for i in 1 to lut_mask'length loop
case lut_mask(i) is
when '0' => slice(i) := "0000";
when '1' => slice(i) := "0001";
when '2' => slice(i) := "0010";
when '3' => slice(i) := "0011";
when '4' => slice(i) := "0100";
when '5' => slice(i) := "0101";
when '6' => slice(i) := "0110";
when '7' => slice(i) := "0111";
when '8' => slice(i) := "1000";
when '9' => slice(i) := "1001";
when 'a' => slice(i) := "1010";
when 'A' => slice(i) := "1010";
when 'b' => slice(i) := "1011";
when 'B' => slice(i) := "1011";
when 'c' => slice(i) := "1100";
when 'C' => slice(i) := "1100";
when 'd' => slice(i) := "1101";
when 'D' => slice(i) := "1101";
when 'e' => slice(i) := "1110";
when 'E' => slice(i) := "1110";
when others => slice(i) := "1111";
end case;
end loop;
mask := (slice(1) & slice(2) & slice(3) & slice(4));
return (mask);
end str_to_bin;
function product (list: std_logic_vector) return std_logic is
begin
for i in 0 to 31 loop
if list(i) = '0' then
return ('0');
end if;
end loop;
return ('1');
end product;
function alt_conv_integer(arg : in std_logic_vector) return integer is
variable result : integer;
begin
result := 0;
for i in arg'range loop
if arg(i) = '1' then
result := result + 2**i;
end if;
end loop;
return result;
end alt_conv_integer;
function int2str( value : integer ) return string is
variable ivalue,index : integer;
variable digit : integer;
variable line_no: string(8 downto 1) := " ";
begin
ivalue := value;
index := 1;
if (ivalue = 0) then
line_no := " 0";
end if;
while (ivalue > 0) loop
digit := ivalue MOD 10;
ivalue := ivalue/10;
case digit is
when 0 =>
line_no(index) := '0';
when 1 =>
line_no(index) := '1';
when 2 =>
line_no(index) := '2';
when 3 =>
line_no(index) := '3';
when 4 =>
line_no(index) := '4';
when 5 =>
line_no(index) := '5';
when 6 =>
line_no(index) := '6';
when 7 =>
line_no(index) := '7';
when 8 =>
line_no(index) := '8';
when 9 =>
line_no(index) := '9';
when others =>
ASSERT FALSE
REPORT "Illegal number!"
SEVERITY ERROR;
end case;
index := index + 1;
end loop;
return line_no;
end;
function map_x_to_0 (value : std_logic) return std_logic is
begin
if (Is_X (value) = TRUE) then
return '0';
else
return value;
end if;
end;
function SelectDelay (CONSTANT Paths : IN VitalPathArray01Type) return TIME IS
variable Temp : TIME;
variable TransitionTime : TIME := TIME'HIGH;
variable PathDelay : TIME := TIME'HIGH;
begin
for i IN Paths'RANGE loop
next when not Paths(i).PathCondition;
next when Paths(i).InputChangeTime > TransitionTime;
Temp := Paths(i).PathDelay(tr01);
if Paths(i).InputChangeTime < TransitionTime then
PathDelay := Temp;
else
if Temp < PathDelay then
PathDelay := Temp;
end if;
end if;
TransitionTime := Paths(i).InputChangeTime;
end loop;
return PathDelay;
end;
function int2bit (arg : integer) return std_logic is
variable int_val : integer := arg;
variable result : std_logic;
begin
if (int_val = 0) then
result := '0';
else
result := '1';
end if;
return result;
end int2bit;
function int2bit (arg : boolean) return std_logic is
variable int_val : boolean := arg;
variable result : std_logic;
begin
if (int_val ) then
result := '1';
else
result := '0';
end if;
return result;
end int2bit;
function bin2int (s : std_logic_vector) return integer is
constant temp : std_logic_vector(s'high-s'low DOWNTO 0) := s;
variable result : integer := 0;
begin
for i in temp'range loop
if (temp(i) = '1') then
result := result + (2**i);
end if;
end loop;
return(result);
end bin2int;
function bin2int (s : std_logic) return integer is
constant temp : std_logic := s;
variable result : integer := 0;
begin
if (temp = '1') then
result := 1;
else
result := 0;
end if;
return(result);
end bin2int;
function int2bin (arg : integer; size : integer) return std_logic_vector is
variable int_val : integer := arg;
variable result : std_logic_vector(size-1 downto 0);
begin
for i in 0 to result'left loop
if ((int_val mod 2) = 0) then
result(i) := '0';
else
result(i) := '1';
end if;
int_val := int_val/2;
end loop;
return result;
end int2bin;
function int2bin (arg : boolean; size : integer) return std_logic_vector is
variable result : std_logic_vector(size-1 downto 0);
begin
if(arg)then
result := (OTHERS => '1');
else
result := (OTHERS => '0');
end if;
return result;
end int2bin;
function calc_sum_len( widtha : integer; widthb : integer) return integer is
variable result: integer;
begin
if(widtha >= widthb) then
result := widtha + 1;
else
result := widthb + 1;
end if;
return result;
end calc_sum_len;
end arriaiigz_atom_pack;
Library ieee;
use ieee.std_logic_1164.all;
Package arriaiigz_pllpack is
procedure find_simple_integer_fraction( numerator : in integer;
denominator : in integer;
max_denom : in integer;
fraction_num : out integer;
fraction_div : out integer);
procedure find_m_and_n_4_manual_phase ( inclock_period : in integer;
vco_phase_shift_step : in integer;
clk0_mult: in integer; clk1_mult: in integer;
clk2_mult: in integer; clk3_mult: in integer;
clk4_mult: in integer; clk5_mult: in integer;
clk6_mult: in integer; clk7_mult: in integer;
clk8_mult: in integer; clk9_mult: in integer;
clk0_div : in integer; clk1_div : in integer;
clk2_div : in integer; clk3_div : in integer;
clk4_div : in integer; clk5_div : in integer;
clk6_div : in integer; clk7_div : in integer;
clk8_div : in integer; clk9_div : in integer;
clk0_used : in string; clk1_used : in string;
clk2_used : in string; clk3_used : in string;
clk4_used : in string; clk5_used : in string;
clk6_used : in string; clk7_used : in string;
clk8_used : in string; clk9_used : in string;
m : out integer;
n : out integer );
function gcd (X: integer; Y: integer) return integer;
function count_digit (X: integer) return integer;
function scale_num (X: integer; Y: integer) return integer;
function lcm (A1: integer; A2: integer; A3: integer; A4: integer;
A5: integer; A6: integer; A7: integer;
A8: integer; A9: integer; A10: integer; P: integer) return integer;
function output_counter_value (clk_divide: integer; clk_mult : integer ;
M: integer; N: integer ) return integer;
function counter_mode (duty_cycle: integer; output_counter_value: integer) return string;
function counter_high (output_counter_value: integer := 1; duty_cycle: integer)
return integer;
function counter_low (output_counter_value: integer; duty_cycle: integer)
return integer;
function mintimedelay (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer;
function maxnegabs (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer;
function counter_time_delay ( clk_time_delay: integer;
m_time_delay: integer; n_time_delay: integer)
return integer;
function get_phase_degree (phase_shift: integer; clk_period: integer) return integer;
function counter_initial (tap_phase: integer; m: integer; n: integer)
return integer;
function counter_ph (tap_phase: integer; m : integer; n: integer) return integer;
function ph_adjust (tap_phase: integer; ph_base : integer) return integer;
function translate_string (mode : string) return string;
function str2int (s : string) return integer;
function dqs_str2int (s : string) return integer;
end arriaiigz_pllpack;
package body arriaiigz_pllpack is
-- finds the closest integer fraction of a given pair of numerator and denominator.
procedure find_simple_integer_fraction( numerator : in integer;
denominator : in integer;
max_denom : in integer;
fraction_num : out integer;
fraction_div : out integer) is
constant MAX_ITER : integer := 20;
type INT_ARRAY is array ((MAX_ITER-1) downto 0) of integer;
variable quotient_array : INT_ARRAY;
variable int_loop_iter : integer;
variable int_quot : integer;
variable m_value : integer;
variable d_value : integer;
variable old_m_value : integer;
variable swap : integer;
variable loop_iter : integer;
variable num : integer;
variable den : integer;
variable i_max_iter : integer;
begin
loop_iter := 0;
if (numerator = 0) then
num := 1;
else
num := numerator;
end if;
if (denominator = 0) then
den := 1;
else
den := denominator;
end if;
i_max_iter := max_iter;
while (loop_iter < i_max_iter) loop
int_quot := num / den;
quotient_array(loop_iter) := int_quot;
num := num - (den*int_quot);
loop_iter := loop_iter+1;
if ((num = 0) or (max_denom /= -1) or (loop_iter = i_max_iter)) then
-- calculate the numerator and denominator if there is a restriction on the
-- max denom value or if the loop is ending
m_value := 0;
d_value := 1;
-- get the rounded value at this stage for the remaining fraction
if (den /= 0) then
m_value := (2*num/den);
end if;
-- calculate the fraction numerator and denominator at this stage
for int_loop_iter in (loop_iter-1) downto 0 loop
if (m_value = 0) then
m_value := quotient_array(int_loop_iter);
d_value := 1;
else
old_m_value := m_value;
m_value := (quotient_array(int_loop_iter)*m_value) + d_value;
d_value := old_m_value;
end if;
end loop;
-- if the denominator is less than the maximum denom_value or if there is no restriction save it
if ((d_value <= max_denom) or (max_denom = -1)) then
if ((m_value = 0) or (d_value = 0)) then
fraction_num := numerator;
fraction_div := denominator;
else
fraction_num := m_value;
fraction_div := d_value;
end if;
end if;
-- end the loop if the denomitor has overflown or the numerator is zero (no remainder during this round)
if (((d_value > max_denom) and (max_denom /= -1)) or (num = 0)) then
i_max_iter := loop_iter;
end if;
end if;
-- swap the numerator and denominator for the next round
swap := den;
den := num;
num := swap;
end loop;
end find_simple_integer_fraction;
-- find the M and N values for Manual phase based on the following 5 criterias:
-- 1. The PFD frequency (i.e. Fin / N) must be in the range 5 MHz to 720 MHz
-- 2. The VCO frequency (i.e. Fin * M / N) must be in the range 300 MHz to 1300 MHz
-- 3. M is less than 512
-- 4. N is less than 512
-- 5. It's the smallest M/N which satisfies all the above constraints, and is within 2ps
-- of the desired vco-phase-shift-step
procedure find_m_and_n_4_manual_phase ( inclock_period : in integer;
vco_phase_shift_step : in integer;
clk0_mult: in integer; clk1_mult: in integer;
clk2_mult: in integer; clk3_mult: in integer;
clk4_mult: in integer; clk5_mult: in integer;
clk6_mult: in integer; clk7_mult: in integer;
clk8_mult: in integer; clk9_mult: in integer;
clk0_div : in integer; clk1_div : in integer;
clk2_div : in integer; clk3_div : in integer;
clk4_div : in integer; clk5_div : in integer;
clk6_div : in integer; clk7_div : in integer;
clk8_div : in integer; clk9_div : in integer;
clk0_used : in string; clk1_used : in string;
clk2_used : in string; clk3_used : in string;
clk4_used : in string; clk5_used : in string;
clk6_used : in string; clk7_used : in string;
clk8_used : in string; clk9_used : in string;
m : out integer;
n : out integer ) is
constant MAX_M : integer := 511;
constant MAX_N : integer := 511;
constant MAX_PFD : integer := 720;
constant MIN_PFD : integer := 5;
constant MAX_VCO : integer := 1600; -- max vco frequency. (in mHz)
constant MIN_VCO : integer := 300; -- min vco frequency. (in mHz)
constant MAX_OFFSET : real := 0.004;
variable vco_period : integer;
variable pfd_freq : integer;
variable vco_freq : integer;
variable vco_ps_step_value : integer;
variable i_m : integer;
variable i_n : integer;
variable i_pre_m : integer;
variable i_pre_n : integer;
variable closest_vco_step_value : integer;
variable i_max_iter : integer;
variable loop_iter : integer;
variable clk0_div_factor_real : real;
variable clk1_div_factor_real : real;
variable clk2_div_factor_real : real;
variable clk3_div_factor_real : real;
variable clk4_div_factor_real : real;
variable clk5_div_factor_real : real;
variable clk6_div_factor_real : real;
variable clk7_div_factor_real : real;
variable clk8_div_factor_real : real;
variable clk9_div_factor_real : real;
variable clk0_div_factor_int : integer;
variable clk1_div_factor_int : integer;
variable clk2_div_factor_int : integer;
variable clk3_div_factor_int : integer;
variable clk4_div_factor_int : integer;
variable clk5_div_factor_int : integer;
variable clk6_div_factor_int : integer;
variable clk7_div_factor_int : integer;
variable clk8_div_factor_int : integer;
variable clk9_div_factor_int : integer;
begin
vco_period := vco_phase_shift_step * 8;
i_pre_m := 0;
i_pre_n := 0;
closest_vco_step_value := 0;
LOOP_1 : for i_n_out in 1 to MAX_N loop
for i_m_out in 1 to MAX_M loop
clk0_div_factor_real := real(clk0_div * i_m_out) / real(clk0_mult * i_n_out);
clk1_div_factor_real := real(clk1_div * i_m_out) / real(clk1_mult * i_n_out);
clk2_div_factor_real := real(clk2_div * i_m_out) / real(clk2_mult * i_n_out);
clk3_div_factor_real := real(clk3_div * i_m_out) / real(clk3_mult * i_n_out);
clk4_div_factor_real := real(clk4_div * i_m_out) / real(clk4_mult * i_n_out);
clk5_div_factor_real := real(clk5_div * i_m_out) / real(clk5_mult * i_n_out);
clk6_div_factor_real := real(clk6_div * i_m_out) / real(clk6_mult * i_n_out);
clk7_div_factor_real := real(clk7_div * i_m_out) / real(clk7_mult * i_n_out);
clk8_div_factor_real := real(clk8_div * i_m_out) / real(clk8_mult * i_n_out);
clk9_div_factor_real := real(clk9_div * i_m_out) / real(clk9_mult * i_n_out);
clk0_div_factor_int := integer(clk0_div_factor_real);
clk1_div_factor_int := integer(clk1_div_factor_real);
clk2_div_factor_int := integer(clk2_div_factor_real);
clk3_div_factor_int := integer(clk3_div_factor_real);
clk4_div_factor_int := integer(clk4_div_factor_real);
clk5_div_factor_int := integer(clk5_div_factor_real);
clk6_div_factor_int := integer(clk6_div_factor_real);
clk7_div_factor_int := integer(clk7_div_factor_real);
clk8_div_factor_int := integer(clk8_div_factor_real);
clk9_div_factor_int := integer(clk9_div_factor_real);
if (((abs(clk0_div_factor_real - real(clk0_div_factor_int)) < MAX_OFFSET) or (clk0_used = "unused")) and
((abs(clk1_div_factor_real - real(clk1_div_factor_int)) < MAX_OFFSET) or (clk1_used = "unused")) and
((abs(clk2_div_factor_real - real(clk2_div_factor_int)) < MAX_OFFSET) or (clk2_used = "unused")) and
((abs(clk3_div_factor_real - real(clk3_div_factor_int)) < MAX_OFFSET) or (clk3_used = "unused")) and
((abs(clk4_div_factor_real - real(clk4_div_factor_int)) < MAX_OFFSET) or (clk4_used = "unused")) and
((abs(clk5_div_factor_real - real(clk5_div_factor_int)) < MAX_OFFSET) or (clk5_used = "unused")) and
((abs(clk6_div_factor_real - real(clk6_div_factor_int)) < MAX_OFFSET) or (clk6_used = "unused")) and
((abs(clk7_div_factor_real - real(clk7_div_factor_int)) < MAX_OFFSET) or (clk7_used = "unused")) and
((abs(clk8_div_factor_real - real(clk8_div_factor_int)) < MAX_OFFSET) or (clk8_used = "unused")) and
((abs(clk9_div_factor_real - real(clk9_div_factor_int)) < MAX_OFFSET) or (clk9_used = "unused")) )
then
if ((i_m_out /= 0) and (i_n_out /= 0))
then
pfd_freq := 1000000 / (inclock_period * i_n_out);
vco_freq := (1000000 * i_m_out) / (inclock_period * i_n_out);
vco_ps_step_value := (inclock_period * i_n_out) / (8 * i_m_out);
if ( (i_m_out < max_m) and (i_n_out < max_n) and (pfd_freq >= min_pfd) and (pfd_freq <= max_pfd) and
(vco_freq >= min_vco) and (vco_freq <= max_vco) )
then
if (abs(vco_ps_step_value - vco_phase_shift_step) <= 2)
then
i_pre_m := i_m_out;
i_pre_n := i_n_out;
exit LOOP_1;
else
if ((closest_vco_step_value = 0) or (abs(vco_ps_step_value - vco_phase_shift_step) < abs(closest_vco_step_value - vco_phase_shift_step)))
then
i_pre_m := i_m_out;
i_pre_n := i_n_out;
closest_vco_step_value := vco_ps_step_value;
end if;
end if;
end if;
end if;
end if;
end loop;
end loop;
if ((i_pre_m /= 0) and (i_pre_n /= 0))
then
find_simple_integer_fraction(i_pre_m, i_pre_n,
MAX_N, m, n);
else
n := 1;
m := lcm (clk0_mult, clk1_mult, clk2_mult, clk3_mult,
clk4_mult, clk5_mult, clk6_mult,
clk7_mult, clk8_mult, clk9_mult, inclock_period);
end if;
end find_m_and_n_4_manual_phase;
-- find the greatest common denominator of X and Y
function gcd (X: integer; Y: integer) return integer is
variable L, S, R, G : integer := 1;
begin
if (X < Y) then -- find which is smaller.
S := X;
L := Y;
else
S := Y;
L := X;
end if;
R := S;
while ( R > 1) loop
S := L;
L := R;
R := S rem L; -- divide bigger number by smaller.
-- remainder becomes smaller number.
end loop;
if (R = 0) then -- if evenly divisible then L is gcd else it is 1.
G := L;
else
G := R;
end if;
return G;
end gcd;
-- count the number of digits in the given integer
function count_digit (X: integer)
return integer is
variable count, result: integer := 0;
begin
result := X;
while (result /= 0) loop
result := (result / 10);
count := count + 1;
end loop;
return count;
end count_digit;
-- reduce the given huge number to Y significant digits
function scale_num (X: integer; Y: integer)
return integer is
variable count : integer := 0;
variable lc, fac_ten, result: integer := 1;
begin
count := count_digit(X);
for lc in 1 to (count-Y) loop
fac_ten := fac_ten * 10;
end loop;
result := (X / fac_ten);
return result;
end scale_num;
-- find the least common multiple of A1 to A10
function lcm (A1: integer; A2: integer; A3: integer; A4: integer;
A5: integer; A6: integer; A7: integer;
A8: integer; A9: integer; A10: integer; P: integer)
return integer is
variable M1, M2, M3, M4, M5 , M6, M7, M8, M9, R: integer := 1;
begin
M1 := (A1 * A2)/gcd(A1, A2);
M2 := (M1 * A3)/gcd(M1, A3);
M3 := (M2 * A4)/gcd(M2, A4);
M4 := (M3 * A5)/gcd(M3, A5);
M5 := (M4 * A6)/gcd(M4, A6);
M6 := (M5 * A7)/gcd(M5, A7);
M7 := (M6 * A8)/gcd(M6, A8);
M8 := (M7 * A9)/gcd(M7, A9);
M9 := (M8 * A10)/gcd(M8, A10);
if (M9 < 3) then
R := 10;
elsif (M9 = 3) then
R := 9;
elsif ((M9 <= 10) and (M9 > 3)) then
R := 4 * M9;
elsif (M9 > 1000) then
R := scale_num(M9,3);
else
R := M9 ;
end if;
return R;
end lcm;
-- find the factor of division of the output clock frequency compared to the VCO
function output_counter_value (clk_divide: integer; clk_mult: integer ;
M: integer; N: integer ) return integer is
variable r_real : real := 1.0;
variable r: integer := 1;
begin
r_real := real(clk_divide * M)/ real(clk_mult * N);
r := integer(r_real);
return R;
end output_counter_value;
-- find the mode of each PLL counter - bypass, even or odd
function counter_mode (duty_cycle: integer; output_counter_value: integer)
return string is
variable R: string (1 to 6) := " ";
variable counter_value: integer := 1;
begin
counter_value := (2*duty_cycle*output_counter_value)/100;
if output_counter_value = 1 then
R := "bypass";
elsif (counter_value REM 2) = 0 then
R := " even";
else
R := " odd";
end if;
return R;
end counter_mode;
-- find the number of VCO clock cycles to hold the output clock high
function counter_high (output_counter_value: integer := 1; duty_cycle: integer)
return integer is
variable R: integer := 1;
variable half_cycle_high : integer := 1;
begin
half_cycle_high := (duty_cycle * output_counter_value *2)/100 ;
if (half_cycle_high REM 2 = 0) then
R := half_cycle_high/2 ;
else
R := (half_cycle_high/2) + 1;
end if;
return R;
end;
-- find the number of VCO clock cycles to hold the output clock low
function counter_low (output_counter_value: integer; duty_cycle: integer)
return integer is
variable R, R1: integer := 1;
variable half_cycle_high : integer := 1;
begin
half_cycle_high := (duty_cycle * output_counter_value*2)/100 ;
if (half_cycle_high REM 2 = 0) then
R1 := half_cycle_high/2 ;
else
R1 := (half_cycle_high/2) + 1;
end if;
R := output_counter_value - R1;
if (R = 0) then
R := 1;
end if;
return R;
end;
-- find the smallest time delay amongst t1 to t10
function mintimedelay (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer is
variable m1,m2,m3,m4,m5,m6,m7,m8,m9 : integer := 0;
begin
if (t1 < t2) then m1 := t1; else m1 := t2; end if;
if (m1 < t3) then m2 := m1; else m2 := t3; end if;
if (m2 < t4) then m3 := m2; else m3 := t4; end if;
if (m3 < t5) then m4 := m3; else m4 := t5; end if;
if (m4 < t6) then m5 := m4; else m5 := t6; end if;
if (m5 < t7) then m6 := m5; else m6 := t7; end if;
if (m6 < t8) then m7 := m6; else m7 := t8; end if;
if (m7 < t9) then m8 := m7; else m8 := t9; end if;
if (m8 < t10) then m9 := m8; else m9 := t10; end if;
if (m9 > 0) then return m9; else return 0; end if;
end;
-- find the numerically largest negative number, and return its absolute value
function maxnegabs (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer is
variable m1,m2,m3,m4,m5,m6,m7,m8,m9 : integer := 0;
begin
if (t1 < t2) then m1 := t1; else m1 := t2; end if;
if (m1 < t3) then m2 := m1; else m2 := t3; end if;
if (m2 < t4) then m3 := m2; else m3 := t4; end if;
if (m3 < t5) then m4 := m3; else m4 := t5; end if;
if (m4 < t6) then m5 := m4; else m5 := t6; end if;
if (m5 < t7) then m6 := m5; else m6 := t7; end if;
if (m6 < t8) then m7 := m6; else m7 := t8; end if;
if (m7 < t9) then m8 := m7; else m8 := t9; end if;
if (m8 < t10) then m9 := m8; else m9 := t10; end if;
if (m9 < 0) then return (0 - m9); else return 0; end if;
end;
-- adjust the phase (tap_phase) with the largest negative number (ph_base)
function ph_adjust (tap_phase: integer; ph_base : integer) return integer is
begin
return (tap_phase + ph_base);
end;
-- find the time delay for each PLL counter
function counter_time_delay (clk_time_delay: integer;
m_time_delay: integer; n_time_delay: integer)
return integer is
variable R: integer := 0;
begin
R := clk_time_delay + m_time_delay - n_time_delay;
return R;
end;
-- calculate the given phase shift (in ps) in terms of degrees
function get_phase_degree (phase_shift: integer; clk_period: integer)
return integer is
variable result: integer := 0;
begin
result := ( phase_shift * 360 ) / clk_period;
-- to round up the calculation result
if (result > 0) then
result := result + 1;
elsif (result < 0) then
result := result - 1;
else
result := 0;
end if;
return result;
end;
-- find the number of VCO clock cycles to wait initially before the first rising
-- edge of the output clock
function counter_initial (tap_phase: integer; m: integer; n: integer)
return integer is
variable R: integer;
variable R1: real;
begin
R1 := (real(abs(tap_phase)) * real(m))/(360.0 * real(n)) + 0.6;
-- Note NCSim VHDL had problem in rounding up for 0.5 - 0.99.
-- This checking will ensure that the rounding up is done.
if (R1 >= 0.5) and (R1 <= 1.0) then
R1 := 1.0;
end if;
R := integer(R1);
return R;
end;
-- find which VCO phase tap (0 to 7) to align the rising edge of the output clock to
function counter_ph (tap_phase: integer; m: integer; n: integer) return integer is
variable R: integer := 0;
begin
-- 0.5 is added for proper rounding of the tap_phase.
R := integer(real(integer(real(tap_phase * m / n)+ 0.5) REM 360)/45.0) rem 8;
return R;
end;
-- convert given string to length 6 by padding with spaces
function translate_string (mode : string) return string is
variable new_mode : string (1 to 6) := " ";
begin
if (mode = "bypass") then
new_mode := "bypass";
elsif (mode = "even") then
new_mode := " even";
elsif (mode = "odd") then
new_mode := " odd";
end if;
return new_mode;
end;
function str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "i n string parameter! "
SEVERITY ERROR;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "in string parameter! "
SEVERITY ERROR;
end case;
newdigit := newdigit * 10 + digit;
end loop;
return (sign*newdigit);
end;
function dqs_str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
variable err : boolean := false;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & " in string parameter! "
SEVERITY ERROR;
err := true;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
-- set error flag
err := true;
end case;
if (err) then
err := false;
else
newdigit := newdigit * 10 + digit;
end if;
end loop;
return (sign*newdigit);
end;
end arriaiigz_pllpack;
--
--
-- DFFE Model
--
--
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_dffe is
generic(
TimingChecksOn: Boolean := True;
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_PRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLK_Q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_ENA_Q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_D : VitalDelayType01 := DefPropDelay01;
tipd_CLRN : VitalDelayType01 := DefPropDelay01;
tipd_PRN : VitalDelayType01 := DefPropDelay01;
tipd_CLK : VitalDelayType01 := DefPropDelay01;
tipd_ENA : VitalDelayType01 := DefPropDelay01);
port(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC;
CLRN : in STD_LOGIC;
PRN : in STD_LOGIC;
CLK : in STD_LOGIC;
ENA : in STD_LOGIC);
attribute VITAL_LEVEL0 of arriaiigz_dffe : entity is TRUE;
end arriaiigz_dffe;
-- architecture body --
architecture behave of arriaiigz_dffe is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal D_ipd : STD_ULOGIC := 'U';
signal CLRN_ipd : STD_ULOGIC := 'U';
signal PRN_ipd : STD_ULOGIC := 'U';
signal CLK_ipd : STD_ULOGIC := 'U';
signal ENA_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (D_ipd, D, tipd_D);
VitalWireDelay (CLRN_ipd, CLRN, tipd_CLRN);
VitalWireDelay (PRN_ipd, PRN, tipd_PRN);
VitalWireDelay (CLK_ipd, CLK, tipd_CLK);
VitalWireDelay (ENA_ipd, ENA, tipd_ENA);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (D_ipd, CLRN_ipd, PRN_ipd, CLK_ipd, ENA_ipd)
-- timing check results
VARIABLE Tviol_D_CLK : STD_ULOGIC := '0';
VARIABLE Tviol_ENA_CLK : STD_ULOGIC := '0';
VARIABLE TimingData_D_CLK : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_ENA_CLK : VitalTimingDataType := VitalTimingDataInit;
-- functionality results
VARIABLE Violation : STD_ULOGIC := '0';
VARIABLE PrevData_Q : STD_LOGIC_VECTOR(0 to 7);
VARIABLE D_delayed : STD_ULOGIC := 'U';
VARIABLE CLK_delayed : STD_ULOGIC := 'U';
VARIABLE ENA_delayed : STD_ULOGIC := 'U';
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => '0');
-- output glitch detection variables
VARIABLE Q_VitalGlitchData : VitalGlitchDataType;
CONSTANT dffe_Q_tab : VitalStateTableType := (
( L, L, x, x, x, x, x, x, x, L ),
( L, H, L, H, H, x, x, H, x, H ),
( L, H, L, H, x, L, x, H, x, H ),
( L, H, L, x, H, H, x, H, x, H ),
( L, H, H, x, x, x, H, x, x, S ),
( L, H, x, x, x, x, L, x, x, H ),
( L, H, x, x, x, x, H, L, x, S ),
( L, x, L, L, L, x, H, H, x, L ),
( L, x, L, L, x, L, H, H, x, L ),
( L, x, L, x, L, H, H, H, x, L ),
( L, x, x, x, x, x, x, x, x, S ));
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_D_CLK,
TimingData => TimingData_D_CLK,
TestSignal => D_ipd,
TestSignalName => "D",
RefSignal => CLK_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_D_CLK_noedge_posedge,
SetupLow => tsetup_D_CLK_noedge_posedge,
HoldHigh => thold_D_CLK_noedge_posedge,
HoldLow => thold_D_CLK_noedge_posedge,
CheckEnabled => TO_X01(( (NOT PRN_ipd) ) OR ( (NOT CLRN_ipd) ) OR ( (NOT ENA_ipd) )) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/DFFE",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ENA_CLK,
TimingData => TimingData_ENA_CLK,
TestSignal => ENA_ipd,
TestSignalName => "ENA",
RefSignal => CLK_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ENA_CLK_noedge_posedge,
SetupLow => tsetup_ENA_CLK_noedge_posedge,
HoldHigh => thold_ENA_CLK_noedge_posedge,
HoldLow => thold_ENA_CLK_noedge_posedge,
CheckEnabled => TO_X01(( (NOT PRN_ipd) ) OR ( (NOT CLRN_ipd) ) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/DFFE",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
-------------------------
-- Functionality Section
-------------------------
Violation := Tviol_D_CLK or Tviol_ENA_CLK;
VitalStateTable(
StateTable => dffe_Q_tab,
DataIn => (
Violation, CLRN_ipd, CLK_delayed, Results(1), D_delayed, ENA_delayed, PRN_ipd, CLK_ipd),
Result => Results,
NumStates => 1,
PreviousDataIn => PrevData_Q);
D_delayed := D_ipd;
CLK_delayed := CLK_ipd;
ENA_delayed := ENA_ipd;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Q,
OutSignalName => "Q",
OutTemp => Results(1),
Paths => ( 0 => (PRN_ipd'last_event, tpd_PRN_Q_negedge, TRUE),
1 => (CLRN_ipd'last_event, tpd_CLRN_Q_negedge, TRUE),
2 => (CLK_ipd'last_event, tpd_CLK_Q_posedge, TRUE)),
GlitchData => Q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--
--
-- arriaiigz_mux21 Model
--
--
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_mux21 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic);
attribute VITAL_LEVEL0 of arriaiigz_mux21 : entity is TRUE;
end arriaiigz_mux21;
architecture AltVITAL of arriaiigz_mux21 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
signal A_ipd, B_ipd, S_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (A_ipd, A, tipd_A);
VitalWireDelay (B_ipd, B, tipd_B);
VitalWireDelay (S_ipd, S, tipd_S);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (A_ipd, B_ipd, S_ipd)
-- output glitch detection variables
VARIABLE MO_GlitchData : VitalGlitchDataType;
variable tmp_MO : std_logic;
begin
-------------------------
-- Functionality Section
-------------------------
if (S_ipd = '1') then
tmp_MO := B_ipd;
else
tmp_MO := A_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => MO,
OutSignalName => "MO",
OutTemp => tmp_MO,
Paths => ( 0 => (A_ipd'last_event, tpd_A_MO, TRUE),
1 => (B_ipd'last_event, tpd_B_MO, TRUE),
2 => (S_ipd'last_event, tpd_S_MO, TRUE)),
GlitchData => MO_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--
--
-- arriaiigz_mux41 Model
--
--
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_mux41 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN0_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN1_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN2_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN3_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_IN0 : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01;
tipd_IN2 : VitalDelayType01 := DefPropDelay01;
tipd_IN3 : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01)
);
port (
IN0 : in std_logic := '0';
IN1 : in std_logic := '0';
IN2 : in std_logic := '0';
IN3 : in std_logic := '0';
S : in std_logic_vector(1 downto 0) := (OTHERS => '0');
MO : out std_logic
);
attribute VITAL_LEVEL0 of arriaiigz_mux41 : entity is TRUE;
end arriaiigz_mux41;
architecture AltVITAL of arriaiigz_mux41 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
signal IN0_ipd, IN1_ipd, IN2_ipd, IN3_ipd : std_logic;
signal S_ipd : std_logic_vector(1 downto 0);
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN0_ipd, IN0, tipd_IN0);
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
VitalWireDelay (IN2_ipd, IN2, tipd_IN2);
VitalWireDelay (IN3_ipd, IN3, tipd_IN3);
VitalWireDelay (S_ipd(0), S(0), tipd_S(0));
VitalWireDelay (S_ipd(1), S(1), tipd_S(1));
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN0_ipd, IN1_ipd, IN2_ipd, IN3_ipd, S_ipd(0), S_ipd(1))
-- output glitch detection variables
VARIABLE MO_GlitchData : VitalGlitchDataType;
variable tmp_MO : std_logic;
begin
-------------------------
-- Functionality Section
-------------------------
if ((S_ipd(1) = '1') AND (S_ipd(0) = '1')) then
tmp_MO := IN3_ipd;
elsif ((S_ipd(1) = '1') AND (S_ipd(0) = '0')) then
tmp_MO := IN2_ipd;
elsif ((S_ipd(1) = '0') AND (S_ipd(0) = '1')) then
tmp_MO := IN1_ipd;
else
tmp_MO := IN0_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => MO,
OutSignalName => "MO",
OutTemp => tmp_MO,
Paths => ( 0 => (IN0_ipd'last_event, tpd_IN0_MO, TRUE),
1 => (IN1_ipd'last_event, tpd_IN1_MO, TRUE),
2 => (IN2_ipd'last_event, tpd_IN2_MO, TRUE),
3 => (IN3_ipd'last_event, tpd_IN3_MO, TRUE),
4 => (S_ipd(0)'last_event, tpd_S_MO(0), TRUE),
5 => (S_ipd(1)'last_event, tpd_S_MO(1), TRUE)),
GlitchData => MO_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--
--
-- arriaiigz_and1 Model
--
--
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use work.arriaiigz_atom_pack.all;
-- entity declaration --
entity arriaiigz_and1 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01);
port(
Y : out STD_LOGIC;
IN1 : in STD_LOGIC);
attribute VITAL_LEVEL0 of arriaiigz_and1 : entity is TRUE;
end arriaiigz_and1;
-- architecture body --
architecture AltVITAL of arriaiigz_and1 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
SIGNAL IN1_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN1_ipd)
-- functionality results
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => 'X');
ALIAS Y_zd : STD_ULOGIC is Results(1);
-- output glitch detection variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
begin
-------------------------
-- Functionality Section
-------------------------
Y_zd := TO_X01(IN1_ipd);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (0 => (IN1_ipd'last_event, tpd_IN1_Y, TRUE)),
GlitchData => Y_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
-------------------------------------------------------------------
--
-- Entity Name : arriaiigz_jtag
--
-- Description : Stratix JTAG VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_jtag is
generic (
lpm_type : string := "arriaiigz_jtag"
);
port (
tms : in std_logic := '0';
tck : in std_logic := '0';
tdi : in std_logic := '0';
ntrst : in std_logic := '0';
tdoutap : in std_logic := '0';
tdouser : in std_logic := '0';
tdo: out std_logic;
tmsutap: out std_logic;
tckutap: out std_logic;
tdiutap: out std_logic;
shiftuser: out std_logic;
clkdruser: out std_logic;
updateuser: out std_logic;
runidleuser: out std_logic;
usr1user: out std_logic
);
end arriaiigz_jtag;
architecture architecture_jtag of arriaiigz_jtag is
begin
end architecture_jtag;
-------------------------------------------------------------------
--
-- Entity Name : arriaiigz_crcblock
--
-- Description : Stratix CRCBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_crcblock is
generic (
oscillator_divider : integer := 1;
crc_deld_disable : string := "off";
error_delay : integer := 0 ;
error_dra_dl_bypass : string := "off";
lpm_type : string := "arriaiigz_crcblock"
);
port (
clk : in std_logic := '0';
shiftnld : in std_logic := '0';
crcerror : out std_logic;
regout : out std_logic
);
end arriaiigz_crcblock;
architecture architecture_crcblock of arriaiigz_crcblock is
begin
crcerror <= '0';
regout <= '0';
end architecture_crcblock;
---------------------------------------------------------------------
--
-- Entity Name : arriaiigz_lcell_comb
--
-- Description : ARRIAIIGZ LCELL_COMB VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_lcell_comb is
generic (
lut_mask : std_logic_vector(63 downto 0) := (OTHERS => '1');
shared_arith : string := "off";
extended_lut : string := "off";
dont_touch : string := "off";
lpm_type : string := "arriaiigz_lcell_comb";
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_dataa_combout : VitalDelayType01 := DefPropDelay01;
tpd_datab_combout : VitalDelayType01 := DefPropDelay01;
tpd_datac_combout : VitalDelayType01 := DefPropDelay01;
tpd_datad_combout : VitalDelayType01 := DefPropDelay01;
tpd_datae_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_combout : VitalDelayType01 := DefPropDelay01;
tpd_datag_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datab_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datac_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datad_sumout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_sumout : VitalDelayType01 := DefPropDelay01;
tpd_cin_sumout : VitalDelayType01 := DefPropDelay01;
tpd_sharein_sumout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_cout : VitalDelayType01 := DefPropDelay01;
tpd_datab_cout : VitalDelayType01 := DefPropDelay01;
tpd_datac_cout : VitalDelayType01 := DefPropDelay01;
tpd_datad_cout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_cout : VitalDelayType01 := DefPropDelay01;
tpd_cin_cout : VitalDelayType01 := DefPropDelay01;
tpd_sharein_cout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datab_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datac_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datad_shareout : VitalDelayType01 := DefPropDelay01;
tipd_dataa : VitalDelayType01 := DefPropDelay01;
tipd_datab : VitalDelayType01 := DefPropDelay01;
tipd_datac : VitalDelayType01 := DefPropDelay01;
tipd_datad : VitalDelayType01 := DefPropDelay01;
tipd_datae : VitalDelayType01 := DefPropDelay01;
tipd_dataf : VitalDelayType01 := DefPropDelay01;
tipd_datag : VitalDelayType01 := DefPropDelay01;
tipd_cin : VitalDelayType01 := DefPropDelay01;
tipd_sharein : VitalDelayType01 := DefPropDelay01
);
port (
dataa : in std_logic := '0';
datab : in std_logic := '0';
datac : in std_logic := '0';
datad : in std_logic := '0';
datae : in std_logic := '0';
dataf : in std_logic := '0';
datag : in std_logic := '0';
cin : in std_logic := '0';
sharein : in std_logic := '0';
combout : out std_logic;
sumout : out std_logic;
cout : out std_logic;
shareout : out std_logic
);
attribute VITAL_LEVEL0 of arriaiigz_lcell_comb : entity is TRUE;
end arriaiigz_lcell_comb;
architecture vital_lcell_comb of arriaiigz_lcell_comb is
attribute VITAL_LEVEL0 of vital_lcell_comb : architecture is TRUE;
signal dataa_ipd : std_logic;
signal datab_ipd : std_logic;
signal datac_ipd : std_logic;
signal datad_ipd : std_logic;
signal datae_ipd : std_logic;
signal dataf_ipd : std_logic;
signal datag_ipd : std_logic;
signal cin_ipd : std_logic;
signal sharein_ipd : std_logic;
signal f2_input3 : std_logic;
-- sub masks
signal f0_mask : std_logic_vector(15 downto 0);
signal f1_mask : std_logic_vector(15 downto 0);
signal f2_mask : std_logic_vector(15 downto 0);
signal f3_mask : std_logic_vector(15 downto 0);
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (dataa_ipd, dataa, tipd_dataa);
VitalWireDelay (datab_ipd, datab, tipd_datab);
VitalWireDelay (datac_ipd, datac, tipd_datac);
VitalWireDelay (datad_ipd, datad, tipd_datad);
VitalWireDelay (datae_ipd, datae, tipd_datae);
VitalWireDelay (dataf_ipd, dataf, tipd_dataf);
VitalWireDelay (datag_ipd, datag, tipd_datag);
VitalWireDelay (cin_ipd, cin, tipd_cin);
VitalWireDelay (sharein_ipd, sharein, tipd_sharein);
end block;
f0_mask <= lut_mask(15 downto 0);
f1_mask <= lut_mask(31 downto 16);
f2_mask <= lut_mask(47 downto 32);
f3_mask <= lut_mask(63 downto 48);
f2_input3 <= datag_ipd WHEN (extended_lut = "on") ELSE datac_ipd;
VITALtiming : process(dataa_ipd, datab_ipd, datac_ipd, datad_ipd,
datae_ipd, dataf_ipd, f2_input3, cin_ipd,
sharein_ipd)
variable combout_VitalGlitchData : VitalGlitchDataType;
variable sumout_VitalGlitchData : VitalGlitchDataType;
variable cout_VitalGlitchData : VitalGlitchDataType;
variable shareout_VitalGlitchData : VitalGlitchDataType;
-- sub lut outputs
variable f0_out : std_logic;
variable f1_out : std_logic;
variable f2_out : std_logic;
variable f3_out : std_logic;
-- muxed output
variable g0_out : std_logic;
variable g1_out : std_logic;
-- internal variables
variable f2_f : std_logic;
variable adder_input2 : std_logic;
-- output variables
variable combout_tmp : std_logic;
variable sumout_tmp : std_logic;
variable cout_tmp : std_logic;
-- temp variable for NCVHDL
variable lut_mask_var : std_logic_vector(63 downto 0) := (OTHERS => '1');
begin
lut_mask_var := lut_mask;
------------------------
-- Timing Check Section
------------------------
f0_out := VitalMUX(data => f0_mask,
dselect => (datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
f1_out := VitalMUX(data => f1_mask,
dselect => (datad_ipd,
f2_input3,
datab_ipd,
dataa_ipd));
f2_out := VitalMUX(data => f2_mask,
dselect => (datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
f3_out := VitalMUX(data => f3_mask,
dselect => (datad_ipd,
f2_input3,
datab_ipd,
dataa_ipd));
-- combout
if (extended_lut = "on") then
if (datae_ipd = '0') then
g0_out := f0_out;
g1_out := f2_out;
elsif (datae_ipd = '1') then
g0_out := f1_out;
g1_out := f3_out;
else
g0_out := 'X';
g1_out := 'X';
end if;
if (dataf_ipd = '0') then
combout_tmp := g0_out;
elsif ((dataf_ipd = '1') or (g0_out = g1_out))then
combout_tmp := g1_out;
else
combout_tmp := 'X';
end if;
else
combout_tmp := VitalMUX(data => lut_mask_var,
dselect => (dataf_ipd,
datae_ipd,
datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
end if;
-- sumout and cout
f2_f := VitalMUX(data => f2_mask,
dselect => (dataf_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
if (shared_arith = "on") then
adder_input2 := sharein_ipd;
else
adder_input2 := NOT f2_f;
end if;
sumout_tmp := cin_ipd XOR f0_out XOR adder_input2;
cout_tmp := (cin_ipd AND f0_out) OR (cin_ipd AND adder_input2) OR
(f0_out AND adder_input2);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => combout,
OutSignalName => "COMBOUT",
OutTemp => combout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_combout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_combout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_combout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_combout, TRUE),
4 => (datae_ipd'last_event, tpd_datae_combout, TRUE),
5 => (dataf_ipd'last_event, tpd_dataf_combout, TRUE),
6 => (datag_ipd'last_event, tpd_datag_combout, TRUE)),
GlitchData => combout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => sumout,
OutSignalName => "SUMOUT",
OutTemp => sumout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_sumout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_sumout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_sumout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_sumout, TRUE),
4 => (dataf_ipd'last_event, tpd_dataf_sumout, TRUE),
5 => (cin_ipd'last_event, tpd_cin_sumout, TRUE),
6 => (sharein_ipd'last_event, tpd_sharein_sumout, TRUE)),
GlitchData => sumout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => cout,
OutSignalName => "COUT",
OutTemp => cout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_cout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_cout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_cout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_cout, TRUE),
4 => (dataf_ipd'last_event, tpd_dataf_cout, TRUE),
5 => (cin_ipd'last_event, tpd_cin_cout, TRUE),
6 => (sharein_ipd'last_event, tpd_sharein_cout, TRUE)),
GlitchData => cout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => shareout,
OutSignalName => "SHAREOUT",
OutTemp => f2_out,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_shareout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_shareout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_shareout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_shareout, TRUE)),
GlitchData => shareout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_lcell_comb;
---------------------------------------------------------------------
--
-- Entity Name : arriaiigz_routing_wire
--
-- Description : ARRIAIIGZ Routing Wire VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_routing_wire is
generic (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01
);
PORT (
datain : in std_logic;
dataout : out std_logic
);
attribute VITAL_LEVEL0 of arriaiigz_routing_wire : entity is TRUE;
end arriaiigz_routing_wire;
ARCHITECTURE behave of arriaiigz_routing_wire is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal datain_ipd : std_logic;
signal datainglitch_inert : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process(datain_ipd, datainglitch_inert)
variable datain_inert_VitalGlitchData : VitalGlitchDataType;
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => datainglitch_inert,
OutSignalName => "datainglitch_inert",
OutTemp => datain_ipd,
Paths => (1 => (datain_ipd'last_event, tpd_datainglitch_dataout, TRUE)),
GlitchData => datain_inert_VitalGlitchData,
Mode => VitalInertial,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => datainglitch_inert,
Paths => (1 => (datain_ipd'last_event, tpd_datain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : arriaiigz_lvds_tx_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for registering the enable inputs.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_lvds_tx_reg is
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := True;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic;
d : IN std_logic;
clrn : IN std_logic;
prn : IN std_logic
);
attribute VITAL_LEVEL0 of arriaiigz_lvds_tx_reg : ENTITY is TRUE;
END arriaiigz_lvds_tx_reg;
ARCHITECTURE vital_arriaiigz_lvds_tx_reg of arriaiigz_lvds_tx_reg is
attribute VITAL_LEVEL0 of vital_arriaiigz_lvds_tx_reg : architecture is TRUE;
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal ena_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (d_ipd, d, tipd_d);
end block;
process (clk_ipd, clrn, prn)
variable q_tmp : std_logic := '0';
variable q_VitalGlitchData : VitalGlitchDataType;
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d_ipd,
TestSignalName => "d",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT ena_ipd) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/arriaiigz_lvds_tx_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (prn = '0') then
q_tmp := '1';
elsif (clrn = '0') then
q_tmp := '0';
elsif (clk_ipd'event and clk_ipd = '1') then
if (ena_ipd = '1') then
q_tmp := d_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_arriaiigz_lvds_tx_reg;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : arriaiigz_lvds_tx_parallel_register
--
-- Description : Register for the 10 data input channels of the ARRIAIIGZ
-- LVDS Tx
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
USE std.textio.all;
ENTITY arriaiigz_lvds_tx_parallel_register is
GENERIC ( channel_width : integer := 10;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01)
);
PORT ( clk : in std_logic;
enable : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic_vector(channel_width - 1 downto 0)
);
END arriaiigz_lvds_tx_parallel_register;
ARCHITECTURE vital_tx_reg of arriaiigz_lvds_tx_parallel_register is
signal clk_ipd : std_logic;
signal enable_ipd : std_logic;
signal datain_ipd : std_logic_vector(channel_width - 1 downto 0);
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (enable_ipd, enable, tipd_enable);
loopbits : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
end block;
VITAL: process (clk_ipd, enable_ipd, datain_ipd, devpor, devclrn)
variable Tviol_datain_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable i : integer := 0;
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if (now = 0 ns) then
dataout_tmp := (OTHERS => '0');
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain_ipd,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/arriaiigz_lvds_tx_parallel_register",
XOn => XOn,
MsgOn => MsgOnChecks );
end if;
if ((devpor = '0') or (devclrn = '0')) then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1') then
if (enable_ipd = '1') then
dataout_tmp := datain_ipd;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay(
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= TRANSPORT dataout_tmp AFTER CQDelay;
end process;
end vital_tx_reg;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : arriaiigz_lvds_tx_out_block
--
-- Description : Negative-edge triggered register on the Tx output.
-- Also, optionally generates an identical/inverted output clock
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
USE std.textio.all;
ENTITY arriaiigz_lvds_tx_out_block is
GENERIC ( bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk : in std_logic;
datain : in std_logic;
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic
);
END arriaiigz_lvds_tx_out_block;
ARCHITECTURE vital_tx_out_block of arriaiigz_lvds_tx_out_block is
signal clk_ipd : std_logic;
signal datain_ipd : std_logic;
signal inv_clk : integer;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process (clk_ipd, datain_ipd, devpor, devclrn)
variable dataout_VitalGlitchData : VitalGlitchDataType;
variable dataout_tmp : std_logic;
begin
if (now = 0 ns) then
dataout_tmp := '0';
else
if (bypass_serializer = "false") then
if (use_falling_clock_edge = "false") then
dataout_tmp := datain_ipd;
end if;
if (clk_ipd'event and clk_ipd = '0') then
if (use_falling_clock_edge = "true") then
dataout_tmp := datain_ipd;
end if;
end if;
else
if (invert_clock = "false") then
dataout_tmp := clk_ipd;
else
dataout_tmp := NOT (clk_ipd);
end if;
if (invert_clock = "false") then
inv_clk <= 0;
else
inv_clk <= 1;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
if (bypass_serializer = "false") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (datain_ipd'last_event, tpd_datain_dataout, TRUE),
1 => (clk_ipd'last_event, tpd_clk_dataout_negedge, use_falling_clock_edge = "true")),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
if (bypass_serializer = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
end process;
end vital_tx_out_block;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : arriaiigz_lvds_transmitter
--
-- Description : Timing simulation model for the ARRIAIIGZ LVDS Tx WYSIWYG.
-- It instantiates the following sub-modules :
-- 1) primitive DFFE
-- 2) ARRIAIIGZ_lvds_tx_parallel_register and
-- 3) ARRIAIIGZ_lvds_tx_out_block
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
USE std.textio.all;
USE work.arriaiigz_lvds_tx_parallel_register;
USE work.arriaiigz_lvds_tx_out_block;
USE work.arriaiigz_lvds_tx_reg;
ENTITY arriaiigz_lvds_transmitter is
GENERIC ( channel_width : integer := 10;
bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
use_serial_data_input : String := "false";
use_post_dpa_serial_data_input : String := "false";
is_used_as_outclk : String := "false"; -- ARRIAIIGZ
tx_output_path_delay_engineering_bits : Integer := -1; -- ARRIAIIGZ
enable_dpaclk_to_lvdsout : string := "off"; -- ARRIAIIGZ
preemphasis_setting : integer := 0;
vod_setting : integer := 0;
differential_drive : integer := 0;
lpm_type : string := "arriaiigz_lvds_transmitter";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk0_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk0_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tpd_serialdatain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_dpaclkin_dataout : VitalDelayType01 := DefPropDelay01;-- ARRIAIIGZ
tpd_postdpaserialdatain_dataout : VitalDelayType01 := DefPropDelay01;
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_enable0 : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01);
tipd_serialdatain : VitalDelayType01 := DefpropDelay01;
tipd_dpaclkin : VitalDelayType01 := DefpropDelay01; -- ARRIAIIGZ
tipd_postdpaserialdatain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk0 : in std_logic;
enable0 : in std_logic := '0';
datain : in std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
serialdatain : in std_logic := '0';
postdpaserialdatain : in std_logic := '0';
dpaclkin : in std_logic := '0';-- ARRIAIIGZ
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic;
serialfdbkout : out std_logic
);
end arriaiigz_lvds_transmitter;
ARCHITECTURE vital_transmitter_atom of arriaiigz_lvds_transmitter is
signal clk0_ipd : std_logic;
signal serialdatain_ipd : std_logic;
signal postdpaserialdatain_ipd : std_logic;
signal dpaclkin_ipd : std_logic;-- ARRIAIIGZ
signal input_data : std_logic_vector(channel_width - 1 downto 0);
signal txload0 : std_logic;
signal shift_out : std_logic;
signal clk0_dly0 : std_logic;
signal clk0_dly1 : std_logic;
signal clk0_dly2 : std_logic;
signal datain_dly : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly1 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly2 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly3 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly4 : std_logic_vector(channel_width - 1 downto 0);
signal vcc : std_logic := '1';
signal tmp_dataout : std_logic;
COMPONENT arriaiigz_lvds_tx_parallel_register
GENERIC ( channel_width : integer := 10;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01)
);
PORT ( clk : in std_logic;
enable : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic_vector(channel_width - 1 downto 0)
);
END COMPONENT;
COMPONENT arriaiigz_lvds_tx_out_block
GENERIC ( bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk : in std_logic;
datain : in std_logic;
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic
);
END COMPONENT;
COMPONENT arriaiigz_lvds_tx_reg
GENERIC (TimingChecksOn : Boolean := true;
InstancePath : STRING := "*";
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01
);
PORT ( q : out STD_LOGIC := '0';
d : in STD_LOGIC := '1';
clrn : in STD_LOGIC := '1';
prn : in STD_LOGIC := '1';
clk : in STD_LOGIC := '0';
ena : in STD_LOGIC := '1'
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk0_ipd, clk0, tipd_clk0);
VitalWireDelay (serialdatain_ipd, serialdatain, tipd_serialdatain);
VitalWireDelay (dpaclkin_ipd, dpaclkin, tipd_dpaclkin);-- ARRIAIIGZ
VitalWireDelay (postdpaserialdatain_ipd, postdpaserialdatain, tipd_postdpaserialdatain);
end block;
txload0_reg: arriaiigz_lvds_tx_reg
PORT MAP (d => enable0,
clrn => vcc,
prn => vcc,
ena => vcc,
clk => clk0_dly2,
q => txload0
);
input_reg: arriaiigz_lvds_tx_parallel_register
GENERIC MAP ( channel_width => channel_width)
PORT MAP ( clk => txload0,
enable => vcc,
datain => datain_dly,
dataout => input_data,
devclrn => devclrn,
devpor => devpor
);
output_module: arriaiigz_lvds_tx_out_block
GENERIC MAP ( bypass_serializer => bypass_serializer,
use_falling_clock_edge => use_falling_clock_edge,
invert_clock => invert_clock)
PORT MAP ( clk => clk0_dly2,
datain => shift_out,
dataout => tmp_dataout,
devclrn => devclrn,
devpor => devpor
);
clk_delay: process (clk0_ipd, datain)
begin
clk0_dly0 <= clk0_ipd;
datain_dly1 <= datain;
end process;
clk_delay1: process (clk0_dly0, datain_dly1)
begin
clk0_dly1 <= clk0_dly0;
datain_dly2 <= datain_dly1;
end process;
clk_delay2: process (clk0_dly1, datain_dly2)
begin
clk0_dly2 <= clk0_dly1;
datain_dly3 <= datain_dly2;
end process;
data_delay: process (datain_dly3)
begin
datain_dly4 <= datain_dly3;
end process;
data_delay1: process (datain_dly4)
begin
datain_dly <= datain_dly4;
end process;
VITAL: process (clk0_ipd, devclrn, devpor)
variable dataout_VitalGlitchData : VitalGlitchDataType;
variable i : integer := 0;
variable shift_data : std_logic_vector(channel_width-1 downto 0);
begin
if (now = 0 ns) then
shift_data := (OTHERS => '0');
end if;
if ((devpor = '0') or (devclrn = '0')) then
shift_data := (OTHERS => '0');
else
if (bypass_serializer = "false") then
if (clk0_ipd'event and clk0_ipd = '1') then
if (txload0 = '1') then
shift_data := input_data;
end if;
shift_out <= shift_data(channel_width - 1);
for i in channel_width-1 downto 1 loop
shift_data(i) := shift_data(i - 1);
end loop;
end if;
end if;
end if;
end process;
process (serialdatain_ipd,
postdpaserialdatain_ipd,
dpaclkin_ipd, -- ARRIAIIGZ
tmp_dataout
)
variable dataout_tmp : std_logic := '0';
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
if (serialdatain_ipd'event and use_serial_data_input = "true") then
dataout_tmp := serialdatain_ipd;
elsif (postdpaserialdatain_ipd'event and use_post_dpa_serial_data_input = "true") then
dataout_tmp := postdpaserialdatain_ipd;
elsif (dpaclkin_ipd'event and enable_dpaclk_to_lvdsout = "on") then-- ARRIAIIGZ
dataout_tmp := dpaclkin_ipd;-- ARRIAIIGZ
else
dataout_tmp := tmp_dataout;
end if;
----------------------
-- Path Delay Section
----------------------
if (use_serial_data_input = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (serialdatain_ipd'last_event, tpd_serialdatain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
elsif (use_post_dpa_serial_data_input = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (postdpaserialdatain_ipd'last_event, tpd_postdpaserialdatain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
elsif (enable_dpaclk_to_lvdsout = "on") then -- ARRIAIIGZ
VitalPathDelay01 ( -- ARRIAIIGZ
OutSignal => dataout, -- ARRIAIIGZ
OutSignalName => "DATAOUT", -- ARRIAIIGZ
OutTemp => dataout_tmp, -- ARRIAIIGZ
Paths => (0 => (dpaclkin_ipd'last_event, tpd_dpaclkin_dataout, TRUE)), -- ARRIAIIGZ
GlitchData => dataout_VitalGlitchData, -- ARRIAIIGZ
Mode => DefGlitchMode, -- ARRIAIIGZ
XOn => XOn, -- ARRIAIIGZ
MsgOn => MsgOn ); -- ARRIAIIGZ
else
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (tmp_dataout'last_event, DefPropDelay01, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
end process;
end vital_transmitter_atom;
--
--
-- ARRIAIIGZ_RUBLOCK Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_rublock is
generic
(
sim_init_config : string := "factory";
sim_init_watchdog_value : integer := 0;
sim_init_status : integer := 0;
--ADD_CYCLONEIV sim_init_config_is_application : string := "false";
--ADD_CYCLONEIV sim_init_watchdog_enabled : string := "false";
--ADD_CYCLONEIV operation_mode : string := "active_serial_remote";
lpm_type : string := "arriaiigz_rublock"
);
port
(
clk : in std_logic;
shiftnld : in std_logic;
captnupdt : in std_logic;
regin : in std_logic;
rsttimer : in std_logic;
rconfig : in std_logic;
regout : out std_logic
);
end arriaiigz_rublock;
architecture architecture_rublock of arriaiigz_rublock is
begin
end architecture_rublock;
----------------------------------------------------------------------------
-- Module Name : arriaiigz_ram_register
-- Description : Register module for RAM inputs/outputs
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_ram_register IS
GENERIC (
width : INTEGER := 1;
preset : STD_LOGIC := '0';
tipd_d : VitalDelayArrayType01(143 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_stall : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpw_ena_posedge : VitalDelayType := DefPulseWdthCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aclr_q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_stall_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_stall_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_aclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_aclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst
);
PORT (
d : IN STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
stall : IN STD_LOGIC;
aclr : IN STD_LOGIC;
devclrn : IN STD_LOGIC;
devpor : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
aclrout : OUT STD_LOGIC
);
END arriaiigz_ram_register;
ARCHITECTURE reg_arch OF arriaiigz_ram_register IS
SIGNAL d_ipd : STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
SIGNAL clk_ipd : STD_LOGIC;
SIGNAL ena_ipd : STD_LOGIC;
SIGNAL aclr_ipd : STD_LOGIC;
SIGNAL stall_ipd : STD_LOGIC;
BEGIN
WireDelay : BLOCK
BEGIN
loopbits : FOR i in d'RANGE GENERATE
VitalWireDelay (d_ipd(i), d(i), tipd_d(i));
END GENERATE;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (stall_ipd, stall, tipd_stall);
END BLOCK;
PROCESS (d_ipd,ena_ipd,stall_ipd,clk_ipd,aclr_ipd,devclrn,devpor)
VARIABLE Tviol_clk_ena : STD_ULOGIC := '0';
VARIABLE Tviol_clk_aclr : STD_ULOGIC := '0';
VARIABLE Tviol_data_clk : STD_ULOGIC := '0';
VARIABLE TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_stall : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_aclr : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_data_clk : VitalTimingDataType := VitalTimingDataInit;
VARIABLE Tviol_ena : STD_ULOGIC := '0';
VARIABLE PeriodData_ena : VitalPeriodDataType := VitalPeriodDataInit;
VARIABLE q_VitalGlitchDataArray : VitalGlitchDataArrayType(143 downto 0);
VARIABLE CQDelay : TIME := 0 ns;
VARIABLE q_reg : STD_LOGIC_VECTOR(width - 1 DOWNTO 0) := (OTHERS => preset);
BEGIN
IF (aclr_ipd = '1' OR devclrn = '0' OR devpor = '0') THEN
q_reg := (OTHERS => preset);
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1' AND stall_ipd = '0') THEN
q_reg := d_ipd;
END IF;
-- Timing checks
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_ena,
TestSignal => ena_ipd,
TestSignalName => "ena",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_stall,
TestSignal => stall_ipd,
TestSignalName => "stall",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_stall_clk_noedge_posedge,
SetupLow => tsetup_stall_clk_noedge_posedge,
HoldHigh => thold_stall_clk_noedge_posedge,
HoldLow => thold_stall_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_aclr,
TimingData => TimingData_clk_aclr,
TestSignal => aclr_ipd,
TestSignalName => "aclr",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_aclr_clk_noedge_posedge,
SetupLow => tsetup_aclr_clk_noedge_posedge,
HoldHigh => thold_aclr_clk_noedge_posedge,
HoldLow => thold_aclr_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_data_clk,
TimingData => TimingData_data_clk,
TestSignal => d_ipd,
TestSignalName => "data",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalPeriodPulseCheck (
Violation => Tviol_ena,
PeriodData => PeriodData_ena,
TestSignal => ena_ipd,
TestSignalName => "ena",
PulseWidthHigh => tpw_ena_posedge,
HeaderMsg => "/RAM Register VitalPeriodPulseCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
-- Path Delay Selection
CQDelay := SelectDelay (
Paths => (
(0 => (clk_ipd'LAST_EVENT,tpd_clk_q_posedge,TRUE),
1 => (aclr_ipd'LAST_EVENT,tpd_aclr_q_posedge,TRUE))
)
);
q <= TRANSPORT q_reg AFTER CQDelay;
END PROCESS;
aclrout <= aclr_ipd;
END reg_arch;
----------------------------------------------------------------------------
-- Module Name : arriaiigz_ram_pulse_generator
-- Description : Generate pulse to initiate memory read/write operations
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_ram_pulse_generator IS
GENERIC (
tipd_clk : VitalDelayType01 := (0.5 ns,0.5 ns);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tpd_clk_pulse_posedge : VitalDelayType01 := DefPropDelay01
);
PORT (
clk,ena : IN STD_LOGIC;
delaywrite : IN STD_LOGIC := '0';
pulse,cycle : OUT STD_LOGIC
);
ATTRIBUTE VITAL_Level0 OF arriaiigz_ram_pulse_generator:ENTITY IS TRUE;
END arriaiigz_ram_pulse_generator;
ARCHITECTURE pgen_arch OF arriaiigz_ram_pulse_generator IS
SIGNAL clk_ipd,ena_ipd : STD_LOGIC;
SIGNAL state : STD_LOGIC;
ATTRIBUTE VITAL_Level0 OF pgen_arch:ARCHITECTURE IS TRUE;
BEGIN
WireDelay : BLOCK
BEGIN
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
END BLOCK;
PROCESS (clk_ipd,state)
BEGIN
IF (state = '1' AND state'EVENT) THEN
state <= '0';
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1') THEN
IF (delaywrite = '1') THEN
state <= '1' AFTER 1 NS; -- delayed write
ELSE
state <= '1';
END IF;
END IF;
END PROCESS;
PathDelay : PROCESS
VARIABLE pulse_VitalGlitchData : VitalGlitchDataType;
BEGIN
WAIT UNTIL state'EVENT;
VitalPathDelay01 (
OutSignal => pulse,
OutSignalName => "pulse",
OutTemp => state,
Paths => (0 => (clk_ipd'LAST_EVENT,tpd_clk_pulse_posedge,TRUE)),
GlitchData => pulse_VitalGlitchData,
Mode => DefGlitchMode,
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks
);
END PROCESS;
cycle <= clk_ipd;
END pgen_arch;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
USE work.arriaiigz_ram_register;
USE work.arriaiigz_ram_pulse_generator;
ENTITY arriaiigz_ram_block IS
GENERIC (
-- -------- GLOBAL PARAMETERS ---------
operation_mode : STRING := "single_port";
mixed_port_feed_through_mode : STRING := "dont_care";
ram_block_type : STRING := "auto";
logical_ram_name : STRING := "ram_name";
init_file : STRING := "init_file.hex";
init_file_layout : STRING := "none";
enable_ecc : STRING := "false";
width_eccstatus : INTEGER := 3;
data_interleave_width_in_bits : INTEGER := 1;
data_interleave_offset_in_bits : INTEGER := 1;
port_a_logical_ram_depth : INTEGER := 0;
port_a_logical_ram_width : INTEGER := 0;
port_a_first_address : INTEGER := 0;
port_a_last_address : INTEGER := 0;
port_a_first_bit_number : INTEGER := 0;
port_a_address_clear : STRING := "none";
port_a_data_out_clear : STRING := "none";
port_a_data_in_clock : STRING := "clock0";
port_a_address_clock : STRING := "clock0";
port_a_write_enable_clock : STRING := "clock0";
port_a_read_enable_clock : STRING := "clock0";
port_a_byte_enable_clock : STRING := "clock0";
port_a_data_out_clock : STRING := "none";
port_a_data_width : INTEGER := 1;
port_a_address_width : INTEGER := 1;
port_a_byte_enable_mask_width : INTEGER := 1;
port_b_logical_ram_depth : INTEGER := 0;
port_b_logical_ram_width : INTEGER := 0;
port_b_first_address : INTEGER := 0;
port_b_last_address : INTEGER := 0;
port_b_first_bit_number : INTEGER := 0;
port_b_address_clear : STRING := "none";
port_b_data_out_clear : STRING := "none";
port_b_data_in_clock : STRING := "clock1";
port_b_address_clock : STRING := "clock1";
port_b_write_enable_clock: STRING := "clock1";
port_b_read_enable_clock: STRING := "clock1";
port_b_byte_enable_clock : STRING := "clock1";
port_b_data_out_clock : STRING := "none";
port_b_data_width : INTEGER := 1;
port_b_address_width : INTEGER := 1;
port_b_byte_enable_mask_width : INTEGER := 1;
port_a_read_during_write_mode : STRING := "new_data_no_nbe_read";
port_b_read_during_write_mode : STRING := "new_data_no_nbe_read";
power_up_uninitialized : STRING := "false";
port_b_byte_size : INTEGER := 0;
port_a_byte_size : INTEGER := 0;
lpm_type : string := "arriaiigz_ram_block";
lpm_hint : string := "true";
clk0_input_clock_enable : STRING := "none"; -- ena0,ena2,none
clk0_core_clock_enable : STRING := "none"; -- ena0,ena2,none
clk0_output_clock_enable : STRING := "none"; -- ena0,none
clk1_input_clock_enable : STRING := "none"; -- ena1,ena3,none
clk1_core_clock_enable : STRING := "none"; -- ena1,ena3,none
clk1_output_clock_enable : STRING := "none"; -- ena1,none
clock_duty_cycle_dependence : STRING := "On";
mem_init0 : BIT_VECTOR := X"0";
mem_init1 : BIT_VECTOR := X"0";
mem_init2 : BIT_VECTOR := X"0";
mem_init3 : BIT_VECTOR := X"0";
mem_init4 : BIT_VECTOR := X"0";
mem_init5 : BIT_VECTOR := X"0";
mem_init6 : BIT_VECTOR := X"0";
mem_init7 : BIT_VECTOR := X"0";
mem_init8 : BIT_VECTOR := X"0";
mem_init9 : BIT_VECTOR := X"0";
mem_init10 : BIT_VECTOR := X"0";
mem_init11 : BIT_VECTOR := X"0";
mem_init12 : BIT_VECTOR := X"0";
mem_init13 : BIT_VECTOR := X"0";
mem_init14 : BIT_VECTOR := X"0";
mem_init15 : BIT_VECTOR := X"0";
mem_init16 : BIT_VECTOR := X"0";
mem_init17 : BIT_VECTOR := X"0";
mem_init18 : BIT_VECTOR := X"0";
mem_init19 : BIT_VECTOR := X"0";
mem_init20 : BIT_VECTOR := X"0";
mem_init21 : BIT_VECTOR := X"0";
mem_init22 : BIT_VECTOR := X"0";
mem_init23 : BIT_VECTOR := X"0";
mem_init24 : BIT_VECTOR := X"0";
mem_init25 : BIT_VECTOR := X"0";
mem_init26 : BIT_VECTOR := X"0";
mem_init27 : BIT_VECTOR := X"0";
mem_init28 : BIT_VECTOR := X"0";
mem_init29 : BIT_VECTOR := X"0";
mem_init30 : BIT_VECTOR := X"0";
mem_init31 : BIT_VECTOR := X"0";
mem_init32 : BIT_VECTOR := X"0";
mem_init33 : BIT_VECTOR := X"0";
mem_init34 : BIT_VECTOR := X"0";
mem_init35 : BIT_VECTOR := X"0";
mem_init36 : BIT_VECTOR := X"0";
mem_init37 : BIT_VECTOR := X"0";
mem_init38 : BIT_VECTOR := X"0";
mem_init39 : BIT_VECTOR := X"0";
mem_init40 : BIT_VECTOR := X"0";
mem_init41 : BIT_VECTOR := X"0";
mem_init42 : BIT_VECTOR := X"0";
mem_init43 : BIT_VECTOR := X"0";
mem_init44 : BIT_VECTOR := X"0";
mem_init45 : BIT_VECTOR := X"0";
mem_init46 : BIT_VECTOR := X"0";
mem_init47 : BIT_VECTOR := X"0";
mem_init48 : BIT_VECTOR := X"0";
mem_init49 : BIT_VECTOR := X"0";
mem_init50 : BIT_VECTOR := X"0";
mem_init51 : BIT_VECTOR := X"0";
mem_init52 : BIT_VECTOR := X"0";
mem_init53 : BIT_VECTOR := X"0";
mem_init54 : BIT_VECTOR := X"0";
mem_init55 : BIT_VECTOR := X"0";
mem_init56 : BIT_VECTOR := X"0";
mem_init57 : BIT_VECTOR := X"0";
mem_init58 : BIT_VECTOR := X"0";
mem_init59 : BIT_VECTOR := X"0";
mem_init60 : BIT_VECTOR := X"0";
mem_init61 : BIT_VECTOR := X"0";
mem_init62 : BIT_VECTOR := X"0";
mem_init63 : BIT_VECTOR := X"0";
mem_init64 : BIT_VECTOR := X"0";
mem_init65 : BIT_VECTOR := X"0";
mem_init66 : BIT_VECTOR := X"0";
mem_init67 : BIT_VECTOR := X"0";
mem_init68 : BIT_VECTOR := X"0";
mem_init69 : BIT_VECTOR := X"0";
mem_init70 : BIT_VECTOR := X"0";
mem_init71 : BIT_VECTOR := X"0";
connectivity_checking : string := "off"
);
-- -------- PORT DECLARATIONS ---------
PORT (
portadatain : IN STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portaaddr : IN STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portawe : IN STD_LOGIC := '0';
portare : IN STD_LOGIC := '1';
portbdatain : IN STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portbaddr : IN STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portbwe : IN STD_LOGIC := '0';
portbre : IN STD_LOGIC := '1';
clk0 : IN STD_LOGIC := '0';
clk1 : IN STD_LOGIC := '0';
ena0 : IN STD_LOGIC := '1';
ena1 : IN STD_LOGIC := '1';
ena2 : IN STD_LOGIC := '1';
ena3 : IN STD_LOGIC := '1';
clr0 : IN STD_LOGIC := '0';
clr1 : IN STD_LOGIC := '0';
portabyteenamasks : IN STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
portbbyteenamasks : IN STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
devclrn : IN STD_LOGIC := '1';
devpor : IN STD_LOGIC := '1';
portaaddrstall : IN STD_LOGIC := '0';
portbaddrstall : IN STD_LOGIC := '0';
eccstatus : OUT STD_LOGIC_VECTOR(width_eccstatus - 1 DOWNTO 0) := (OTHERS => '0');
dftout : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := "000000000";
portadataout : OUT STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
portbdataout : OUT STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0)
);
END arriaiigz_ram_block;
ARCHITECTURE block_arch OF arriaiigz_ram_block IS
COMPONENT arriaiigz_ram_pulse_generator
PORT (
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
delaywrite : IN STD_LOGIC := '0';
pulse : OUT STD_LOGIC;
cycle : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT arriaiigz_ram_register
GENERIC (
preset : STD_LOGIC := '0';
width : integer := 1
);
PORT (
d : IN STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
clk : IN STD_LOGIC;
aclr : IN STD_LOGIC;
devclrn : IN STD_LOGIC;
devpor : IN STD_LOGIC;
ena : IN STD_LOGIC;
stall : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
aclrout : OUT STD_LOGIC
);
END COMPONENT;
FUNCTION cond (condition : BOOLEAN;CONSTANT a,b : INTEGER) RETURN INTEGER IS
VARIABLE c: INTEGER;
BEGIN
IF (condition) THEN c := a; ELSE c := b; END IF;
RETURN c;
END;
SUBTYPE port_type IS BOOLEAN;
CONSTANT primary : port_type := TRUE;
CONSTANT secondary : port_type := FALSE;
CONSTANT primary_port_is_a : BOOLEAN := (port_b_data_width <= port_a_data_width);
CONSTANT primary_port_is_b : BOOLEAN := NOT primary_port_is_a;
CONSTANT mode_is_rom : BOOLEAN := (operation_mode = "rom");
CONSTANT mode_is_sp : BOOLEAN := (operation_mode = "single_port");
CONSTANT mode_is_dp : BOOLEAN := (operation_mode = "dual_port");
CONSTANT mode_is_bdp : BOOLEAN := (operation_mode = "bidir_dual_port");
CONSTANT wired_mode : BOOLEAN := (port_a_address_width = port_b_address_width) AND (port_a_address_width = 1)
AND (port_a_data_width /= port_b_data_width);
CONSTANT num_cols : INTEGER := cond(mode_is_rom OR mode_is_sp,1,
cond(wired_mode,2,2 ** (ABS(port_b_address_width - port_a_address_width))));
CONSTANT data_width : INTEGER := cond(primary_port_is_a,port_a_data_width,port_b_data_width);
CONSTANT data_unit_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_b,port_a_data_width,port_b_data_width);
CONSTANT address_unit_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_a,port_a_address_width,port_b_address_width);
CONSTANT address_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_b,port_a_address_width,port_b_address_width);
CONSTANT byte_size_a : INTEGER := port_a_data_width / port_a_byte_enable_mask_width;
CONSTANT byte_size_b : INTEGER := port_b_data_width / port_b_byte_enable_mask_width;
CONSTANT out_a_is_reg : BOOLEAN := (port_a_data_out_clock /= "none" AND port_a_data_out_clock /= "UNUSED");
CONSTANT out_b_is_reg : BOOLEAN := (port_b_data_out_clock /= "none" AND port_b_data_out_clock /= "UNUSED");
CONSTANT bytes_a_disabled : STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '0');
CONSTANT bytes_b_disabled : STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '0');
CONSTANT ram_type : BOOLEAN := FALSE;
TYPE bool_to_std_logic_map IS ARRAY(TRUE DOWNTO FALSE) OF STD_LOGIC;
CONSTANT bool_to_std_logic : bool_to_std_logic_map := ('1','0');
-- Hardware write modes
CONSTANT dual_clock : BOOLEAN := (operation_mode = "dual_port" OR
operation_mode = "bidir_dual_port") AND
(port_b_address_clock = "clock1");
CONSTANT both_new_data_same_port : BOOLEAN := (
((port_a_read_during_write_mode = "new_data_no_nbe_read") OR
(port_a_read_during_write_mode = "dont_care")) AND
((port_b_read_during_write_mode = "new_data_no_nbe_read") OR
(port_b_read_during_write_mode = "dont_care"))
);
SIGNAL hw_write_mode_a : STRING(3 DOWNTO 1);
SIGNAL hw_write_mode_b : STRING(3 DOWNTO 1);
SIGNAL delay_write_pulse_a : STD_LOGIC ;
SIGNAL delay_write_pulse_b : STD_LOGIC ;
CONSTANT be_mask_write_a : BOOLEAN := (port_a_read_during_write_mode = "new_data_with_nbe_read");
CONSTANT be_mask_write_b : BOOLEAN := (port_b_read_during_write_mode = "new_data_with_nbe_read");
CONSTANT old_data_write_a : BOOLEAN := (port_a_read_during_write_mode = "old_data");
CONSTANT old_data_write_b : BOOLEAN := (port_b_read_during_write_mode = "old_data");
SIGNAL read_before_write_a : BOOLEAN;
SIGNAL read_before_write_b : BOOLEAN;
-- -------- internal signals ---------
-- clock / clock enable
SIGNAL clk_a_in,clk_b_in : STD_LOGIC;
SIGNAL clk_a_byteena,clk_b_byteena : STD_LOGIC;
SIGNAL clk_a_out,clk_b_out : STD_LOGIC;
SIGNAL clkena_a_out,clkena_b_out : STD_LOGIC;
SIGNAL clkena_out_c0, clkena_out_c1 : STD_LOGIC;
SIGNAL write_cycle_a,write_cycle_b : STD_LOGIC;
SIGNAL clk_a_rena, clk_a_wena : STD_LOGIC;
SIGNAL clk_a_core : STD_LOGIC;
SIGNAL clk_b_rena, clk_b_wena : STD_LOGIC;
SIGNAL clk_b_core : STD_LOGIC;
SUBTYPE one_bit_bus_type IS STD_LOGIC_VECTOR(0 DOWNTO 0);
-- asynch clear
TYPE clear_mode_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
TYPE clear_vec_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF STD_LOGIC;
SIGNAL datain_a_clr,datain_b_clr : STD_LOGIC;
SIGNAL dataout_a_clr,dataout_b_clr : STD_LOGIC;
SIGNAL dataout_a_clr_reg, dataout_b_clr_reg : STD_LOGIC;
SIGNAL dataout_a_clr_reg_in, dataout_b_clr_reg_in : one_bit_bus_type;
SIGNAL dataout_a_clr_reg_out, dataout_b_clr_reg_out : one_bit_bus_type;
SIGNAL addr_a_clr,addr_b_clr : STD_LOGIC;
SIGNAL byteena_a_clr,byteena_b_clr : STD_LOGIC;
SIGNAL we_a_clr,re_a_clr,we_b_clr,re_b_clr : STD_LOGIC;
SIGNAL datain_a_clr_in,datain_b_clr_in : STD_LOGIC;
SIGNAL addr_a_clr_in,addr_b_clr_in : STD_LOGIC;
SIGNAL byteena_a_clr_in,byteena_b_clr_in : STD_LOGIC;
SIGNAL we_a_clr_in,re_a_clr_in,we_b_clr_in,re_b_clr_in : STD_LOGIC;
SIGNAL mem_invalidate,mem_invalidate_loc,read_latch_invalidate : clear_mode_type;
SIGNAL clear_asserted_during_write : clear_vec_type;
-- port A registers
SIGNAL we_a_reg : STD_LOGIC;
SIGNAL re_a_reg : STD_LOGIC;
SIGNAL we_a_reg_in,we_a_reg_out : one_bit_bus_type;
SIGNAL re_a_reg_in,re_a_reg_out : one_bit_bus_type;
SIGNAL addr_a_reg : STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0);
SIGNAL datain_a_reg : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL dataout_a_reg : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL dataout_a : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL byteena_a_reg : STD_LOGIC_VECTOR(port_a_byte_enable_mask_width- 1 DOWNTO 0);
-- port B registers
SIGNAL we_b_reg, re_b_reg : STD_LOGIC;
SIGNAL re_b_reg_in,re_b_reg_out,we_b_reg_in,we_b_reg_out : one_bit_bus_type;
SIGNAL addr_b_reg : STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0);
SIGNAL datain_b_reg : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL dataout_b_reg : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL dataout_b : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL byteena_b_reg : STD_LOGIC_VECTOR(port_b_byte_enable_mask_width- 1 DOWNTO 0);
-- pulses
TYPE pulse_vec IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF STD_LOGIC;
SIGNAL write_pulse,read_pulse,read_pulse_feedthru : pulse_vec;
SIGNAL rw_pulse : pulse_vec;
SIGNAL wpgen_a_clk,wpgen_a_clkena,wpgen_b_clk,wpgen_b_clkena : STD_LOGIC;
SIGNAL rpgen_a_clkena,rpgen_b_clkena : STD_LOGIC;
SIGNAL ftpgen_a_clkena,ftpgen_b_clkena : STD_LOGIC;
SIGNAL rwpgen_a_clkena,rwpgen_b_clkena : STD_LOGIC;
-- registered address
SIGNAL addr_prime_reg,addr_sec_reg : INTEGER;
-- input/output
SIGNAL datain_prime_reg,dataout_prime : STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0);
SIGNAL datain_sec_reg,dataout_sec : STD_LOGIC_VECTOR(data_unit_width - 1 DOWNTO 0);
-- overlapping location write
SIGNAL dual_write : BOOLEAN;
-- byte enable mask write
TYPE be_mask_write_vec IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
SIGNAL be_mask_write : be_mask_write_vec;
-- memory core
SUBTYPE mem_word_type IS STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
SUBTYPE mem_col_type IS STD_LOGIC_VECTOR (data_unit_width - 1 DOWNTO 0);
TYPE mem_row_type IS ARRAY (num_cols - 1 DOWNTO 0) OF mem_col_type;
TYPE mem_type IS ARRAY ((2 ** address_unit_width) - 1 DOWNTO 0) OF mem_row_type;
SIGNAL mem : mem_type;
SIGNAL init_mem : BOOLEAN := FALSE;
CONSTANT mem_x : mem_type := (OTHERS => (OTHERS => (OTHERS => 'X')));
CONSTANT row_x : mem_row_type := (OTHERS => (OTHERS => 'X'));
CONSTANT col_x : mem_col_type := (OTHERS => 'X');
SIGNAL mem_data : mem_row_type;
SIGNAL old_mem_data : mem_row_type;
SIGNAL mem_unit_data : mem_col_type;
-- latches
TYPE read_latch_rec IS RECORD
prime : mem_row_type;
sec : mem_col_type;
END RECORD;
SIGNAL read_latch : read_latch_rec;
-- (row,column) coordinates
SIGNAL row_sec,col_sec : INTEGER;
-- byte enable
TYPE mask_type IS (normal,inverse);
TYPE mask_prime_type IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_word_type;
TYPE mask_sec_type IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_col_type;
TYPE mask_rec IS RECORD
prime : mask_prime_type;
sec : mask_sec_type;
END RECORD;
SIGNAL mask_vector : mask_rec;
SIGNAL mask_vector_common : mem_col_type;
FUNCTION get_mask(
b_ena : IN STD_LOGIC_VECTOR;
mode : port_type;
CONSTANT b_ena_width ,byte_size: INTEGER
) RETURN mask_rec IS
VARIABLE l : INTEGER;
VARIABLE mask : mask_rec := (
(normal => (OTHERS => '0'),inverse => (OTHERS => 'X')),
(normal => (OTHERS => '0'),inverse => (OTHERS => 'X'))
);
BEGIN
FOR l in 0 TO b_ena_width - 1 LOOP
IF (b_ena(l) = '0') THEN
IF (mode = primary) THEN
mask.prime(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask.prime(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
ELSE
mask.sec(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask.sec(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
END IF;
ELSIF (b_ena(l) = 'X' OR b_ena(l) = 'U') THEN
IF (mode = primary) THEN
mask.prime(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
ELSE
mask.sec(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
END IF;
END IF;
END LOOP;
RETURN mask;
END get_mask;
-- port active for read/write
SIGNAL active_a_core_in_vec,active_b_core_in_vec,active_a_core_out,active_b_core_out : one_bit_bus_type;
SIGNAL active_a_in,active_b_in : STD_LOGIC;
SIGNAL active_write_a : BOOLEAN;
SIGNAL active_write_b : BOOLEAN;
SIGNAL active_b_in_c0,active_b_core_in_c0,active_b_in_c1,active_b_core_in_c1 : STD_LOGIC;
SIGNAL active_a_core_in,active_b_core_in : STD_LOGIC;
SIGNAL active_a_core, active_b_core : BOOLEAN;
SIGNAL wire_vcc : STD_LOGIC := '1';
SIGNAL wire_gnd : STD_LOGIC := '0';
BEGIN
-- memory initialization
init_mem <= TRUE;
-- hardware write modes
hw_write_mode_a <= "R+W" WHEN ((port_a_read_during_write_mode = "old_data") OR
(port_a_read_during_write_mode = "new_data_with_nbe_read")) ELSE
" FW" WHEN (dual_clock OR (
mixed_port_feed_through_mode = "dont_care" AND
both_new_data_same_port
)) ELSE
" DW";
hw_write_mode_b <= "R+W" WHEN ((port_b_read_during_write_mode = "old_data") OR
(port_b_read_during_write_mode = "new_data_with_nbe_read")) ELSE
" FW" WHEN (dual_clock OR (
mixed_port_feed_through_mode = "dont_care" AND
both_new_data_same_port
)) ELSE
" DW";
delay_write_pulse_a <= '0' WHEN (mode_is_dp AND mixed_port_feed_through_mode = "dont_care") ELSE '1' WHEN (hw_write_mode_a /= " FW") ELSE '0';
delay_write_pulse_b <= '1' WHEN (hw_write_mode_b /= " FW") ELSE '0' ;
read_before_write_a <= (hw_write_mode_a = "R+W");
read_before_write_b <= (hw_write_mode_b = "R+W");
-- -------- core logic ---------------
clk_a_in <= clk0;
clk_a_wena <= '0' WHEN (port_a_write_enable_clock = "none") ELSE clk_a_in;
clk_a_rena <= '0' WHEN (port_a_read_enable_clock = "none") ELSE clk_a_in;
clk_a_byteena <= '0' WHEN (port_a_byte_enable_clock = "none" OR port_a_byte_enable_clock = "UNUSED") ELSE clk_a_in;
clk_a_out <= '0' WHEN (port_a_data_out_clock = "none" OR port_a_data_out_clock = "UNUSED") ELSE
clk0 WHEN (port_a_data_out_clock = "clock0") ELSE clk1;
clk_b_in <= clk0 WHEN (port_b_address_clock = "clock0") ELSE clk1;
clk_b_byteena <= '0' WHEN (port_b_byte_enable_clock = "none" OR port_b_byte_enable_clock = "UNUSED") ELSE
clk0 WHEN (port_b_byte_enable_clock = "clock0") ELSE clk1;
clk_b_wena <= '0' WHEN (port_b_write_enable_clock = "none") ELSE
clk0 WHEN (port_b_write_enable_clock = "clock0") ELSE
clk1;
clk_b_rena <= '0' WHEN (port_b_read_enable_clock = "none") ELSE
clk0 WHEN (port_b_read_enable_clock = "clock0") ELSE
clk1;
clk_b_out <= '0' WHEN (port_b_data_out_clock = "none" OR port_b_data_out_clock = "UNUSED") ELSE
clk0 WHEN (port_b_data_out_clock = "clock0") ELSE clk1;
addr_a_clr_in <= '0' WHEN (port_a_address_clear = "none" OR port_a_address_clear = "UNUSED") ELSE clr0;
addr_b_clr_in <= '0' WHEN (port_b_address_clear = "none" OR port_b_address_clear = "UNUSED") ELSE
clr0 WHEN (port_b_address_clear = "clear0") ELSE clr1;
datain_a_clr_in <= '0';
datain_b_clr_in <= '0';
dataout_a_clr <= '0' WHEN (port_a_data_out_clear = "none" OR port_a_data_out_clear = "UNUSED") ELSE
clr0 WHEN (port_a_data_out_clear = "clear0") ELSE clr1;
dataout_b_clr <= '0' WHEN (port_b_data_out_clear = "none" OR port_b_data_out_clear = "UNUSED") ELSE
clr0 WHEN (port_b_data_out_clear = "clear0") ELSE clr1;
byteena_a_clr_in <= '0';
byteena_b_clr_in <= '0';
we_a_clr_in <= '0';
re_a_clr_in <= '0';
we_b_clr_in <= '0';
re_b_clr_in <= '0';
active_a_in <= '1' WHEN (clk0_input_clock_enable = "none") ELSE
ena0 WHEN (clk0_input_clock_enable = "ena0") ELSE
ena2;
active_a_core_in <= '1' WHEN (clk0_core_clock_enable = "none") ELSE
ena0 WHEN (clk0_core_clock_enable = "ena0") ELSE
ena2;
be_mask_write(primary_port_is_a) <= be_mask_write_a;
be_mask_write(primary_port_is_b) <= be_mask_write_b;
active_b_in_c0 <= '1' WHEN (clk0_input_clock_enable = "none") ELSE
ena0 WHEN (clk0_input_clock_enable = "ena0") ELSE
ena2;
active_b_in_c1 <= '1' WHEN (clk1_input_clock_enable = "none") ELSE
ena1 WHEN (clk1_input_clock_enable = "ena1") ELSE
ena3;
active_b_in <= active_b_in_c0 WHEN (port_b_address_clock = "clock0") ELSE active_b_in_c1;
active_b_core_in_c0 <= '1' WHEN (clk0_core_clock_enable = "none") ELSE
ena0 WHEN (clk0_core_clock_enable = "ena0") ELSE
ena2;
active_b_core_in_c1 <= '1' WHEN (clk1_core_clock_enable = "none") ELSE
ena1 WHEN (clk1_core_clock_enable = "ena1") ELSE
ena3;
active_b_core_in <= active_b_core_in_c0 WHEN (port_b_address_clock = "clock0") ELSE active_b_core_in_c1;
active_write_a <= (byteena_a_reg /= bytes_a_disabled);
active_write_b <= (byteena_b_reg /= bytes_b_disabled);
-- Store core clock enable value for delayed write
-- port A core active
active_a_core_in_vec(0) <= active_a_core_in;
active_core_port_a : arriaiigz_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => active_a_core_in_vec,
clk => clk_a_in,
aclr => wire_gnd,
devclrn => wire_vcc,devpor => wire_vcc,
ena => wire_vcc,
stall => wire_gnd,
q => active_a_core_out
);
active_a_core <= (active_a_core_out(0) = '1');
-- port B core active
active_b_core_in_vec(0) <= active_b_core_in;
active_core_port_b : arriaiigz_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => active_b_core_in_vec,
clk => clk_b_in,
aclr => wire_gnd,
devclrn => wire_vcc,devpor => wire_vcc,
ena => wire_vcc,
stall => wire_gnd,
q => active_b_core_out
);
active_b_core <= (active_b_core_out(0) = '1');
-- ------ A input registers
-- write enable
we_a_reg_in(0) <= '0' WHEN mode_is_rom ELSE portawe;
we_a_register : arriaiigz_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => we_a_reg_in,
clk => clk_a_wena,
aclr => we_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_core_in,
q => we_a_reg_out,
aclrout => we_a_clr
);
we_a_reg <= we_a_reg_out(0);
-- read enable
re_a_reg_in(0) <= portare;
re_a_register : arriaiigz_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => re_a_reg_in,
clk => clk_a_rena,
aclr => re_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_core_in,
q => re_a_reg_out,
aclrout => re_a_clr
);
re_a_reg <= re_a_reg_out(0);
-- address
addr_a_register : arriaiigz_ram_register
GENERIC MAP ( width => port_a_address_width )
PORT MAP (
d => portaaddr,
clk => clk_a_in,
aclr => addr_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => portaaddrstall,
ena => active_a_in,
q => addr_a_reg,
aclrout => addr_a_clr
);
-- data
datain_a_register : arriaiigz_ram_register
GENERIC MAP ( width => port_a_data_width )
PORT MAP (
d => portadatain,
clk => clk_a_in,
aclr => datain_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => datain_a_reg,
aclrout => datain_a_clr
);
-- byte enable
byteena_a_register : arriaiigz_ram_register
GENERIC MAP (
width => port_a_byte_enable_mask_width,
preset => '1'
)
PORT MAP (
d => portabyteenamasks,
clk => clk_a_byteena,
aclr => byteena_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => byteena_a_reg,
aclrout => byteena_a_clr
);
-- ------ B input registers
-- read enable
re_b_reg_in(0) <= portbre;
re_b_register : arriaiigz_ram_register
GENERIC MAP (
width => 1
)
PORT MAP (
d => re_b_reg_in,
clk => clk_b_in,
aclr => re_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_core_in,
q => re_b_reg_out,
aclrout => re_b_clr
);
re_b_reg <= re_b_reg_out(0);
-- write enable
we_b_reg_in(0) <= portbwe;
we_b_register : arriaiigz_ram_register
GENERIC MAP (
width => 1
)
PORT MAP (
d => we_b_reg_in,
clk => clk_b_in,
aclr => we_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_core_in,
q => we_b_reg_out,
aclrout => we_b_clr
);
we_b_reg <= we_b_reg_out(0);
-- address
addr_b_register : arriaiigz_ram_register
GENERIC MAP ( width => port_b_address_width )
PORT MAP (
d => portbaddr,
clk => clk_b_in,
aclr => addr_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => portbaddrstall,
ena => active_b_in,
q => addr_b_reg,
aclrout => addr_b_clr
);
-- data
datain_b_register : arriaiigz_ram_register
GENERIC MAP ( width => port_b_data_width )
PORT MAP (
d => portbdatain,
clk => clk_b_in,
aclr => datain_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => datain_b_reg,
aclrout => datain_b_clr
);
-- byte enable
byteena_b_register : arriaiigz_ram_register
GENERIC MAP (
width => port_b_byte_enable_mask_width,
preset => '1'
)
PORT MAP (
d => portbbyteenamasks,
clk => clk_b_byteena,
aclr => byteena_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => byteena_b_reg,
aclrout => byteena_b_clr
);
datain_prime_reg <= datain_a_reg WHEN primary_port_is_a ELSE datain_b_reg;
addr_prime_reg <= alt_conv_integer(addr_a_reg) WHEN primary_port_is_a ELSE alt_conv_integer(addr_b_reg);
datain_sec_reg <= (OTHERS => 'U') WHEN (mode_is_rom OR mode_is_sp) ELSE
datain_b_reg WHEN primary_port_is_a ELSE datain_a_reg;
addr_sec_reg <= alt_conv_integer(addr_b_reg) WHEN primary_port_is_a ELSE alt_conv_integer(addr_a_reg);
-- Write pulse generation
wpgen_a_clk <= clk_a_in;
wpgen_a_clkena <= '1' WHEN (active_a_core AND active_write_a AND (we_a_reg = '1')) ELSE '0';
wpgen_a : arriaiigz_ram_pulse_generator
PORT MAP (
clk => wpgen_a_clk,
ena => wpgen_a_clkena,
delaywrite => delay_write_pulse_a,
pulse => write_pulse(primary_port_is_a),
cycle => write_cycle_a
);
wpgen_b_clk <= clk_b_in;
wpgen_b_clkena <= '1' WHEN (active_b_core AND active_write_b AND mode_is_bdp AND (we_b_reg = '1')) ELSE '0';
wpgen_b : arriaiigz_ram_pulse_generator
PORT MAP (
clk => wpgen_b_clk,
ena => wpgen_b_clkena,
delaywrite => delay_write_pulse_b,
pulse => write_pulse(primary_port_is_b),
cycle => write_cycle_b
);
-- Read pulse generation
rpgen_a_clkena <= '1' WHEN (active_a_core AND (re_a_reg = '1') AND (we_a_reg = '0')) ELSE '0';
rpgen_a : arriaiigz_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => rpgen_a_clkena,
cycle => clk_a_core,
pulse => read_pulse(primary_port_is_a)
);
rpgen_b_clkena <= '1' WHEN ((mode_is_dp OR mode_is_bdp) AND active_b_core AND (re_b_reg = '1') AND (we_b_reg = '0')) ELSE '0';
rpgen_b : arriaiigz_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => rpgen_b_clkena,
cycle => clk_b_core,
pulse => read_pulse(primary_port_is_b)
);
-- Read-during-Write pulse generation
rwpgen_a_clkena <= '1' WHEN (active_a_core AND (re_a_reg = '1') AND (we_a_reg = '1') AND read_before_write_a) ELSE '0';
rwpgen_a : arriaiigz_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => rwpgen_a_clkena,
pulse => rw_pulse(primary_port_is_a)
);
rwpgen_b_clkena <= '1' WHEN (active_b_core AND mode_is_bdp AND (re_b_reg = '1') AND (we_b_reg = '1') AND read_before_write_b) ELSE '0';
rwpgen_b : arriaiigz_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => rwpgen_b_clkena,
pulse => rw_pulse(primary_port_is_b)
);
-- Create internal masks for byte enable processing
mask_create : PROCESS (byteena_a_reg,byteena_b_reg)
VARIABLE mask : mask_rec;
BEGIN
IF (byteena_a_reg'EVENT) THEN
mask := get_mask(byteena_a_reg,primary_port_is_a,port_a_byte_enable_mask_width,byte_size_a);
IF (primary_port_is_a) THEN
mask_vector.prime <= mask.prime;
ELSE
mask_vector.sec <= mask.sec;
END IF;
END IF;
IF (byteena_b_reg'EVENT) THEN
mask := get_mask(byteena_b_reg,primary_port_is_b,port_b_byte_enable_mask_width,byte_size_b);
IF (primary_port_is_b) THEN
mask_vector.prime <= mask.prime;
ELSE
mask_vector.sec <= mask.sec;
END IF;
END IF;
END PROCESS mask_create;
-- (row,col) coordinates
row_sec <= addr_sec_reg / num_cols;
col_sec <= addr_sec_reg mod num_cols;
mem_rw : PROCESS (init_mem,
write_pulse,read_pulse,read_pulse_feedthru,
rw_pulse,
mem_invalidate,mem_invalidate_loc,read_latch_invalidate)
-- mem init
TYPE rw_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
VARIABLE addr_range_init,row,col,index : INTEGER;
VARIABLE mem_init_std : STD_LOGIC_VECTOR((port_a_last_address - port_a_first_address + 1)*port_a_data_width - 1 DOWNTO 0);
VARIABLE mem_init : bit_vector(mem_init71'length + mem_init70'length + mem_init69'length + mem_init68'length + mem_init67'length + mem_init66'length +
mem_init65'length + mem_init64'length + mem_init63'length + mem_init62'length + mem_init61'length +
mem_init60'length + mem_init59'length + mem_init58'length + mem_init57'length + mem_init56'length +
mem_init55'length + mem_init54'length + mem_init53'length + mem_init52'length + mem_init51'length +
mem_init50'length + mem_init49'length + mem_init48'length + mem_init47'length + mem_init46'length +
mem_init45'length + mem_init44'length + mem_init43'length + mem_init42'length + mem_init41'length +
mem_init40'length + mem_init39'length + mem_init38'length + mem_init37'length + mem_init36'length +
mem_init35'length + mem_init34'length + mem_init33'length + mem_init32'length + mem_init31'length +
mem_init30'length + mem_init29'length + mem_init28'length + mem_init27'length + mem_init26'length +
mem_init25'length + mem_init24'length + mem_init23'length + mem_init22'length + mem_init21'length +
mem_init20'length + mem_init19'length + mem_init18'length + mem_init17'length + mem_init16'length +
mem_init15'length + mem_init14'length + mem_init13'length + mem_init12'length + mem_init11'length +
mem_init10'length + mem_init9'length + mem_init8'length + mem_init7'length + mem_init6'length +
mem_init5'length + mem_init4'length + mem_init3'length + mem_init2'length + mem_init1'length +
mem_init0'length - 1 DOWNTO 0);
VARIABLE mem_val : mem_type;
-- read/write
VARIABLE mem_data_p : mem_row_type;
VARIABLE old_mem_data_p : mem_row_type;
VARIABLE row_prime,col_prime : INTEGER;
VARIABLE access_same_location : BOOLEAN;
VARIABLE read_during_write : rw_type;
BEGIN
read_during_write := (FALSE,FALSE);
-- Memory initialization
IF (init_mem'EVENT) THEN
-- Initialize output latches to 0
IF (primary_port_is_a) THEN
dataout_prime <= (OTHERS => '0');
IF (mode_is_dp OR mode_is_bdp) THEN dataout_sec <= (OTHERS => '0'); END IF;
ELSE
dataout_sec <= (OTHERS => '0');
IF (mode_is_dp OR mode_is_bdp) THEN dataout_prime <= (OTHERS => '0'); END IF;
END IF;
IF (power_up_uninitialized = "false" AND (NOT ram_type)) THEN
mem_val := (OTHERS => (OTHERS => (OTHERS => '0')));
END IF;
IF (primary_port_is_a) THEN
addr_range_init := port_a_last_address - port_a_first_address + 1;
ELSE
addr_range_init := port_b_last_address - port_b_first_address + 1;
END IF;
IF (init_file_layout = "port_a" OR init_file_layout = "port_b") THEN
mem_init := mem_init71 & mem_init70 & mem_init69 & mem_init68 & mem_init67 & mem_init66 &
mem_init65 & mem_init64 & mem_init63 & mem_init62 & mem_init61 &
mem_init60 & mem_init59 & mem_init58 & mem_init57 & mem_init56 &
mem_init55 & mem_init54 & mem_init53 & mem_init52 & mem_init51 &
mem_init50 & mem_init49 & mem_init48 & mem_init47 & mem_init46 &
mem_init45 & mem_init44 & mem_init43 & mem_init42 & mem_init41 &
mem_init40 & mem_init39 & mem_init38 & mem_init37 & mem_init36 &
mem_init35 & mem_init34 & mem_init33 & mem_init32 & mem_init31 &
mem_init30 & mem_init29 & mem_init28 & mem_init27 & mem_init26 &
mem_init25 & mem_init24 & mem_init23 & mem_init22 & mem_init21 &
mem_init20 & mem_init19 & mem_init18 & mem_init17 & mem_init16 &
mem_init15 & mem_init14 & mem_init13 & mem_init12 & mem_init11 &
mem_init10 & mem_init9 & mem_init8 & mem_init7 & mem_init6 &
mem_init5 & mem_init4 & mem_init3 & mem_init2 & mem_init1 &
mem_init0;
mem_init_std := to_stdlogicvector(mem_init) ((port_a_last_address - port_a_first_address + 1)*port_a_data_width - 1 DOWNTO 0);
FOR row IN 0 TO addr_range_init - 1 LOOP
FOR col IN 0 to num_cols - 1 LOOP
index := row * data_width;
mem_val(row)(col) := mem_init_std(index + (col+1)*data_unit_width -1 DOWNTO
index + col*data_unit_width);
END LOOP;
END LOOP;
END IF;
mem <= mem_val;
END IF;
access_same_location := (mode_is_dp OR mode_is_bdp) AND (addr_prime_reg = row_sec);
-- Read before Write stage 1 : read data from memory
-- Read before Write stage 2 : send data to output
IF (rw_pulse(primary)'EVENT) THEN
IF (rw_pulse(primary) = '1') THEN
read_latch.prime <= mem(addr_prime_reg);
ELSE
IF (be_mask_write(primary)) THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = 'X') THEN
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END IF;
END LOOP;
ELSE
FOR i IN 0 TO data_width - 1 LOOP
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END LOOP;
END IF;
END IF;
END IF;
IF (rw_pulse(secondary)'EVENT) THEN
IF (rw_pulse(secondary) = '1') THEN
read_latch.sec <= mem(row_sec)(col_sec);
ELSE
IF (be_mask_write(secondary)) THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = 'X') THEN
dataout_sec(i) <= read_latch.sec(i);
END IF;
END LOOP;
ELSE
dataout_sec <= read_latch.sec;
END IF;
END IF;
END IF;
-- Write stage 1 : X to buffer
-- Write stage 2 : actual data to memory
IF (write_pulse(primary)'EVENT) THEN
IF (write_pulse(primary) = '1') THEN
old_mem_data_p := mem(addr_prime_reg);
mem_data_p := mem(addr_prime_reg);
FOR i IN 0 TO num_cols - 1 LOOP
mem_data_p(i) := mem_data_p(i) XOR
mask_vector.prime(inverse)((i + 1)*data_unit_width - 1 DOWNTO i*data_unit_width);
END LOOP;
read_during_write(secondary) := (access_same_location AND read_pulse(secondary)'EVENT AND read_pulse(secondary) = '1');
IF (read_during_write(secondary)) THEN
read_latch.sec <= old_mem_data_p(col_sec);
ELSE
mem_data <= mem_data_p;
END IF;
ELSIF (clear_asserted_during_write(primary) /= '1') THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = '0') THEN
mem(addr_prime_reg)(i / data_unit_width)(i mod data_unit_width) <= datain_prime_reg(i);
ELSIF (mask_vector.prime(inverse)(i) = 'X') THEN
mem(addr_prime_reg)(i / data_unit_width)(i mod data_unit_width) <= 'X';
END IF;
END LOOP;
END IF;
END IF;
IF (write_pulse(secondary)'EVENT) THEN
IF (write_pulse(secondary) = '1') THEN
read_during_write(primary) := (access_same_location AND read_pulse(primary)'EVENT AND read_pulse(primary) = '1');
IF (read_during_write(primary)) THEN
read_latch.prime <= mem(addr_prime_reg);
read_latch.prime(col_sec) <= mem(row_sec)(col_sec) XOR mask_vector.sec(inverse);
ELSE
mem_unit_data <= mem(row_sec)(col_sec) XOR mask_vector.sec(inverse);
END IF;
IF (access_same_location AND write_pulse(primary)'EVENT AND write_pulse(primary) = '1') THEN
mask_vector_common <=
mask_vector.prime(inverse)(((col_sec + 1)* data_unit_width - 1) DOWNTO col_sec*data_unit_width) AND
mask_vector.sec(inverse);
dual_write <= TRUE;
END IF;
ELSIF (clear_asserted_during_write(secondary) /= '1') THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = '0') THEN
mem(row_sec)(col_sec)(i) <= datain_sec_reg(i);
ELSIF (mask_vector.sec(inverse)(i) = 'X') THEN
mem(row_sec)(col_sec)(i) <= 'X';
END IF;
END LOOP;
END IF;
END IF;
-- Simultaneous write
IF (dual_write AND write_pulse = "00") THEN
mem(row_sec)(col_sec) <= mem(row_sec)(col_sec) XOR mask_vector_common;
dual_write <= FALSE;
END IF;
-- Read stage 1 : read data
-- Read stage 2 : send data to output
IF ((NOT read_during_write(primary)) AND read_pulse(primary)'EVENT) THEN
IF (read_pulse(primary) = '1') THEN
read_latch.prime <= mem(addr_prime_reg);
IF (access_same_location AND write_pulse(secondary) = '1') THEN
read_latch.prime(col_sec) <= mem_unit_data;
END IF;
ELSE
FOR i IN 0 TO data_width - 1 LOOP
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END LOOP;
END IF;
END IF;
IF ((NOT read_during_write(secondary)) AND read_pulse(secondary)'EVENT) THEN
IF (read_pulse(secondary) = '1') THEN
IF (access_same_location AND write_pulse(primary) = '1') THEN
read_latch.sec <= mem_data(col_sec);
ELSE
read_latch.sec <= mem(row_sec)(col_sec);
END IF;
ELSE
dataout_sec <= read_latch.sec;
END IF;
END IF;
-- Same port feed thru
IF (read_pulse_feedthru(primary)'EVENT AND read_pulse_feedthru(primary) = '0') THEN
IF (be_mask_write(primary)) THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = '0') THEN
dataout_prime(i) <= datain_prime_reg(i);
END IF;
END LOOP;
ELSE
dataout_prime <= datain_prime_reg XOR mask_vector.prime(normal);
END IF;
END IF;
IF (read_pulse_feedthru(secondary)'EVENT AND read_pulse_feedthru(secondary) = '0') THEN
IF (be_mask_write(secondary)) THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = '0') THEN
dataout_sec(i) <= datain_sec_reg(i);
END IF;
END LOOP;
ELSE
dataout_sec <= datain_sec_reg XOR mask_vector.sec(normal);
END IF;
END IF;
-- Async clear
IF (mem_invalidate'EVENT) THEN
IF (mem_invalidate(primary) = TRUE OR mem_invalidate(secondary) = TRUE) THEN
mem <= mem_x;
END IF;
END IF;
IF (mem_invalidate_loc'EVENT) THEN
IF (mem_invalidate_loc(primary)) THEN mem(addr_prime_reg) <= row_x; END IF;
IF (mem_invalidate_loc(secondary)) THEN mem(row_sec)(col_sec) <= col_x; END IF;
END IF;
IF (read_latch_invalidate'EVENT) THEN
IF (read_latch_invalidate(primary)) THEN
read_latch.prime <= row_x;
END IF;
IF (read_latch_invalidate(secondary)) THEN
read_latch.sec <= col_x;
END IF;
END IF;
END PROCESS mem_rw;
-- Same port feed through
ftpgen_a_clkena <= '1' WHEN (active_a_core AND (NOT mode_is_dp) AND (NOT old_data_write_a) AND (we_a_reg = '1') AND (re_a_reg = '1')) ELSE '0';
ftpgen_a : arriaiigz_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => ftpgen_a_clkena,
pulse => read_pulse_feedthru(primary_port_is_a)
);
ftpgen_b_clkena <= '1' WHEN (active_b_core AND mode_is_bdp AND (NOT old_data_write_b) AND (we_b_reg = '1') AND (re_b_reg = '1')) ELSE '0';
ftpgen_b : arriaiigz_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => ftpgen_b_clkena,
pulse => read_pulse_feedthru(primary_port_is_b)
);
-- Asynch clear events
clear_a : PROCESS(addr_a_clr,we_a_clr,datain_a_clr)
BEGIN
IF (addr_a_clr'EVENT AND addr_a_clr = '1') THEN
clear_asserted_during_write(primary_port_is_a) <= write_pulse(primary_port_is_a);
IF (active_write_a AND (write_cycle_a = '1') AND (we_a_reg = '1')) THEN
mem_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
ELSIF (active_a_core AND re_a_reg = '1') THEN
read_latch_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
IF ((we_a_clr'EVENT AND we_a_clr = '1') OR (datain_a_clr'EVENT AND datain_a_clr = '1')) THEN
clear_asserted_during_write(primary_port_is_a) <= write_pulse(primary_port_is_a);
IF (active_write_a AND (write_cycle_a = '1') AND (we_a_reg = '1')) THEN
mem_invalidate_loc(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
read_latch_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
END PROCESS clear_a;
clear_b : PROCESS(addr_b_clr,we_b_clr,datain_b_clr)
BEGIN
IF (addr_b_clr'EVENT AND addr_b_clr = '1') THEN
clear_asserted_during_write(primary_port_is_b) <= write_pulse(primary_port_is_b);
IF (mode_is_bdp AND active_write_b AND (write_cycle_b = '1') AND (we_b_reg = '1')) THEN
mem_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
ELSIF ((mode_is_dp OR mode_is_bdp) AND active_b_core AND re_b_reg = '1') THEN
read_latch_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
IF ((we_b_clr'EVENT AND we_b_clr = '1') OR (datain_b_clr'EVENT AND datain_b_clr = '1')) THEN
clear_asserted_during_write(primary_port_is_b) <= write_pulse(primary_port_is_b);
IF (mode_is_bdp AND active_write_b AND (write_cycle_b = '1') AND (we_b_reg = '1')) THEN
mem_invalidate_loc(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
read_latch_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
END PROCESS clear_b;
-- Clear mux registers (Latch Clear)
-- Port A output register clear
dataout_a_clr_reg_in(0) <= dataout_a_clr;
aclr_a_mux_register : arriaiigz_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => dataout_a_clr_reg_in,
clk => clk_a_core,
aclr => wire_gnd,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => wire_vcc,
q => dataout_a_clr_reg_out
);
dataout_a_clr_reg <= dataout_a_clr_reg_out(0);
-- Port B output register clear
dataout_b_clr_reg_in(0) <= dataout_b_clr;
aclr_b_mux_register : arriaiigz_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => dataout_b_clr_reg_in,
clk => clk_b_core,
aclr => wire_gnd,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => wire_vcc,
q => dataout_b_clr_reg_out
);
dataout_b_clr_reg <= dataout_b_clr_reg_out(0);
-- ------ Output registers
clkena_out_c0 <= '1' WHEN (clk0_output_clock_enable = "none") ELSE ena0;
clkena_out_c1 <= '1' WHEN (clk1_output_clock_enable = "none") ELSE ena1;
clkena_a_out <= clkena_out_c0 WHEN (port_a_data_out_clock = "clock0") ELSE clkena_out_c1;
clkena_b_out <= clkena_out_c0 WHEN (port_b_data_out_clock = "clock0") ELSE clkena_out_c1;
dataout_a <= dataout_prime WHEN primary_port_is_a ELSE dataout_sec;
dataout_b <= (OTHERS => 'U') WHEN (mode_is_rom OR mode_is_sp) ELSE
dataout_prime WHEN primary_port_is_b ELSE dataout_sec;
dataout_a_register : arriaiigz_ram_register
GENERIC MAP ( width => port_a_data_width )
PORT MAP (
d => dataout_a,
clk => clk_a_out,
aclr => dataout_a_clr,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => clkena_a_out,
q => dataout_a_reg
);
dataout_b_register : arriaiigz_ram_register
GENERIC MAP ( width => port_b_data_width )
PORT MAP (
d => dataout_b,
clk => clk_b_out,
aclr => dataout_b_clr,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => clkena_b_out,
q => dataout_b_reg
);
portadataout <= dataout_a_reg WHEN (out_a_is_reg) ELSE
(OTHERS => '0') WHEN ((dataout_a_clr = '1') OR (dataout_a_clr_reg = '1')) ELSE
dataout_a;
portbdataout <= dataout_b_reg WHEN (out_b_is_reg) ELSE
(OTHERS => '0') WHEN ((dataout_b_clr = '1') OR (dataout_b_clr_reg = '1')) ELSE
dataout_b;
eccstatus <= (OTHERS => '0');
dftout <= (OTHERS => '0');
END block_arch;
---------------------------------------------------------------------
--
-- Entity Name : arriaiigz_ff
--
-- Description : ARRIAIIGZ FF VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
use work.arriaiigz_and1;
entity arriaiigz_ff is
generic (
power_up : string := "low";
x_on_violation : string := "on";
lpm_type : string := "arriaiigz_ff";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
clrn : in std_logic := '1';
aload : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
ena : in std_logic := '1';
asdata : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of arriaiigz_ff : entity is TRUE;
end arriaiigz_ff;
architecture vital_lcell_ff of arriaiigz_ff is
attribute VITAL_LEVEL0 of vital_lcell_ff : architecture is TRUE;
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal d_dly : std_logic;
signal asdata_ipd : std_logic;
signal asdata_dly : std_logic;
signal asdata_dly1 : std_logic;
signal sclr_ipd : std_logic;
signal sload_ipd : std_logic;
signal clrn_ipd : std_logic;
signal aload_ipd : std_logic;
signal ena_ipd : std_logic;
component arriaiigz_and1
generic (XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01
);
port (Y : out STD_LOGIC;
IN1 : in STD_LOGIC
);
end component;
begin
ddelaybuffer: arriaiigz_and1
port map(IN1 => d_ipd,
Y => d_dly);
asdatadelaybuffer: arriaiigz_and1
port map(IN1 => asdata_ipd,
Y => asdata_dly);
asdatadelaybuffer1: arriaiigz_and1
port map(IN1 => asdata_dly,
Y => asdata_dly1);
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (asdata_ipd, asdata, tipd_asdata);
VitalWireDelay (sclr_ipd, sclr, tipd_sclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
VitalWireDelay (clrn_ipd, clrn, tipd_clrn);
VitalWireDelay (aload_ipd, aload, tipd_aload);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
VITALtiming : process (clk_ipd, d_dly, asdata_dly1,
sclr_ipd, sload_ipd, clrn_ipd, aload_ipd,
ena_ipd, devclrn, devpor)
variable Tviol_d_clk : std_ulogic := '0';
variable Tviol_asdata_clk : std_ulogic := '0';
variable Tviol_sclr_clk : std_ulogic := '0';
variable Tviol_sload_clk : std_ulogic := '0';
variable Tviol_ena_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_asdata_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sclr_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable iq : std_logic := '0';
variable idata: std_logic := '0';
-- variables for 'X' generation
variable violation : std_logic := '0';
begin
if (now = 0 ns) then
if (power_up = "low") then
iq := '0';
elsif (power_up = "high") then
iq := '1';
end if;
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(sload_ipd) OR
(sclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_asdata_clk,
TimingData => TimingData_asdata_clk,
TestSignal => asdata_ipd,
TestSignalName => "ASDATA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_asdata_clk_noedge_posedge,
SetupLow => tsetup_asdata_clk_noedge_posedge,
HoldHigh => thold_asdata_clk_noedge_posedge,
HoldLow => thold_asdata_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT sload_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sclr_clk,
TimingData => TimingData_sclr_clk,
TestSignal => sclr_ipd,
TestSignalName => "SCLR",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sclr_clk_noedge_posedge,
SetupLow => tsetup_sclr_clk_noedge_posedge,
HoldHigh => thold_sclr_clk_noedge_posedge,
HoldLow => thold_sclr_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena_ipd,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT devpor) OR
(NOT devclrn) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
violation := Tviol_d_clk or Tviol_asdata_clk or
Tviol_sclr_clk or Tviol_sload_clk or Tviol_ena_clk;
if ((devpor = '0') or (devclrn = '0') or (clrn_ipd = '0')) then
iq := '0';
elsif (aload_ipd = '1') then
iq := asdata_dly1;
elsif (violation = 'X' and x_on_violation = "on") then
iq := 'X';
elsif clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0' then
if (ena_ipd = '1') then
if (sclr_ipd = '1') then
iq := '0';
elsif (sload_ipd = '1') then
iq := asdata_dly1;
else
iq := d_dly;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => iq,
Paths => (0 => (clrn_ipd'last_event, tpd_clrn_q_posedge, TRUE),
1 => (aload_ipd'last_event, tpd_aload_q_posedge, TRUE),
2 => (asdata_ipd'last_event, tpd_asdata_q, TRUE),
3 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_lcell_ff;
--/////////////////////////////////////////////////////////////////////////////
--
-- VHDL Simulation Model for ARRIAIIGZ CLKSELECT Atom
--
--/////////////////////////////////////////////////////////////////////////////
--
--
-- ARRIAIIGZ_CLKSELECT Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_clkselect is
generic (
lpm_type : STRING := "arriaiigz_clkselect";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_clkselect : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tpd_inclk_outclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tpd_clkselect_outclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01)
);
port (
inclk : in std_logic_vector(3 downto 0) := "0000";
clkselect : in std_logic_vector(1 downto 0) := "00";
outclk : out std_logic
);
attribute VITAL_LEVEL0 of arriaiigz_clkselect : entity is TRUE;
end arriaiigz_clkselect;
architecture vital_clkselect of arriaiigz_clkselect is
attribute VITAL_LEVEL0 of vital_clkselect : architecture is TRUE;
signal inclk_ipd : std_logic_vector(3 downto 0);
signal clkselect_ipd : std_logic_vector(1 downto 0);
signal clkmux_out : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (inclk_ipd(0), inclk(0), tipd_inclk(0));
VitalWireDelay (inclk_ipd(1), inclk(1), tipd_inclk(1));
VitalWireDelay (inclk_ipd(2), inclk(2), tipd_inclk(2));
VitalWireDelay (inclk_ipd(3), inclk(3), tipd_inclk(3));
VitalWireDelay (clkselect_ipd(0), clkselect(0), tipd_clkselect(0));
VitalWireDelay (clkselect_ipd(1), clkselect(1), tipd_clkselect(1));
end block;
process(inclk_ipd, clkselect_ipd)
variable outclk_VitalGlitchData : VitalGlitchDataType;
variable tmp : std_logic;
begin
if (clkselect_ipd = "11") then
tmp := inclk_ipd(3);
elsif (clkselect_ipd = "10") then
tmp := inclk_ipd(2);
elsif (clkselect_ipd = "01") then
tmp := inclk_ipd(1);
else
tmp := inclk_ipd(0);
end if;
clkmux_out <= tmp;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01
(
OutSignal => outclk,
OutSignalName => "OUTCLOCK",
OutTemp => tmp,
Paths => (0 => (inclk_ipd(0)'last_event, tpd_inclk_outclk(0), TRUE),
1 => (inclk_ipd(1)'last_event, tpd_inclk_outclk(1), TRUE),
2 => (inclk_ipd(2)'last_event, tpd_inclk_outclk(2), TRUE),
3 => (inclk_ipd(3)'last_event, tpd_inclk_outclk(3), TRUE),
4 => (clkselect_ipd(0)'last_event, tpd_clkselect_outclk(0), TRUE),
5 => (clkselect_ipd(1)'last_event, tpd_clkselect_outclk(1), TRUE)),
GlitchData => outclk_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
end process;
end vital_clkselect;
--/////////////////////////////////////////////////////////////////////////////
--
-- arriaiigz_and2 Model
-- Description : Simulation model for a simple two input AND gate.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use work.arriaiigz_atom_pack.all;
-- entity declaration --
entity arriaiigz_and2 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tpd_IN2_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01;
tipd_IN2 : VitalDelayType01 := DefPropDelay01);
port(
Y : out STD_LOGIC;
IN1 : in STD_LOGIC;
IN2 : in STD_LOGIC);
attribute VITAL_LEVEL0 of arriaiigz_and2 : entity is TRUE;
end arriaiigz_and2;
-- architecture body --
architecture AltVITAL of arriaiigz_and2 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
SIGNAL IN1_ipd : STD_ULOGIC := 'U';
SIGNAL IN2_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
VitalWireDelay (IN2_ipd, IN2, tipd_IN2);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN1_ipd, IN2_ipd)
-- functionality results
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => 'X');
ALIAS Y_zd : STD_ULOGIC is Results(1);
-- output glitch detection variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
begin
-------------------------
-- Functionality Section
-------------------------
Y_zd := TO_X01(IN1_ipd) AND TO_X01(IN2_ipd);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => ( 0 => (IN1_ipd'last_event, tpd_IN1_Y, TRUE),
1 => (IN2_ipd'last_event, tpd_IN2_Y, TRUE)),
GlitchData => Y_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : arriaiigz_ena_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for the gated clock generation
-- Powers upto 1.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_ena_reg is
generic (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of arriaiigz_ena_reg : entity is TRUE;
end arriaiigz_ena_reg;
ARCHITECTURE behave of arriaiigz_ena_reg is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal d_ipd : std_logic;
signal clk_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (clk_ipd, clk, tipd_clk);
end block;
VITALtiming : process (clk_ipd, prn, clrn)
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable q_reg : std_logic := '1';
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "D",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01((clrn) OR
(NOT ena)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/arriaiigz_ena_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (prn = '0') then
q_reg := '1';
elsif (clrn = '0') then
q_reg := '0';
elsif (clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0' and (ena = '1')) then
q_reg := d_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_reg,
Paths => (0 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- VHDL Simulation Model for ARRIAIIGZ CLKCTRL Atom
--
--/////////////////////////////////////////////////////////////////////////////
--
--
-- ARRIAIIGZ_CLKCTRL Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
use work.arriaiigz_ena_reg;
use work.arriaiigz_and2;
entity arriaiigz_clkena is
generic (
clock_type : STRING := "Auto";
lpm_type : STRING := "arriaiigz_clkena";
ena_register_mode : STRING := "Falling Edge";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01
);
port (
inclk : in std_logic := '0';
ena : in std_logic := '1';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
enaout : out std_logic;
outclk : out std_logic
);
attribute VITAL_LEVEL0 of arriaiigz_clkena : entity is TRUE;
end arriaiigz_clkena;
architecture vital_clkena of arriaiigz_clkena is
attribute VITAL_LEVEL0 of vital_clkena : architecture is TRUE;
component arriaiigz_and2
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tpd_IN2_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01;
tipd_IN2 : VitalDelayType01 := DefPropDelay01);
port(
Y : out STD_LOGIC;
IN1 : in STD_LOGIC;
IN2 : in STD_LOGIC);
end component;
component arriaiigz_ena_reg
generic (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
end component;
signal inclk_ipd : std_logic;
signal inclk_inv : std_logic;
signal ena_ipd : std_logic;
signal cereg_clr : std_logic;
signal cereg1_out : std_logic;
signal cereg2_out : std_logic;
signal ena_out : std_logic;
signal vcc : std_logic := '1';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (inclk_ipd, inclk, tipd_inclk);
end block;
inclk_inv <= NOT inclk_ipd;
extena_reg1 : arriaiigz_ena_reg
port map (
clk => inclk_inv,
ena => vcc,
d => ena_ipd,
clrn => vcc,
prn => devpor,
q => cereg1_out
);
extena_reg2 : arriaiigz_ena_reg
port map (
clk => inclk_inv,
ena => vcc,
d => cereg1_out,
clrn => vcc,
prn => devpor,
q => cereg2_out
);
ena_out <= cereg1_out WHEN (ena_register_mode = "falling edge") ELSE
ena_ipd WHEN (ena_register_mode = "none") ELSE cereg2_out;
outclk_and : arriaiigz_and2
port map (
IN1 => inclk_ipd,
IN2 => ena_out,
Y => outclk
);
enaout_and : arriaiigz_and2
port map (
IN1 => vcc,
IN2 => ena_out,
Y => enaout
);
end vital_clkena;
----------------------------------------------------------------------------
-- Module Name : arriaiigz_mlab_cell_pulse_generator
-- Description : Generate pulse to initiate memory read/write operations
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_mlab_cell_pulse_generator IS
GENERIC (
tipd_clk : VitalDelayType01 := (1 ps,1 ps);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tpd_clk_pulse_posedge : VitalDelayType01 := DefPropDelay01
);
PORT (
clk,ena : IN STD_LOGIC;
pulse,cycle : OUT STD_LOGIC
);
ATTRIBUTE VITAL_Level0 OF arriaiigz_mlab_cell_pulse_generator:ENTITY IS TRUE;
END arriaiigz_mlab_cell_pulse_generator;
ARCHITECTURE pgen_arch OF arriaiigz_mlab_cell_pulse_generator IS
SIGNAL clk_ipd,ena_ipd : STD_LOGIC;
SIGNAL state : STD_LOGIC;
ATTRIBUTE VITAL_Level0 OF pgen_arch:ARCHITECTURE IS TRUE;
BEGIN
WireDelay : BLOCK
BEGIN
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
END BLOCK;
PROCESS (clk_ipd,state)
BEGIN
IF (state = '1' AND state'EVENT) THEN
state <= '0';
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1') THEN
state <= '1';
END IF;
END PROCESS;
PathDelay : PROCESS
VARIABLE pulse_VitalGlitchData : VitalGlitchDataType;
BEGIN
WAIT UNTIL state'EVENT;
VitalPathDelay01 (
OutSignal => pulse,
OutSignalName => "pulse",
OutTemp => state,
Paths => (0 => (clk_ipd'LAST_EVENT,tpd_clk_pulse_posedge,TRUE)),
GlitchData => pulse_VitalGlitchData,
Mode => DefGlitchMode,
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks
);
END PROCESS;
cycle <= clk_ipd;
END pgen_arch;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
USE work.arriaiigz_mlab_cell_pulse_generator;
ENTITY arriaiigz_mlab_cell IS
GENERIC (
-- -------- GLOBAL PARAMETERS ---------
logical_ram_name : STRING := "lutram";
init_file : STRING := "UNUSED";
data_interleave_offset_in_bits : INTEGER := 1;
logical_ram_depth : INTEGER := 0;
logical_ram_width : INTEGER := 0;
first_address : INTEGER := 0;
last_address : INTEGER := 0;
first_bit_number : INTEGER := 0;
data_width : INTEGER := 1;
address_width : INTEGER := 1;
byte_enable_mask_width : INTEGER := 1;
byte_size : INTEGER := 1;
lpm_type : string := "arriaiigz_mlab_cell";
lpm_hint : string := "true";
mixed_port_feed_through_mode : string := "dont_care";
mem_init0 : BIT_VECTOR := X"0";
-- --------- VITAL PARAMETERS --------
tipd_clk0 : VitalDelayType01 := DefPropDelay01;
tipd_ena0 : VitalDelayType01 := DefPropDelay01;
tipd_portaaddr : VitalDelayArrayType01(7 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_portbaddr : VitalDelayArrayType01(7 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_portabyteenamasks : VitalDelayArrayType01(20 DOWNTO 0) := (OTHERS => DefPropDelay01);
tsetup_portaaddr_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_portabyteenamasks_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena0_clk0_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_portaaddr_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_portabyteenamasks_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ena0_clk0_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_portbaddr_portbdataout : VitalDelayType01 := DefPropDelay01
);
-- -------- PORT DECLARATIONS ---------
PORT (
portadatain : IN STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0) := (OTHERS => '0');
portaaddr : IN STD_LOGIC_VECTOR(address_width - 1 DOWNTO 0) := (OTHERS => '0');
portabyteenamasks : IN STD_LOGIC_VECTOR(byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
portbaddr : IN STD_LOGIC_VECTOR(address_width - 1 DOWNTO 0) := (OTHERS => '0');
clk0 : IN STD_LOGIC := '0';
ena0 : IN STD_LOGIC := '1';
portbdataout : OUT STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0)
);
END arriaiigz_mlab_cell;
ARCHITECTURE block_arch OF arriaiigz_mlab_cell IS
COMPONENT arriaiigz_mlab_cell_pulse_generator
PORT (
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
pulse : OUT STD_LOGIC;
cycle : OUT STD_LOGIC
);
END COMPONENT;
CONSTANT port_byte_size : INTEGER := data_width / byte_enable_mask_width;
-- -------- internal signals ---------
-- Write address
SIGNAL write_address : INTEGER := 0;
SIGNAL read_address : INTEGER := 0;
-- pulses
SIGNAL write_pulse, write_cycle, write_clock : STD_LOGIC;
-- memory core
SUBTYPE mem_word_type IS STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
TYPE mem_type IS ARRAY ((2 ** address_width) - 1 DOWNTO 0) OF mem_word_type;
SIGNAL mem : mem_type;
SIGNAL init_mem : BOOLEAN := FALSE;
-- byte enable
TYPE mask_type IS (normal,inverse);
TYPE mask_write IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_word_type;
SIGNAL mask_vector : mask_write := (
normal => (OTHERS => '0'),
inverse => (OTHERS => 'X')
);
-- output
FUNCTION get_mask(
b_ena : IN STD_LOGIC_VECTOR;
CONSTANT b_ena_width ,byte_size: INTEGER
) RETURN mask_write IS
VARIABLE l : INTEGER;
VARIABLE mask : mask_write := (normal => (OTHERS => '0'),inverse => (OTHERS => 'X'));
BEGIN
FOR l in 0 TO b_ena_width - 1 LOOP
IF (b_ena(l) = '0') THEN
mask(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
ELSIF (b_ena(l) = 'X' OR b_ena(l) = 'U') THEN
mask(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
END IF;
END LOOP;
RETURN mask;
END get_mask;
SIGNAL clk0_ipd : STD_LOGIC;
SIGNAL ena0_ipd : STD_LOGIC;
SIGNAL portaaddr_ipd : STD_LOGIC_VECTOR(address_width - 1 DOWNTO 0);
SIGNAL portbaddr_ipd : STD_LOGIC_VECTOR(address_width - 1 DOWNTO 0);
SIGNAL portabyteenamasks_ipd : STD_LOGIC_VECTOR(byte_enable_mask_width - 1 DOWNTO 0);
SIGNAL ena0_reg : STD_LOGIC := '0';
BEGIN
-- interconnect delays
WireDelay : BLOCK
BEGIN
loopbits_ad : FOR i in portaaddr'RANGE GENERATE
VitalWireDelay (portaaddr_ipd(i), portaaddr(i), tipd_portaaddr(i));
VitalWireDelay (portbaddr_ipd(i), portbaddr(i), tipd_portbaddr(i));
END GENERATE;
loopbits_be : FOR j in portabyteenamasks'RANGE GENERATE
VitalWireDelay (portabyteenamasks_ipd(j), portabyteenamasks(j), tipd_portabyteenamasks(j));
END GENERATE;
VitalWireDelay (clk0_ipd, clk0, tipd_clk0);
VitalWireDelay (ena0_ipd, ena0, tipd_ena0);
END BLOCK;
-- setup/hold checks
setup_hold_checks: PROCESS (ena0_reg,portaaddr_ipd,portabyteenamasks_ipd,clk0_ipd,ena0_ipd)
VARIABLE Tviol_clk_enable : STD_ULOGIC := '0';
VARIABLE Tviol_clk_address : STD_ULOGIC := '0';
VARIABLE Tviol_clk_bemasks : STD_ULOGIC := '0';
VARIABLE TimingData_clk_enable : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_address : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_bemasks : VitalTimingDataType := VitalTimingDataInit;
BEGIN
-- Timing checks
VitalSetupHoldCheck (
Violation => Tviol_clk_enable,
TimingData => TimingData_clk_enable,
TestSignal => ena0_ipd,
TestSignalName => "ena0",
RefSignal => clk0_ipd,
RefSignalName => "clk0",
SetupHigh => tsetup_ena0_clk0_noedge_posedge,
SetupLow => tsetup_ena0_clk0_noedge_posedge,
HoldHigh => thold_ena0_clk0_noedge_posedge,
HoldLow => thold_ena0_clk0_noedge_posedge,
CheckEnabled => TRUE,
RefTransition => '/',
HeaderMsg => "/LUTRAM VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_address,
TimingData => TimingData_clk_address,
TestSignal => portaaddr_ipd,
TestSignalName => "portaaddr",
RefSignal => clk0_ipd,
RefSignalName => "clk0",
SetupHigh => tsetup_portaaddr_clk0_noedge_negedge,
SetupLow => tsetup_portaaddr_clk0_noedge_negedge,
HoldHigh => thold_portaaddr_clk0_noedge_negedge,
HoldLow => thold_portaaddr_clk0_noedge_negedge,
CheckEnabled => (ena0_reg = '1'),
RefTransition => '\',
HeaderMsg => "/LUTRAM VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_bemasks,
TimingData => TimingData_clk_bemasks,
TestSignal => portabyteenamasks_ipd,
TestSignalName => "portabyteenamasks",
RefSignal => clk0_ipd,
RefSignalName => "clk0",
SetupHigh => tsetup_portabyteenamasks_clk0_noedge_negedge,
SetupLow => tsetup_portabyteenamasks_clk0_noedge_negedge,
HoldHigh => thold_portabyteenamasks_clk0_noedge_negedge,
HoldLow => thold_portabyteenamasks_clk0_noedge_negedge,
CheckEnabled => (ena0_reg = '1'),
RefTransition => '\',
HeaderMsg => "/LUTRAM VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
END PROCESS setup_hold_checks;
-- latch CE signal
PROCESS (clk0_ipd)
BEGIN
IF (clk0_ipd'EVENT AND clk0_ipd = '1') THEN
ena0_reg <= ena0_ipd;
END IF;
END PROCESS;
-- output path delay
PROCESS (portbaddr_ipd)
VARIABLE CQDelay : TIME := 0 ns;
BEGIN
CQDelay := SelectDelay(
( 1 => ( portbaddr_ipd'LAST_EVENT, tpd_portbaddr_portbdataout, TRUE ) )
);
read_address <= TRANSPORT alt_conv_integer(portbaddr_ipd) AFTER CQDelay;
END PROCESS;
-- memory initialization
init_mem <= TRUE;
write_clock <= NOT clk0_ipd;
write_address <= alt_conv_integer(portaaddr_ipd);
-- Write pulse generation (neg edge)
wpgen_a : arriaiigz_mlab_cell_pulse_generator
PORT MAP (
clk => write_clock,
ena => ena0_reg,
pulse => write_pulse,
cycle => write_cycle
);
-- Create internal masks for byte enable processing
mask_create : PROCESS (portabyteenamasks_ipd)
VARIABLE mask : mask_write;
BEGIN
IF (portabyteenamasks_ipd'EVENT) THEN
mask := get_mask(portabyteenamasks_ipd,byte_enable_mask_width,port_byte_size);
mask_vector <= mask;
END IF;
END PROCESS mask_create;
mem_rw : PROCESS (init_mem, write_pulse)
-- mem init
VARIABLE addr_range_init,index : INTEGER;
VARIABLE mem_init_std : STD_LOGIC_VECTOR((last_address - first_address + 1)*data_width - 1 DOWNTO 0);
VARIABLE mem_init : bit_vector(mem_init0'length - 1 DOWNTO 0);
VARIABLE mem_val : mem_type;
-- read/write
VARIABLE mem_data_p : mem_word_type;
BEGIN
-- Memory initialization
IF (init_mem'EVENT) THEN
-- Initialize output to 0
mem_val := (OTHERS => (OTHERS => '0'));
IF (init_file /= "UNUSED" AND init_file /= "unused") THEN
addr_range_init := last_address - first_address + 1;
mem_init := mem_init0;
mem_init_std := to_stdlogicvector(mem_init)((last_address - first_address + 1)*data_width - 1 DOWNTO 0);
FOR row IN 0 TO addr_range_init - 1 LOOP
index := row * data_width;
mem_val(row) := mem_init_std(index + data_width -1 DOWNTO index );
END LOOP;
END IF;
mem <= mem_val;
END IF;
-- Write stage 1 : X to memory
-- Write stage 2 : actual data to memory
IF (write_pulse'EVENT) THEN
IF (write_pulse = '1') THEN
mem_data_p := mem(write_address);
FOR i IN 0 TO data_width - 1 LOOP
mem_data_p(i) := mem_data_p(i) XOR mask_vector(inverse)(i);
END LOOP;
mem(write_address) <= mem_data_p;
ELSIF (write_pulse = '0') THEN
mem_data_p := mem(write_address);
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector(normal)(i) = '0') THEN
mem(write_address)(i) <= portadatain(i);
mem_data_p(i) := portadatain(i);
ELSIF (mask_vector(inverse)(i) = 'X') THEN
mem(write_address)(i) <= 'X';
mem_data_p(i) := 'X';
END IF;
END LOOP;
END IF;
END IF;
END PROCESS mem_rw;
-- Continuous read
portbdataout <= mem(read_address);
END block_arch;
---------------------------------------------------------------------
--
-- Entity Name : arriaiigz_io_ibuf
--
-- Description : ARRIAIIGZ IO Ibuf VHDL simulation model
--
--
---------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_io_ibuf IS
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tipd_ibar : VitalDelayType01 := DefPropDelay01;
tipd_dynamicterminationcontrol : VitalDelayType01 := DefPropDelay01;
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_ibar_o : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
differential_mode : string := "false";
bus_hold : string := "false";
simulate_z_as : string := "Z";
lpm_type : string := "arriaiigz_io_ibuf"
);
PORT (
i : IN std_logic := '0';
ibar : IN std_logic := '0';
dynamicterminationcontrol : IN std_logic := '0';
o : OUT std_logic
);
END arriaiigz_io_ibuf;
ARCHITECTURE arch OF arriaiigz_io_ibuf IS
SIGNAL i_ipd : std_logic := '0';
SIGNAL ibar_ipd : std_logic := '0';
SIGNAL o_tmp : std_logic;
SIGNAL out_tmp : std_logic;
SIGNAL prev_value : std_logic := '0';
BEGIN
WireDelay : block
begin
VitalWireDelay (i_ipd, i, tipd_i);
VitalWireDelay (ibar_ipd, ibar, tipd_ibar);
end block;
PROCESS(i_ipd, ibar_ipd)
BEGIN
IF (differential_mode = "false") THEN
IF (i_ipd = '1') THEN
o_tmp <= '1';
prev_value <= '1';
ELSIF (i_ipd = '0') THEN
o_tmp <= '0';
prev_value <= '0';
ELSE
o_tmp <= i_ipd;
END IF;
ELSE
IF (( i_ipd = '0' ) and (ibar_ipd = '1')) then
o_tmp <= '0';
ELSIF (( i_ipd = '1' ) and (ibar_ipd = '0')) then
o_tmp <= '1';
ELSIF((( i_ipd = '1' ) and (ibar_ipd = '1')) or (( i_ipd = '0' ) and (ibar_ipd = '0')))then
o_tmp <= 'X';
ELSE
o_tmp <= 'X';
END IF;
END IF;
END PROCESS;
out_tmp <= prev_value when (bus_hold = "true") else
'Z' when((o_tmp = 'Z') AND (simulate_z_as = "Z")) else
'X' when((o_tmp = 'Z') AND (simulate_z_as = "X")) else
'1' when((o_tmp = 'Z') AND (simulate_z_as = "vcc")) else
'0' when((o_tmp = 'Z') AND (simulate_z_as = "gnd")) else
o_tmp;
----------------------
-- Path Delay Section
----------------------
PROCESS( out_tmp)
variable output_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => o,
OutSignalName => "o",
OutTemp => out_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_o, TRUE),
1 => (ibar_ipd'last_event, tpd_ibar_o, TRUE)),
GlitchData => output_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
END PROCESS;
END arch;
---------------------------------------------------------------------
--
-- Entity Name : arriaiigz_io_obuf
--
-- Description : ARRIAIIGZ IO Obuf VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_io_obuf IS
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tipd_oe : VitalDelayType01 := DefPropDelay01;
tipd_dynamicterminationcontrol : VitalDelayType01 := DefPropDelay01;
tipd_seriesterminationcontrol : VitalDelayArrayType01(13 DOWNTO 0) := (others => DefPropDelay01);
tipd_parallelterminationcontrol : VitalDelayArrayType01(13 DOWNTO 0) := (others => DefPropDelay01 );
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_oe_o : VitalDelayType01 := DefPropDelay01;
tpd_i_obar : VitalDelayType01 := DefPropDelay01;
tpd_oe_obar : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
open_drain_output : string := "false";
shift_series_termination_control : string := "false";
sim_dynamic_termination_control_is_connected : string := "false";
bus_hold : string := "false";
lpm_type : string := "arriaiigz_io_obuf"
);
PORT (
i : IN std_logic := '0';
oe : IN std_logic := '1';
dynamicterminationcontrol : IN std_logic := '0';
seriesterminationcontrol : IN std_logic_vector(13 DOWNTO 0) := (others => '0');
parallelterminationcontrol : IN std_logic_vector(13 DOWNTO 0) := (others => '0');
devoe : IN std_logic := '1';
o : OUT std_logic;
obar : OUT std_logic
);
END arriaiigz_io_obuf;
ARCHITECTURE arch OF arriaiigz_io_obuf IS
--INTERNAL Signals
SIGNAL i_ipd : std_logic := '0';
SIGNAL oe_ipd : std_logic := '0';
SIGNAL dynamicterminationcontrol_ipd : std_logic := '0';
SIGNAL out_tmp : std_logic := 'Z';
SIGNAL out_tmp_bar : std_logic;
SIGNAL prev_value : std_logic := '0';
SIGNAL o_tmp : std_logic;
SIGNAL obar_tmp : std_logic;
SIGNAL o_tmp1 : std_logic;
SIGNAL obar_tmp1 : std_logic;
SIGNAL seriesterminationcontrol_ipd : std_logic_vector(13 DOWNTO 0) := (others => '0');
SIGNAL parallelterminationcontrol_ipd : std_logic_vector(13 DOWNTO 0) := (others => '0');
BEGIN
WireDelay : block
begin
VitalWireDelay (i_ipd, i, tipd_i);
VitalWireDelay (oe_ipd, oe, tipd_oe);
VitalWireDelay (dynamicterminationcontrol_ipd, dynamicterminationcontrol, tipd_dynamicterminationcontrol);
g1 :for i in seriesterminationcontrol'range generate
VitalWireDelay (seriesterminationcontrol_ipd(i), seriesterminationcontrol(i), tipd_seriesterminationcontrol(i));
end generate;
g2 :for i in parallelterminationcontrol'range generate
VitalWireDelay (parallelterminationcontrol_ipd(i), parallelterminationcontrol(i), tipd_parallelterminationcontrol(i));
end generate;
end block;
PROCESS( i_ipd, oe_ipd)
BEGIN
IF (oe_ipd = '1') THEN
IF (open_drain_output = "true") THEN
IF (i_ipd = '0') THEN
out_tmp <= '0';
out_tmp_bar <= '1';
prev_value <= '0';
ELSE
out_tmp <= 'Z';
out_tmp_bar <= 'Z';
END IF;
ELSE
IF (i_ipd = '0') THEN
out_tmp <= '0';
out_tmp_bar <= '1';
prev_value <= '0';
ELSE
IF (i_ipd = '1') THEN
out_tmp <= '1';
out_tmp_bar <= '0';
prev_value <= '1';
ELSE
out_tmp <= i_ipd;
out_tmp_bar <= i_ipd;
END IF;
END IF;
END IF;
ELSE
IF (oe_ipd = '0') THEN
out_tmp <= 'Z';
out_tmp_bar <= 'Z';
ELSE
out_tmp <= 'X';
out_tmp_bar <= 'X';
END IF;
END IF;
END PROCESS;
o_tmp1 <= prev_value WHEN (bus_hold = "true") ELSE out_tmp;
obar_tmp1 <= NOT prev_value WHEN (bus_hold = "true") ELSE out_tmp_bar;
o_tmp <= 'X' when (( oe_ipd = '1') and (dynamicterminationcontrol = '1') and (sim_dynamic_termination_control_is_connected = "true")) else o_tmp1 WHEN (devoe = '1') ELSE 'Z';
obar_tmp <= 'X' when (( oe_ipd = '1') and (dynamicterminationcontrol = '1')and (sim_dynamic_termination_control_is_connected = "true")) else obar_tmp1 WHEN (devoe = '1') ELSE 'Z';
---------------------
-- Path Delay Section
----------------------
PROCESS( o_tmp,obar_tmp)
variable o_VitalGlitchData : VitalGlitchDataType;
variable obar_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => o,
OutSignalName => "o",
OutTemp => o_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_o, TRUE),
1 => (oe_ipd'last_event, tpd_oe_o, TRUE)),
GlitchData => o_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
VitalPathDelay01 (
OutSignal => obar,
OutSignalName => "obar",
OutTemp => obar_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_obar, TRUE),
1 => (oe_ipd'last_event, tpd_oe_obar, TRUE)),
GlitchData => obar_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
END PROCESS;
END arch;
-----------------------------------------------------------------------
--
-- Entity Name : arriaiigz_ddio_in
--
-- Description : ARRIAIIGZ DDIO_IN VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
LIBRARY altera;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use altera.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_ddio_in IS
generic(
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_clkn : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
use_clkn : string := "false";
lpm_type : string := "arriaiigz_ddio_in"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
clkn : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
regoutlo : OUT std_logic;
regouthi : OUT std_logic;
dfflo : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END arriaiigz_ddio_in;
ARCHITECTURE arch OF arriaiigz_ddio_in IS
component dffeas
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
lpm_type : string := "DFFEAS";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '1';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
--Internal Signals
SIGNAL datain_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL clkn_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '0';
SIGNAL areset_ipd : std_logic := '0';
SIGNAL sreset_ipd : std_logic := '0';
SIGNAL ddioreg_aclr : std_logic;
SIGNAL ddioreg_prn : std_logic;
SIGNAL ddioreg_adatasdata : std_logic;
SIGNAL ddioreg_sclr : std_logic;
SIGNAL ddioreg_sload : std_logic;
SIGNAL ddioreg_clk : std_logic;
SIGNAL dfflo_tmp : std_logic;
SIGNAL regout_tmp_hi : std_logic;
SIGNAL regout_tmp_lo : std_logic;
SIGNAL regouthi_tmp : std_logic;
SIGNAL regoutlo_tmp : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (clkn_ipd, clkn, tipd_clkn);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (sreset_ipd, sreset, tipd_sreset);
end block;
ddioreg_clk <= NOT clk_ipd WHEN (use_clkn = "false") ELSE clkn_ipd;
--Decode the control values for the DDIO registers
PROCESS
BEGIN
WAIT UNTIL areset_ipd'EVENT OR sreset_ipd'EVENT;
IF (async_mode = "clear") THEN
ddioreg_aclr <= NOT areset_ipd;
ddioreg_prn <= '1';
ELSIF (async_mode = "preset") THEN
ddioreg_aclr <= '1';
ddioreg_prn <= NOT areset_ipd;
ELSE
ddioreg_aclr <= '1';
ddioreg_prn <= '1';
END IF;
IF (sync_mode = "clear") THEN
ddioreg_adatasdata <= '0';
ddioreg_sclr <= sreset_ipd;
ddioreg_sload <= '0';
ELSIF (sync_mode = "preset") THEN
ddioreg_adatasdata <= '1';
ddioreg_sclr <= '0';
ddioreg_sload <= sreset_ipd;
ELSE
ddioreg_adatasdata <= '0';
ddioreg_sclr <= '0';
ddioreg_sload <= '0';
END IF;
END PROCESS;
--DDIO High Register
ddioreg_hi : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => datain_ipd,
clk => clk_ipd,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => regout_tmp_hi,
devpor => devpor,
devclrn => devclrn
);
--DDIO Low Register
ddioreg_lo : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => datain_ipd,
clk => ddioreg_clk,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dfflo_tmp,
devpor => devpor,
devclrn => devclrn
);
ddioreg_lo1 : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => dfflo_tmp,
clk => clk_ipd,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => regout_tmp_lo,
devpor => devpor,
devclrn => devclrn
);
regouthi <= regout_tmp_hi ;
regoutlo <= regout_tmp_lo ;
dfflo <= dfflo_tmp ;
END arch;
---------------------------------------------------------------------
--
-- Entity Name : arriaiigz_ddio_oe
--
-- Description : ARRIAIIGZ DDIO_OE VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
LIBRARY altera;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use altera.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_ddio_oe IS
generic(
tipd_oe : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
lpm_type : string := "arriaiigz_ddio_oe"
);
PORT (
oe : IN std_logic := '1';
clk : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
dataout : OUT std_logic;
dfflo : OUT std_logic;
dffhi : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END arriaiigz_ddio_oe;
ARCHITECTURE arch OF arriaiigz_ddio_oe IS
component arriaiigz_mux21
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01
);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic
);
end component;
component dffeas
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
lpm_type : string := "DFFEAS";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '1';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
--Internal Signals
SIGNAL oe_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '0';
SIGNAL areset_ipd : std_logic := '0';
SIGNAL sreset_ipd : std_logic := '0';
SIGNAL ddioreg_aclr : std_logic;
SIGNAL ddioreg_prn : std_logic;
SIGNAL ddioreg_adatasdata : std_logic;
SIGNAL ddioreg_sclr : std_logic;
SIGNAL ddioreg_sload : std_logic;
SIGNAL dfflo_tmp : std_logic;
SIGNAL dffhi_tmp : std_logic;
signal nclk : std_logic;
signal dataout_tmp : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (oe_ipd, oe, tipd_oe);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (sreset_ipd, sreset, tipd_sreset);
end block;
nclk <= NOT clk_ipd;
PROCESS
BEGIN
WAIT UNTIL areset_ipd'EVENT OR sreset_ipd'EVENT;
IF (async_mode = "clear") THEN
ddioreg_aclr <= NOT areset_ipd;
ddioreg_prn <= '1';
ELSIF (async_mode = "preset") THEN
ddioreg_aclr <= '1';
ddioreg_prn <= NOT areset_ipd;
ELSE
ddioreg_aclr <= '1';
ddioreg_prn <= '1';
END IF;
IF (sync_mode = "clear") THEN
ddioreg_adatasdata <= '0';
ddioreg_sclr <= sreset_ipd;
ddioreg_sload <= '0';
ELSIF (sync_mode = "preset") THEN
ddioreg_adatasdata <= '1';
ddioreg_sclr <= '0';
ddioreg_sload <= sreset_ipd;
ELSE
ddioreg_adatasdata <= '0';
ddioreg_sclr <= '0';
ddioreg_sload <= '0';
END IF;
END PROCESS;
ddioreg_hi : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => oe_ipd,
clk => clk_ipd,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dffhi_tmp,
devpor => devpor,
devclrn => devclrn
);
--DDIO Low Register
ddioreg_lo : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => dffhi_tmp,
clk => nclk,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dfflo_tmp,
devpor => devpor,
devclrn => devclrn
);
--registered output
or_gate : arriaiigz_mux21
port map (
A => dffhi_tmp,
B => dfflo_tmp,
S => dfflo_tmp,
MO => dataout
);
dfflo <= dfflo_tmp ;
dffhi <= dffhi_tmp ;
END arch;
---------------------------------------------------------------------
--
-- Entity Name : arriaiigz_ddio_out
--
-- Description : ARRIAIIGZ DDIO_OUT VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
LIBRARY altera;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use altera.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_ddio_out IS
generic(
tipd_datainlo : VitalDelayType01 := DefPropDelay01;
tipd_datainhi : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_clkhi : VitalDelayType01 := DefPropDelay01;
tipd_clklo : VitalDelayType01 := DefPropDelay01;
tipd_muxsel : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
half_rate_mode : string := "false";
use_new_clocking_model : string := "false";
lpm_type : string := "arriaiigz_ddio_out"
);
PORT (
datainlo : IN std_logic := '0';
datainhi : IN std_logic := '0';
clk : IN std_logic := '0';
clkhi : IN std_logic := '0';
clklo : IN std_logic := '0';
muxsel : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
dataout : OUT std_logic;
dfflo : OUT std_logic;
dffhi : OUT std_logic_vector(1 downto 0) ;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END arriaiigz_ddio_out;
ARCHITECTURE arch OF arriaiigz_ddio_out IS
component arriaiigz_mux21
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01
);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic
);
end component;
component dffeas
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
lpm_type : string := "DFFEAS";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '1';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
--Internal Signals
SIGNAL datainlo_ipd : std_logic := '0';
SIGNAL datainhi_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL clkhi_ipd : std_logic := '0';
SIGNAL clklo_ipd : std_logic := '0';
SIGNAL muxsel_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '0';
SIGNAL areset_ipd : std_logic := '0';
SIGNAL sreset_ipd : std_logic := '0';
SIGNAL ddioreg_aclr : std_logic;
SIGNAL ddioreg_prn : std_logic;
SIGNAL ddioreg_adatasdata : std_logic;
SIGNAL ddioreg_sclr : std_logic;
SIGNAL ddioreg_sload : std_logic;
SIGNAL dfflo_tmp : std_logic;
SIGNAL dffhi_tmp : std_logic;
SIGNAL dataout_tmp : std_logic;
Signal mux_sel : std_logic;
Signal mux_hi : std_logic;
Signal dffhi1_tmp : std_logic;
Signal sel_mux_hi_in : std_logic;
signal nclk : std_logic;
signal clk1 : std_logic;
signal clk_hi : std_logic;
signal clk_lo : std_logic;
signal clk_hr : std_logic;
signal muxsel1 : std_logic;
signal muxsel2: std_logic;
signal clk2 : std_logic;
signal muxsel_tmp: std_logic;
signal sel_mux_lo_in : std_logic;
signal datainlo_tmp : std_logic;
signal datainhi_tmp : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (datainlo_ipd, datainlo, tipd_datainlo);
VitalWireDelay (datainhi_ipd, datainhi, tipd_datainhi);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (clkhi_ipd, clkhi, tipd_clkhi);
VitalWireDelay (clklo_ipd, clklo, tipd_clklo);
VitalWireDelay (muxsel_ipd, muxsel, tipd_muxsel);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (sreset_ipd, sreset, tipd_sreset);
end block;
nclk <= NOT clk_ipd;
PROCESS
BEGIN
WAIT UNTIL areset_ipd'EVENT OR sreset_ipd'EVENT;
IF (async_mode = "clear") THEN
ddioreg_aclr <= NOT areset_ipd;
ddioreg_prn <= '1';
ELSIF (async_mode = "preset") THEN
ddioreg_aclr <= '1';
ddioreg_prn <= NOT areset_ipd;
ELSE
ddioreg_aclr <= '1';
ddioreg_prn <= '1';
END IF;
IF (sync_mode = "clear") THEN
ddioreg_adatasdata <= '0';
ddioreg_sclr <= sreset_ipd;
ddioreg_sload <= '0';
ELSIF (sync_mode = "preset") THEN
ddioreg_adatasdata <= '1';
ddioreg_sclr <= '0';
ddioreg_sload <= sreset_ipd;
ELSE
ddioreg_adatasdata <= '0';
ddioreg_sclr <= '0';
ddioreg_sload <= '0';
END IF;
END PROCESS;
process(clk_ipd)
begin
clk1 <= clk_ipd;
end process;
process(muxsel_ipd)
begin
muxsel1 <= muxsel_ipd;
end process;
--DDIO HIGH Register
clk_hi <= clkhi_ipd when(use_new_clocking_model = "true") else clk_ipd;
datainhi_tmp <= datainhi;
ddioreg_hi : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => datainhi_tmp,
clk => clk_hi,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dffhi_tmp,
devpor => devpor,
devclrn => devclrn
);
--DDIO Low Register
clk_lo <= clklo_ipd when(use_new_clocking_model = "true") else clk_ipd;
datainlo_tmp <= datainlo;
ddioreg_lo : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => datainlo_tmp,
clk => clk_lo,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dfflo_tmp,
devpor => devpor,
devclrn => devclrn
);
clk_hr <= NOT clkhi_ipd when(use_new_clocking_model = "true") else NOT clk_ipd;
ddioreg_hi1 : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => dffhi_tmp,
clk => clk_hr,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dffhi1_tmp,
devpor => devpor,
devclrn => devclrn
);
muxsel2 <= muxsel1;
clk2 <= clk1;
mux_sel <= muxsel2 when(use_new_clocking_model = "true") else clk2;
muxsel_tmp <= mux_sel;
sel_mux_lo_in <= dfflo_tmp;
sel_mux_hi_in <= dffhi1_tmp when(half_rate_mode = "true") else dffhi_tmp;
sel_mux : arriaiigz_mux21
port map (
A => sel_mux_lo_in,
B => sel_mux_hi_in,
S => muxsel_tmp,
MO => dataout
);
dfflo <= dfflo_tmp;
dffhi(0) <= dffhi_tmp;
dffhi(1) <= dffhi1_tmp;
END arch;
-- --------------------------------------------------------------------
-- Module Name: arriaiigz_rt_sm
-- Description: Parallel Termination State Machine
-- --------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
ENTITY arriaiigz_rt_sm IS
PORT (
rup : IN std_logic;
rdn : IN std_logic;
clk : IN std_logic;
clken : IN std_logic;
clr : IN std_logic;
rtena : IN std_logic;
rscaldone : IN std_logic;
rtoffsetp : OUT std_logic_vector(3 DOWNTO 0);
rtoffsetn : OUT std_logic_vector(3 DOWNTO 0);
caldone : OUT std_logic;
sel_rup_vref : OUT std_logic_vector(2 DOWNTO 0);
sel_rdn_vref : OUT std_logic_vector(2 DOWNTO 0));
END arriaiigz_rt_sm;
ARCHITECTURE arriaiigz_rt_sm_rtl OF arriaiigz_rt_sm IS
CONSTANT ARRIAIIGZ_RTOCT_WAIT : std_logic_vector(4 DOWNTO 0) := "00000";
CONSTANT RUP_VREF_M_RDN_VER_M : std_logic_vector(4 DOWNTO 0) := "00001";
CONSTANT RUP_VREF_L_RDN_VER_L : std_logic_vector(4 DOWNTO 0) := "00010";
CONSTANT RUP_VREF_H_RDN_VER_H : std_logic_vector(4 DOWNTO 0) := "00011";
CONSTANT RUP_VREF_L_RDN_VER_H : std_logic_vector(4 DOWNTO 0) := "00100";
CONSTANT RUP_VREF_H_RDN_VER_L : std_logic_vector(4 DOWNTO 0) := "00101";
CONSTANT ARRIAIIGZ_RTOCT_INC_PN : std_logic_vector(4 DOWNTO 0) := "01000";
CONSTANT ARRIAIIGZ_RTOCT_DEC_PN : std_logic_vector(4 DOWNTO 0) := "01001";
CONSTANT ARRIAIIGZ_RTOCT_INC_P : std_logic_vector(4 DOWNTO 0) := "01010";
CONSTANT ARRIAIIGZ_RTOCT_DEC_P : std_logic_vector(4 DOWNTO 0) := "01011";
CONSTANT ARRIAIIGZ_RTOCT_INC_N : std_logic_vector(4 DOWNTO 0) := "01100";
CONSTANT ARRIAIIGZ_RTOCT_DEC_N : std_logic_vector(4 DOWNTO 0) := "01101";
CONSTANT ARRIAIIGZ_RTOCT_SWITCH_REG: std_logic_vector(4 DOWNTO 0) := "10001";
CONSTANT ARRIAIIGZ_RTOCT_DONE : std_logic_vector(4 DOWNTO 0) := "11111";
-- interface
SIGNAL nclr : std_logic := '1'; -- for synthesis
SIGNAL rtcalclk : std_logic;
SIGNAL caldone_sig : std_logic := '0';
-- sm
SIGNAL current_state : std_logic_vector(4 DOWNTO 0) := "00000";
SIGNAL next_state : std_logic_vector(4 DOWNTO 0) := "00000";
SIGNAL sel_rup_vref_h_d : std_logic := '0';
SIGNAL sel_rup_vref_h : std_logic := '0';
SIGNAL sel_rup_vref_m_d : std_logic := '1';
SIGNAL sel_rup_vref_m : std_logic := '1';
SIGNAL sel_rup_vref_l_d : std_logic := '0';
SIGNAL sel_rup_vref_l : std_logic := '0';
SIGNAL sel_rdn_vref_h_d : std_logic := '0';
SIGNAL sel_rdn_vref_h : std_logic := '0';
SIGNAL sel_rdn_vref_m_d : std_logic := '1';
SIGNAL sel_rdn_vref_m : std_logic := '1';
SIGNAL sel_rdn_vref_l_d : std_logic := '0';
SIGNAL sel_rdn_vref_l : std_logic := '0';
SIGNAL switch_region_d : std_logic := '0';
SIGNAL switch_region : std_logic := '0';
SIGNAL cmpup : std_logic := '0';
SIGNAL cmpdn : std_logic := '0';
SIGNAL rt_sm_done_d : std_logic := '0';
SIGNAL rt_sm_done : std_logic := '0';
-- cnt
SIGNAL p_cnt_d : std_logic_vector(2 DOWNTO 0) := "000";
SIGNAL p_cnt : std_logic_vector(2 DOWNTO 0) := "000";
SIGNAL n_cnt_d : std_logic_vector(2 DOWNTO 0) := "000";
SIGNAL n_cnt : std_logic_vector(2 DOWNTO 0) := "000";
SIGNAL p_cnt_sub_d : std_logic := '0';
SIGNAL p_cnt_sub : std_logic := '0';
SIGNAL n_cnt_sub_d : std_logic := '0';
SIGNAL n_cnt_sub : std_logic := '0';
BEGIN
-- primary output - MSB is sign bit
rtoffsetp <= p_cnt_sub & p_cnt ;
rtoffsetn <= n_cnt_sub & n_cnt ;
caldone <= caldone_sig;
caldone_sig <= rt_sm_done WHEN (rtena = '1') ELSE '1';
sel_rup_vref <= sel_rup_vref_h & sel_rup_vref_m & sel_rup_vref_l ;
sel_rdn_vref <= sel_rdn_vref_h & sel_rdn_vref_m & sel_rdn_vref_l ;
-- input interface
nclr <= NOT clr ;
rtcalclk <= ((rscaldone AND clken) AND (NOT caldone_sig)) AND clk ;
-- latch registers - rising on everything except cmpup and cmpdn
-- cmpup/dn
PROCESS
BEGIN
WAIT UNTIL (rtcalclk'EVENT AND rtcalclk = '0') OR (nclr'EVENT AND nclr = '0');
IF (nclr = '0') THEN
cmpup <= '0';
cmpdn <= '0';
ELSE
cmpup <= rup;
cmpdn <= rdn;
END IF;
END PROCESS;
-- other regisers
PROCESS
BEGIN
WAIT UNTIL (rtcalclk'EVENT AND rtcalclk = '1') OR (clr'EVENT AND clr = '1');
IF (clr = '1') THEN
current_state <= ARRIAIIGZ_RTOCT_WAIT;
switch_region <= '0';
rt_sm_done <= '0';
p_cnt <= "000";
p_cnt_sub <= '0';
n_cnt <= "000";
n_cnt_sub <= '0';
sel_rup_vref_h <= '0';
sel_rup_vref_m <= '1';
sel_rup_vref_l <= '0';
sel_rdn_vref_h <= '0';
sel_rdn_vref_m <= '1';
sel_rdn_vref_l <= '0';
ELSE
current_state <= next_state;
switch_region <= switch_region_d;
rt_sm_done <= rt_sm_done_d;
p_cnt <= p_cnt_d;
p_cnt_sub <= p_cnt_sub_d;
n_cnt <= n_cnt_d;
n_cnt_sub <= n_cnt_sub_d;
sel_rup_vref_h <= sel_rup_vref_h_d;
sel_rup_vref_m <= sel_rup_vref_m_d;
sel_rup_vref_l <= sel_rup_vref_l_d;
sel_rdn_vref_h <= sel_rdn_vref_h_d;
sel_rdn_vref_m <= sel_rdn_vref_m_d;
sel_rdn_vref_l <= sel_rdn_vref_l_d;
END IF;
END PROCESS;
-- state machine
PROCESS(current_state, rtena, cmpup, cmpdn, p_cnt, n_cnt, switch_region)
variable p_cnt_d_var, n_cnt_d_var : std_logic_vector(2 DOWNTO 0);
variable p_cnt_sub_d_var, n_cnt_sub_d_var : std_logic;
BEGIN
p_cnt_d_var := p_cnt;
n_cnt_d_var := n_cnt;
p_cnt_sub_d_var := '0';
n_cnt_sub_d_var := '0';
CASE current_state IS
WHEN ARRIAIIGZ_RTOCT_WAIT =>
IF (rtena = '0') THEN
next_state <= ARRIAIIGZ_RTOCT_WAIT;
ELSE
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
END IF;
WHEN RUP_VREF_M_RDN_VER_M =>
IF (cmpup = '0' AND cmpdn = '0') THEN
next_state <= RUP_VREF_L_RDN_VER_L;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '1';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '1';
ELSE
IF (cmpup = '1' AND cmpdn = '1') THEN
next_state <= RUP_VREF_H_RDN_VER_H;
sel_rup_vref_h_d <= '1';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '1';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '0';
ELSE
IF (cmpup = '1' AND cmpdn = '0') THEN
next_state <= ARRIAIIGZ_RTOCT_INC_PN;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '0';
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '0';
ELSE
IF (cmpup = '0' AND cmpdn = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DEC_PN;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '1';
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '1';
END IF;
END IF;
END IF;
END IF;
WHEN RUP_VREF_L_RDN_VER_L =>
IF (cmpup = '1' AND cmpdn = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DONE;
ELSE
IF (cmpup = '0') THEN
next_state <= ARRIAIIGZ_RTOCT_DEC_N;
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '1';
ELSE
IF (cmpup = '1' AND cmpdn = '0') THEN
next_state <= ARRIAIIGZ_RTOCT_INC_P;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '0';
END IF;
END IF;
END IF;
WHEN RUP_VREF_H_RDN_VER_H =>
IF (cmpup = '0' AND cmpdn = '0') THEN
next_state <= ARRIAIIGZ_RTOCT_DONE;
ELSE
IF (cmpup = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_INC_N;
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '0';
ELSE
IF (cmpup = '0' AND cmpdn = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DEC_P;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '1';
END IF;
END IF;
END IF;
WHEN RUP_VREF_L_RDN_VER_H =>
IF (cmpup = '1' AND cmpdn = '0') THEN
next_state <= ARRIAIIGZ_RTOCT_DONE;
ELSE
IF (cmpup = '1' AND switch_region = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DEC_P;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '1';
ELSE
IF (cmpup = '0' AND switch_region = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DEC_N;
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '1';
ELSE
IF ((switch_region = '0') AND (cmpup = '0' OR cmpdn = '1')) THEN
next_state <= ARRIAIIGZ_RTOCT_SWITCH_REG;
switch_region_d <= '1';
END IF;
END IF;
END IF;
END IF;
WHEN RUP_VREF_H_RDN_VER_L =>
IF (cmpup = '0' AND cmpdn = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DONE;
ELSE
IF (cmpup = '1' AND switch_region = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_INC_N;
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '0';
ELSE
IF (cmpup = '0' AND switch_region = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_INC_P;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '0';
ELSE
IF ((switch_region = '0') AND (cmpup = '1' OR cmpdn = '0')) THEN
next_state <= ARRIAIIGZ_RTOCT_SWITCH_REG;
switch_region_d <= '1';
END IF;
END IF;
END IF;
END IF;
WHEN ARRIAIIGZ_RTOCT_INC_PN =>
IF (cmpup = '1' AND cmpdn = '0') THEN
next_state <= ARRIAIIGZ_RTOCT_INC_PN;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '0';
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '0';
ELSE
IF (cmpup = '0' AND cmpdn = '0') THEN
next_state <= RUP_VREF_L_RDN_VER_L;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '1';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '1';
ELSE
IF (cmpup = '1' AND cmpdn = '1') THEN
next_state <= RUP_VREF_H_RDN_VER_H;
sel_rup_vref_h_d <= '1';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '1';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '0';
ELSE
IF (cmpup = '0' AND cmpdn = '1') THEN
next_state <= RUP_VREF_L_RDN_VER_H;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '1';
sel_rdn_vref_h_d <= '1';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '0';
END IF;
END IF;
END IF;
END IF;
WHEN ARRIAIIGZ_RTOCT_DEC_PN =>
IF (cmpup = '0' AND cmpdn = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DEC_PN;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '1';
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '1';
ELSE
IF (cmpup = '0' AND cmpdn = '0') THEN
next_state <= RUP_VREF_L_RDN_VER_L;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '1';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '1';
ELSE
IF (cmpup = '1' AND cmpdn = '1') THEN
next_state <= RUP_VREF_H_RDN_VER_H;
sel_rup_vref_h_d <= '1';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '1';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '0';
ELSE
IF (cmpup = '1' AND cmpdn = '0') THEN
next_state <= RUP_VREF_H_RDN_VER_L;
sel_rup_vref_h_d <= '1';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '1';
END IF;
END IF;
END IF;
END IF;
----------------- same action begin
WHEN ARRIAIIGZ_RTOCT_INC_P =>
IF (switch_region = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DONE;
ELSE
IF (switch_region = '0') THEN
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
END IF;
END IF;
WHEN ARRIAIIGZ_RTOCT_DEC_P =>
IF (switch_region = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DONE;
ELSE
IF (switch_region = '0') THEN
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
END IF;
END IF;
WHEN ARRIAIIGZ_RTOCT_INC_N =>
IF (switch_region = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DONE;
ELSE
IF (switch_region = '0') THEN
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
END IF;
END IF;
WHEN ARRIAIIGZ_RTOCT_DEC_N =>
IF (switch_region = '1') THEN
next_state <= ARRIAIIGZ_RTOCT_DONE;
ELSE
IF (switch_region = '0') THEN
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
END IF;
END IF;
----------------- same action end
WHEN ARRIAIIGZ_RTOCT_SWITCH_REG =>
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
WHEN ARRIAIIGZ_RTOCT_DONE =>
next_state <= ARRIAIIGZ_RTOCT_DONE;
rt_sm_done_d <= '1';
WHEN OTHERS =>
next_state <= ARRIAIIGZ_RTOCT_WAIT;
END CASE;
-- case(current_state)
-- schedule the outputs
p_cnt_d <= p_cnt_d_var;
n_cnt_d <= n_cnt_d_var;
p_cnt_sub_d <= p_cnt_sub_d_var;
n_cnt_sub_d <= n_cnt_sub_d_var;
END PROCESS;
END arriaiigz_rt_sm_rtl;
-------------------------------------------------------------------------------
-- Module Name: arriaiigz_termination_aux_clock_div
-- Description: auxilary clock divider module
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
ENTITY arriaiigz_termination_aux_clock_div IS
GENERIC (
clk_divide_by : INTEGER := 1;
extra_latency : INTEGER := 0
);
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC := '0';
clkout : OUT STD_LOGIC
);
END arriaiigz_termination_aux_clock_div;
ARCHITECTURE oct_clock_div_arch OF arriaiigz_termination_aux_clock_div IS
SIGNAL clk_edges : INTEGER := -1;
SIGNAL div_n_register : STD_LOGIC_VECTOR(2 * extra_latency DOWNTO 0)
:= (OTHERS => '0');
BEGIN
PROCESS(clk,reset)
VARIABLE div_n : STD_LOGIC_VECTOR(2 * extra_latency DOWNTO 0) := (OTHERS => '0');
VARIABLE m : INTEGER := 0;
VARIABLE running_clk_edge : INTEGER := -1;
BEGIN
running_clk_edge := clk_edges;
IF (reset = '1') THEN
clk_edges <= -1;
m := 0;
div_n := (OTHERS => '0');
ELSE
IF (clk'EVENT) THEN
IF (running_clk_edge = -1) THEN
m := 0;
div_n(0) := clk;
IF (clk = '1') THEN running_clk_edge := 0; END IF;
ELSIF (running_clk_edge mod clk_divide_by = 0) THEN
div_n(0) := NOT div_n(0);
END IF;
IF (running_clk_edge >= 0 OR clk = '1') THEN
clk_edges <= (running_clk_edge + 1) mod (2 * clk_divide_by);
END IF;
END IF;
END IF;
m := 0;
div_n_register(m) <= div_n(m);
WHILE (m < 2 * extra_latency) LOOP
div_n_register(m+1) <= div_n_register(m);
m := m + 1;
END LOOP;
END PROCESS;
clkout <= div_n_register(2 * extra_latency);
END oct_clock_div_arch;
-------------------------------------------------------------------------------
--
-- Module Name : arriaiigz_termination
--
-- Description : ARRIAIIGZ Termination Atom
-- Verilog simulation model
--
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.ALL;
USE IEEE.VITAL_Primitives.ALL;
use work.arriaiigz_atom_pack.all;
USE WORK.arriaiigz_termination_aux_clock_div;
USE WORK.arriaiigz_rt_sm;
ENTITY arriaiigz_termination IS
GENERIC (
runtime_control : STRING := "false";
allow_serial_data_from_core : STRING := "false";
power_down : STRING := "true";
enable_parallel_termination : STRING := "false";
test_mode : STRING := "false";
enable_calclk_divider : STRING := "false"; -- replaced by below
clock_divider_enable : STRING := "false";
enable_pwrupmode_enser_for_usrmode : STRING := "false";
bypass_enser_logic : STRING := "false";
bypass_rt_calclk : STRING := "false";
enable_rt_scan_mode : STRING := "false";
enable_loopback : STRING := "false";
force_rtcalen_for_pllbiasen : STRING := "false";
enable_rt_sm_loopback : STRING := "false";
select_vrefl_values : integer := 0;
select_vrefh_values : integer := 0;
divide_intosc_by : integer := 2;
use_usrmode_clear_for_configmode : STRING := "false";
tipd_rup : VitalDelayType01 := DefpropDelay01;
tipd_rdn : VitalDelayType01 := DefpropDelay01;
tipd_terminationclock : VitalDelayType01 := DefpropDelay01;
tipd_terminationclear : VitalDelayType01 := DefpropDelay01;
tipd_terminationenable : VitalDelayType01 := DefpropDelay01;
tipd_serializerenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationcontrolin : VitalDelayType01 := DefpropDelay01;
tipd_otherserializerenable : VitalDelayArrayType01(8 downto 0) := (OTHERS => DefPropDelay01);
lpm_type : STRING := "arriaiigz_termination");
PORT (
rup : IN std_logic := '0';
rdn : IN std_logic := '0';
terminationclock : IN std_logic := '0';
terminationclear : IN std_logic := '0';
terminationenable : IN std_logic := '1';
serializerenable : IN std_logic := '0';
terminationcontrolin : IN std_logic := '0';
scanin : IN std_logic := '0';
scanen : IN std_logic := '0';
otherserializerenable : IN std_logic_vector(8 DOWNTO 0) := (OTHERS => '0');
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
incrup : OUT std_logic;
incrdn : OUT std_logic;
serializerenableout : OUT std_logic;
terminationcontrol : OUT std_logic;
terminationcontrolprobe : OUT std_logic;
scanout : OUT std_logic;
shiftregisterprobe : OUT std_logic);
END arriaiigz_termination;
ARCHITECTURE arriaiigz_oct_arch OF arriaiigz_termination IS
COMPONENT arriaiigz_termination_aux_clock_div
GENERIC (
clk_divide_by : INTEGER := 1;
extra_latency : INTEGER := 0
);
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC := '0';
clkout : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT arriaiigz_rt_sm
PORT (
rup : IN std_logic;
rdn : IN std_logic;
clk : IN std_logic;
clken : IN std_logic;
clr : IN std_logic;
rtena : IN std_logic;
rscaldone : IN std_logic;
rtoffsetp : OUT std_logic_vector(3 DOWNTO 0);
rtoffsetn : OUT std_logic_vector(3 DOWNTO 0);
caldone : OUT std_logic;
sel_rup_vref : OUT std_logic_vector(2 DOWNTO 0);
sel_rdn_vref : OUT std_logic_vector(2 DOWNTO 0)
);
END COMPONENT;
-- HW outputs
SIGNAL compout_rup_core : std_logic;
SIGNAL compout_rdn_core : std_logic;
SIGNAL ser_data_io : std_logic;
SIGNAL ser_data_core : std_logic;
-- HW inputs
SIGNAL usr_clk : std_logic;
SIGNAL cal_clk : std_logic;
SIGNAL rscal_clk : std_logic;
SIGNAL cal_clken : std_logic;
SIGNAL cal_nclr : std_logic;
-- legality check on enser
SIGNAL enser_checked : std_logic := '0';
-- Shift Register
SIGNAL sreg_bit_out : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL sreg_bit_out_tmp0 : std_logic := '0';
SIGNAL sreg_vshift_bit_tmp : std_logic := '0';
SIGNAL sreg_vshift_bit_out : std_logic := '0';
SIGNAL sreg_rscaldone_prev : std_logic := '0';
SIGNAL sreg_rscaldone_prev1 : std_logic := '0';
SIGNAL sregn_rscaldone_out : std_logic := '0';
SIGNAL sreg_bit6_prev : std_logic := '1';
-- nreg before SA-ADC
SIGNAL regn_rup_in : std_logic;
SIGNAL regn_rdn_in : std_logic;
SIGNAL regn_compout_rup : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL regn_compout_rdn : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
-- SA-ADC
SIGNAL sa_octcaln_out : std_logic_vector(6 DOWNTO 0); -- RUP - NMOS
SIGNAL sa_octcalp_out : std_logic_vector(6 DOWNTO 0); -- RDN - PMOS
SIGNAL sa_octcaln_in : std_logic_vector(6 DOWNTO 0);
SIGNAL sa_octcalp_in : std_logic_vector(6 DOWNTO 0);
-- ENSER
SIGNAL enser_out : std_logic;
SIGNAL enser_gen_out : std_logic;
SIGNAL enser_cnt : INTEGER := 0;
-- RT State Machine
SIGNAL rtsm_rup_in : std_logic;
SIGNAL rtsm_rdn_in : std_logic;
SIGNAL rtsm_rtena_in : std_logic;
SIGNAL rtsm_rscaldone_in : std_logic;
SIGNAL rtsm_caldone_out : std_logic;
SIGNAL rtsm_rtoffsetp_out : std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtsm_rtoffsetn_out : std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtsm_sel_rup_vref_out : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtsm_sel_rdn_vref_out : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0');
-- RT Adder/Sub
SIGNAL rtas_rs_rpcdp_in : std_logic_vector(6 DOWNTO 0);
SIGNAL rtas_rs_rpcdn_in : std_logic_vector(6 DOWNTO 0);
SIGNAL rtas_rtoffsetp_in : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtas_rtoffsetn_in : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtas_rs_rpcdp_out : std_logic_vector(6 DOWNTO 0);
SIGNAL rtas_rs_rpcdn_out : std_logic_vector(6 DOWNTO 0);
SIGNAL rtas_rt_rpcdp_out : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtas_rt_rpcdn_out : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
-- P2S
SIGNAL p2s_rs_rpcdp_in : std_logic_vector(6 DOWNTO 0);
SIGNAL p2s_rs_rpcdn_in : std_logic_vector(6 DOWNTO 0);
SIGNAL p2s_rt_rpcdp_in : std_logic_vector(6 DOWNTO 0);
SIGNAL p2s_rt_rpcdn_in : std_logic_vector(6 DOWNTO 0);
SIGNAL p2s_enser_in : std_logic;
SIGNAL p2s_clk_in : std_logic;
SIGNAL p2s_ser_data_out : std_logic;
SIGNAL p2s_parallel_reg : std_logic_vector(27 DOWNTO 0) := (OTHERS => '0');
SIGNAL p2s_serial_reg : std_logic := '0';
SIGNAL p2s_index : integer := 27;
-- used to set SA outputs
SIGNAL temp_xhdl10 : std_logic;
SIGNAL temp_xhdl12 : std_logic;
SIGNAL temp_xhdl14 : std_logic;
SIGNAL temp_xhdl16 : std_logic;
SIGNAL temp_xhdl18 : std_logic;
SIGNAL temp_xhdl20 : std_logic;
SIGNAL temp_xhdl22 : std_logic;
SIGNAL temp_xhdl24 : std_logic;
SIGNAL temp_xhdl26 : std_logic;
SIGNAL temp_xhdl28 : std_logic;
SIGNAL temp_xhdl30 : std_logic;
SIGNAL temp_xhdl32 : std_logic;
SIGNAL temp_xhdl34 : std_logic;
SIGNAL temp_xhdl36 : std_logic;
SIGNAL MY_GND : std_logic := '0';
-- timing
SIGNAL rup_ipd : std_logic;
SIGNAL rdn_ipd : std_logic;
SIGNAL terminationclock_ipd : std_logic;
SIGNAL terminationclear_ipd : std_logic;
SIGNAL terminationenable_ipd : std_logic;
SIGNAL serializerenable_ipd : std_logic;
SIGNAL terminationcontrolin_ipd : std_logic;
SIGNAL otherserializerenable_ipd : std_logic_vector(8 DOWNTO 0);
BEGIN
-- primary outputs
incrup <= terminationenable_ipd WHEN (enable_loopback = "true") ELSE compout_rup_core;
incrdn <= terminationclear_ipd WHEN (enable_loopback = "true") ELSE compout_rdn_core;
terminationcontrol <= ser_data_io;
terminationcontrolprobe <= serializerenable_ipd WHEN (enable_loopback = "true") ELSE ser_data_core;
shiftregisterprobe <= terminationclock_ipd WHEN (enable_loopback = "true") ELSE sreg_vshift_bit_out;
serializerenableout <= serializerenable;
compout_rup_core <= rup ;
compout_rdn_core <= rdn ;
ser_data_io <= terminationcontrolin WHEN (allow_serial_data_from_core = "true") ELSE p2s_ser_data_out;
ser_data_core <= p2s_ser_data_out ;
-- primary inputs
usr_clk <= terminationclock ;
cal_nclr <= '1' WHEN (terminationclear = '1') ELSE '0';
cal_clken <= '1' WHEN (terminationenable = '1' AND serializerenable = '1') ELSE '0';
-- divide by 100 clock
m_gen_calclk : arriaiigz_termination_aux_clock_div
GENERIC MAP (
clk_divide_by => 100,
extra_latency => 0)
PORT MAP (
clk => usr_clk,
reset => MY_GND,
clkout => cal_clk);
rscal_clk <= cal_clk AND (NOT sregn_rscaldone_out) ;
-- legality check on enser
PROCESS
BEGIN
WAIT UNTIL (usr_clk'EVENT AND usr_clk = '1');
IF (serializerenable = '1' AND cal_clken = '0') THEN
IF (otherserializerenable(0) = '1' OR
otherserializerenable(1) = '1' OR
otherserializerenable(2) = '1' OR
otherserializerenable(3) = '1' OR
otherserializerenable(4) = '1' OR
otherserializerenable(5) = '1' OR
otherserializerenable(6) = '1' OR
otherserializerenable(7) = '1' OR
otherserializerenable(8) = '1') THEN
IF (enser_checked = '0') THEN
assert false
report "serializizerable and some bits of otherserializerenable are asserted at data transfer time"
severity warning;
enser_checked <= '1';
END IF;
ELSE
enser_checked <= '0'; -- for another check
END IF;
ELSE
enser_checked <= '0'; -- for another check
END IF;
END PROCESS;
-- SHIFT regiter
PROCESS
BEGIN
WAIT UNTIL (rscal_clk'EVENT AND rscal_clk = '1') OR (cal_nclr'EVENT AND cal_nclr = '1');
IF (cal_nclr = '1') THEN
sreg_bit6_prev <= '1';
sreg_bit_out <= "0000000";
sreg_vshift_bit_out <= '0';
sreg_vshift_bit_tmp <= '0';
sreg_bit_out_tmp0 <= '0';
sreg_rscaldone_prev <= '0';
sreg_rscaldone_prev1 <= '0';
ELSE
IF (cal_clken = '1') THEN
sreg_bit_out(6) <= sreg_bit6_prev;
sreg_bit_out(5) <= sreg_bit_out(6);
sreg_bit_out(4) <= sreg_bit_out(5);
sreg_bit_out(3) <= sreg_bit_out(4);
sreg_bit_out(2) <= sreg_bit_out(3);
sreg_bit_out(1) <= sreg_bit_out(2);
sreg_bit_out_tmp0 <= sreg_bit_out(1);
sreg_vshift_bit_tmp <= sreg_bit_out_tmp0;
sreg_bit_out(0) <= sreg_bit_out(1) OR sreg_vshift_bit_tmp;
sreg_vshift_bit_out <= sreg_bit_out_tmp0 OR sreg_vshift_bit_tmp;
sreg_bit6_prev <= '0';
END IF;
END IF;
-- might falling outside of 10 cycles
IF (sreg_vshift_bit_tmp = '1') THEN
sreg_rscaldone_prev <= '1';
END IF;
sreg_rscaldone_prev1 <= sreg_rscaldone_prev;
END PROCESS;
PROCESS
BEGIN
WAIT UNTIL (rscal_clk'EVENT AND rscal_clk = '0') OR (cal_nclr'EVENT AND cal_nclr = '1');
IF (cal_nclr = '1') THEN
sregn_rscaldone_out <= '0';
ELSE -- if (cal_clken == 1'b1) - outside of 10 cycles
IF (sreg_rscaldone_prev1 = '1' AND sregn_rscaldone_out = '0') THEN
sregn_rscaldone_out <= '1';
END IF;
END IF;
END PROCESS;
-- nreg and SA-ADC:
--
-- RDN_vol < ref_voltage < RUP_voltage
-- after reset, ref_voltage=VCCN/2; after ref_voltage_shift, ref_voltage=neighbor(VCCN/2)
-- at 0 code, RUP=VCCN so voltage_compare_out for RUP = 0
-- RDN=GND so voltage compare out for RDN = 0
regn_rup_in <= rup ;
regn_rdn_in <= rdn ;
PROCESS
BEGIN
WAIT UNTIL (cal_nclr'EVENT AND cal_nclr = '1') OR (rscal_clk'EVENT AND rscal_clk = '0');
IF (cal_nclr = '1') THEN
regn_compout_rup <= "0000000";
regn_compout_rdn <= "0000000";
ELSE
-- rup
IF (sreg_bit_out(0) = '1') THEN
regn_compout_rup(0) <= regn_rup_in;
END IF;
IF (sreg_bit_out(1) = '1') THEN
regn_compout_rup(1) <= regn_rup_in;
END IF;
IF (sreg_bit_out(2) = '1') THEN
regn_compout_rup(2) <= regn_rup_in;
END IF;
IF (sreg_bit_out(3) = '1') THEN
regn_compout_rup(3) <= regn_rup_in;
END IF;
IF (sreg_bit_out(4) = '1') THEN
regn_compout_rup(4) <= regn_rup_in;
END IF;
IF (sreg_bit_out(5) = '1') THEN
regn_compout_rup(5) <= regn_rup_in;
END IF;
IF (sreg_bit_out(6) = '1') THEN
regn_compout_rup(6) <= regn_rup_in;
END IF;
-- rdn
IF (sreg_bit_out(0) = '1') THEN
regn_compout_rdn(0) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(1) = '1') THEN
regn_compout_rdn(1) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(2) = '1') THEN
regn_compout_rdn(2) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(3) = '1') THEN
regn_compout_rdn(3) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(4) = '1') THEN
regn_compout_rdn(4) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(5) = '1') THEN
regn_compout_rdn(5) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(6) = '1') THEN
regn_compout_rdn(6) <= regn_rdn_in;
END IF;
END IF;
END PROCESS;
sa_octcaln_in <= sreg_bit_out ;
sa_octcalp_in <= sreg_bit_out ;
-- RUP - octcaln_in == 1 = (pin_voltage < ref_voltage): clear the bit setting
temp_xhdl10 <= '1' WHEN (sa_octcaln_in(0) = '1') ELSE sa_octcaln_out(0);
sa_octcaln_out(0) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(0) = '1') ELSE temp_xhdl10;
temp_xhdl12 <= '1' WHEN (sa_octcaln_in(1) = '1') ELSE sa_octcaln_out(1);
sa_octcaln_out(1) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(1) = '1') ELSE temp_xhdl12;
temp_xhdl14 <= '1' WHEN (sa_octcaln_in(2) = '1') ELSE sa_octcaln_out(2);
sa_octcaln_out(2) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(2) = '1') ELSE temp_xhdl14;
temp_xhdl16 <= '1' WHEN (sa_octcaln_in(3) = '1') ELSE sa_octcaln_out(3);
sa_octcaln_out(3) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(3) = '1') ELSE temp_xhdl16;
temp_xhdl18 <= '1' WHEN (sa_octcaln_in(4) = '1') ELSE sa_octcaln_out(4);
sa_octcaln_out(4) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(4) = '1') ELSE temp_xhdl18;
temp_xhdl20 <= '1' WHEN (sa_octcaln_in(5) = '1') ELSE sa_octcaln_out(5);
sa_octcaln_out(5) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(5) = '1') ELSE temp_xhdl20;
temp_xhdl22 <= '1' WHEN (sa_octcaln_in(6) = '1') ELSE sa_octcaln_out(6);
sa_octcaln_out(6) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(6) = '1') ELSE temp_xhdl22;
temp_xhdl24 <= '1' WHEN (sa_octcalp_in(0) = '1') ELSE sa_octcalp_out(0);
sa_octcalp_out(0) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(0) = '1') ELSE temp_xhdl24;
temp_xhdl26 <= '1' WHEN (sa_octcalp_in(1) = '1') ELSE sa_octcalp_out(1);
sa_octcalp_out(1) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(1) = '1') ELSE temp_xhdl26;
temp_xhdl28 <= '1' WHEN (sa_octcalp_in(2) = '1') ELSE sa_octcalp_out(2);
sa_octcalp_out(2) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(2) = '1') ELSE temp_xhdl28;
temp_xhdl30 <= '1' WHEN (sa_octcalp_in(3) = '1') ELSE sa_octcalp_out(3);
sa_octcalp_out(3) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(3) = '1') ELSE temp_xhdl30;
temp_xhdl32 <= '1' WHEN (sa_octcalp_in(4) = '1') ELSE sa_octcalp_out(4);
sa_octcalp_out(4) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(4) = '1') ELSE temp_xhdl32;
temp_xhdl34 <= '1' WHEN (sa_octcalp_in(5) = '1') ELSE sa_octcalp_out(5);
sa_octcalp_out(5) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(5) = '1') ELSE temp_xhdl34;
temp_xhdl36 <= '1' WHEN (sa_octcalp_in(6) = '1') ELSE sa_octcalp_out(6);
sa_octcalp_out(6) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(6) = '1') ELSE temp_xhdl36;
-- ENSER
enser_out <= serializerenable WHEN (runtime_control = "true" OR bypass_enser_logic = "true") ELSE enser_gen_out;
enser_gen_out <= '1' WHEN (enser_cnt > 0 AND enser_cnt < 31) ELSE '0';
PROCESS
BEGIN
WAIT UNTIL (usr_clk'EVENT AND usr_clk = '1') OR (sregn_rscaldone_out'EVENT AND sregn_rscaldone_out = '1');
IF (sregn_rscaldone_out = '0') THEN
enser_cnt <= 0;
ELSE
IF (enser_cnt < 63) THEN
enser_cnt <= enser_cnt + 1;
END IF;
END IF;
END PROCESS;
-- RT SM
rtsm_rup_in <= rup ;
rtsm_rdn_in <= rdn ;
rtsm_rtena_in <= '1' WHEN (enable_parallel_termination = "true") ELSE '0';
rtsm_rscaldone_in <= sregn_rscaldone_out ;
m_rt_sm : arriaiigz_rt_sm
PORT MAP (
rup => rtsm_rup_in,
rdn => rtsm_rdn_in,
clk => cal_clk,
clken => cal_clken,
clr => cal_nclr,
rtena => rtsm_rtena_in,
rscaldone => rtsm_rscaldone_in,
rtoffsetp => rtsm_rtoffsetp_out,
rtoffsetn => rtsm_rtoffsetn_out,
caldone => rtsm_caldone_out,
sel_rup_vref => rtsm_sel_rup_vref_out,
sel_rdn_vref => rtsm_sel_rdn_vref_out
);
-- RT Adder/Sub
rtas_rs_rpcdp_in <= sa_octcalp_out ;
rtas_rs_rpcdn_in <= sa_octcaln_out ;
rtas_rtoffsetp_in <= "0000" & rtsm_rtoffsetp_out(2 DOWNTO 0);
rtas_rtoffsetn_in <="0000" & rtsm_rtoffsetn_out(2 DOWNTO 0);
rtas_rs_rpcdp_out <= rtas_rs_rpcdp_in ;
rtas_rs_rpcdn_out <= rtas_rs_rpcdn_in ;
rtas_rt_rpcdn_out <= (rtas_rs_rpcdn_in + rtas_rtoffsetn_in) WHEN (rtsm_rtoffsetn_out(3) = '0') ELSE
(rtas_rs_rpcdn_in - rtas_rtoffsetn_in);
rtas_rt_rpcdp_out <= (rtas_rs_rpcdp_in + rtas_rtoffsetp_in) WHEN (rtsm_rtoffsetp_out(3) = '0') ELSE
(rtas_rs_rpcdp_in - rtas_rtoffsetp_in);
-- P2S
p2s_rs_rpcdp_in <= rtas_rs_rpcdp_out ;
p2s_rs_rpcdn_in <= rtas_rs_rpcdn_out ;
p2s_rt_rpcdp_in <= rtas_rt_rpcdp_out;
p2s_rt_rpcdn_in <= rtas_rt_rpcdn_out;
p2s_enser_in <= enser_out ;
p2s_clk_in <= usr_clk ;
p2s_ser_data_out <= p2s_serial_reg ;
-- load - clken
PROCESS
BEGIN
WAIT UNTIL (p2s_clk_in'EVENT AND p2s_clk_in = '1') OR (cal_nclr'EVENT AND cal_nclr = '1');
IF (cal_nclr = '1') THEN
p2s_parallel_reg <= "0000000000000000000000000000";
ELSE
IF (cal_clken = '1') THEN
p2s_parallel_reg <= p2s_rs_rpcdp_in & p2s_rs_rpcdn_in & p2s_rt_rpcdp_in & p2s_rt_rpcdn_in;
END IF;
END IF;
END PROCESS;
-- shift - enser
PROCESS
BEGIN
WAIT UNTIL (p2s_clk_in'EVENT AND p2s_clk_in = '1') OR (cal_nclr'EVENT AND cal_nclr = '1');
IF (cal_nclr = '1') THEN
p2s_serial_reg <= '0';
p2s_index <= 27;
ELSE
IF (p2s_enser_in = '1' AND cal_clken = '0') THEN
p2s_serial_reg <= p2s_parallel_reg(p2s_index);
IF (p2s_index > 0) THEN
p2s_index <= p2s_index - 1;
END IF;
END IF;
END IF;
END PROCESS;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (rup_ipd, rup, tipd_rup);
VitalWireDelay (rdn_ipd, rdn, tipd_rdn);
VitalWireDelay (terminationclock_ipd, terminationclock, tipd_terminationclock);
VitalWireDelay (terminationclear_ipd, terminationclear, tipd_terminationclear);
VitalWireDelay (terminationenable_ipd, terminationenable, tipd_terminationenable);
VitalWireDelay (serializerenable_ipd, serializerenable, tipd_serializerenable);
VitalWireDelay (terminationcontrolin_ipd, terminationcontrolin, tipd_terminationcontrolin);
VitalWireDelay (otherserializerenable_ipd(0), otherserializerenable(0), tipd_otherserializerenable(0));
VitalWireDelay (otherserializerenable_ipd(1), otherserializerenable(1), tipd_otherserializerenable(1));
VitalWireDelay (otherserializerenable_ipd(2), otherserializerenable(2), tipd_otherserializerenable(2));
VitalWireDelay (otherserializerenable_ipd(3), otherserializerenable(3), tipd_otherserializerenable(3));
VitalWireDelay (otherserializerenable_ipd(4), otherserializerenable(4), tipd_otherserializerenable(4));
VitalWireDelay (otherserializerenable_ipd(5), otherserializerenable(5), tipd_otherserializerenable(5));
VitalWireDelay (otherserializerenable_ipd(6), otherserializerenable(6), tipd_otherserializerenable(6));
VitalWireDelay (otherserializerenable_ipd(7), otherserializerenable(7), tipd_otherserializerenable(7));
VitalWireDelay (otherserializerenable_ipd(8), otherserializerenable(8), tipd_otherserializerenable(8));
end block;
END arriaiigz_oct_arch;
-------------------------------------------------------------------------------
--
-- Module Name : arriaiigz_termination_logic
--
-- Description : ARRIAIIGZ Termination Logic Atom
-- Verilog simulation model
--
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.VITAL_Timing.ALL;
USE IEEE.VITAL_Primitives.ALL;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_termination_logic IS
GENERIC (
tipd_serialloadenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationclock : VitalDelayType01 := DefpropDelay01;
tipd_parallelloadenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationdata : VitalDelayType01 := DefpropDelay01;
test_mode : string := "false";
lpm_type : string := "arriaiigz_termination_logic");
PORT (
serialloadenable : IN std_logic := '0';
terminationclock : IN std_logic := '0';
parallelloadenable : IN std_logic := '0';
terminationdata : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
seriesterminationcontrol : OUT std_logic_vector(13 DOWNTO 0);
parallelterminationcontrol : OUT std_logic_vector(13 DOWNTO 0));
END arriaiigz_termination_logic;
ARCHITECTURE arriaiigz_oct_logic_arch OF arriaiigz_termination_logic IS
CONSTANT xhdl_timescale : time := 1 ps;
SIGNAL usr_clk : std_logic;
SIGNAL rs_reg : std_logic_vector(13 DOWNTO 0) := (OTHERS => '0');
SIGNAL rt_reg : std_logic_vector(13 DOWNTO 0) := (OTHERS => '0');
SIGNAL hold_reg : std_logic_vector(27 DOWNTO 0) := (OTHERS => '0');
SIGNAL shift_index : integer := 27;
-- timing
SIGNAL serialloadenable_ipd : std_logic;
SIGNAL terminationclock_ipd : std_logic;
SIGNAL parallelloadenable_ipd : std_logic;
SIGNAL terminationdata_ipd : std_logic;
BEGIN
seriesterminationcontrol <= rs_reg;
parallelterminationcontrol <= rt_reg;
usr_clk <= terminationclock AFTER 11 * xhdl_timescale;
PROCESS
BEGIN
WAIT UNTIL (usr_clk'EVENT AND usr_clk = '1');
IF (serialloadenable = '0') THEN
shift_index <= 27;
ELSE
hold_reg(shift_index) <= terminationdata;
IF (shift_index > 0) THEN
shift_index <= shift_index - 1;
END IF;
END IF;
END PROCESS;
PROCESS
BEGIN
WAIT UNTIL (parallelloadenable'EVENT AND parallelloadenable = '1');
IF (parallelloadenable = '1') THEN
rs_reg <= hold_reg(27 DOWNTO 14);
rt_reg <= hold_reg(13 DOWNTO 0);
END IF;
END PROCESS;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (serialloadenable_ipd, serialloadenable, tipd_serialloadenable);
VitalWireDelay (terminationclock_ipd, terminationclock, tipd_terminationclock);
VitalWireDelay (parallelloadenable_ipd, parallelloadenable, tipd_parallelloadenable);
VitalWireDelay (terminationdata_ipd, terminationdata, tipd_terminationdata);
end block;
END arriaiigz_oct_logic_arch;
-------------------------------------------------------------------------------
-- utilities common for ddr
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
package arriaiigz_atom_ddr_pack is
function dll_unsigned2bin (in_int : integer) return std_logic_vector;
end arriaiigz_atom_ddr_pack;
library IEEE;
use IEEE.std_logic_1164.all;
package body arriaiigz_atom_ddr_pack is
-- truncate input integer to get 6 LSB bits
function dll_unsigned2bin (in_int : integer) return std_logic_vector is
variable tmp_int, i : integer;
variable tmp_bit : integer;
variable result : std_logic_vector(5 downto 0) := "000000";
begin
tmp_int := in_int;
for i in 0 to 5 loop
tmp_bit := tmp_int MOD 2;
if (tmp_bit = 1) then
result(i) := '1';
else
result(i) := '0';
end if;
tmp_int := tmp_int/2;
end loop;
return result;
end dll_unsigned2bin;
end arriaiigz_atom_ddr_pack;
-------------------------------------------------------------------------------
-- auxilary module for ddr
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
ENTITY arriaiigz_dll_gray_encoder IS
GENERIC ( width : integer := 6 );
PORT ( mbin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
gout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END arriaiigz_dll_gray_encoder;
ARCHITECTURE arriaiigz_dll_gray_encoder_arch OF arriaiigz_dll_gray_encoder IS
SIGNAL greg : STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
gout <= greg;
PROCESS(mbin)
VARIABLE i : INTEGER := 0;
BEGIN
greg(width-1) <= mbin(width-1);
IF (width > 1) THEN
i := width - 2;
WHILE (i >= 0) LOOP
greg(i) <= mbin(i+1) XOR mbin(i);
i := i - 1;
END LOOP;
END IF;
END PROCESS;
END arriaiigz_dll_gray_encoder_arch;
-------------------------------------------------------------------------------
-- auxilary module for ddr
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
ENTITY arriaiigz_dll_gray_decoder IS
GENERIC ( width : integer := 6 );
PORT ( gin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
bout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END arriaiigz_dll_gray_decoder;
ARCHITECTURE arriaiigz_dll_gray_decoder_arch OF arriaiigz_dll_gray_decoder IS
SIGNAL breg : STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
bout <= breg;
PROCESS(gin)
VARIABLE i : INTEGER := 0;
VARIABLE bvar : STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
bvar(width-1) := gin(width-1);
IF (width > 1) THEN
i := width - 2;
WHILE (i >= 0) LOOP
bvar(i) := bvar(i+1) XOR gin(i);
i := i - 1;
END LOOP;
END IF;
breg <= bvar;
END PROCESS;
END arriaiigz_dll_gray_decoder_arch;
-------------------------------------------------------------------------------
-- Module Name: arriaiigz_ddr_delay_chain_s
-- Description: auxilary module - delay chain-setting
-------------------------------------------------------------------------------
Library ieee;
use ieee.std_logic_1164.all;
use work.arriaiigz_atom_pack.all;
use work.arriaiigz_dll_gray_decoder;
ENTITY arriaiigz_ddr_delay_chain_s IS
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
phasectrlin_limit : integer := 7
);
PORT (
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
delayed_clkout : OUT std_logic
);
END arriaiigz_ddr_delay_chain_s;
ARCHITECTURE arriaiigz_ddr_delay_chain_s_arch OF arriaiigz_ddr_delay_chain_s IS
COMPONENT arriaiigz_dll_gray_decoder
GENERIC ( width : integer := 6 );
PORT ( gin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
bout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END COMPONENT;
SIGNAL clk_delay : INTEGER := 0;
SIGNAL delayed_clk : STD_LOGIC := '0';
SIGNAL delayctrl_bin : STD_LOGIC_VECTOR (5 DOWNTO 0) := (OTHERS => '0');
SIGNAL delayctrlin_in : STD_LOGIC_VECTOR (5 DOWNTO 0) := (OTHERS => '0');
SIGNAL phasectrlin_in : STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '0');
BEGIN
delayctrlin_in(0) <= '1' WHEN (delayctrlin(0) = '1') ELSE '0';
delayctrlin_in(1) <= '1' WHEN (delayctrlin(1) = '1') ELSE '0';
delayctrlin_in(2) <= '1' WHEN (delayctrlin(2) = '1') ELSE '0';
delayctrlin_in(3) <= '1' WHEN (delayctrlin(3) = '1') ELSE '0';
delayctrlin_in(4) <= '1' WHEN (delayctrlin(4) = '1') ELSE '0';
delayctrlin_in(5) <= '1' WHEN (delayctrlin(5) = '1') ELSE '0';
phasectrlin_in(0) <= '1' WHEN (phasectrlin(0) = '1') ELSE '0';
phasectrlin_in(1) <= '1' WHEN (phasectrlin(1) = '1') ELSE '0';
phasectrlin_in(2) <= '1' WHEN (phasectrlin(2) = '1') ELSE '0';
phasectrlin_in(3) <= '1' WHEN (phasectrlin(3) = '1') ELSE '0';
-- decoder
mdr_delayctrl_in_dec : arriaiigz_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => delayctrlin_in, bout => delayctrl_bin);
PROCESS(delayctrl_bin, phasectrlin_in)
variable sim_intrinsic_delay : INTEGER := 0;
variable acell_delay : INTEGER := 0;
variable delay_chain_len : INTEGER := 0;
BEGIN
IF (delay_buffer_mode = "low") THEN
sim_intrinsic_delay := sim_low_buffer_intrinsic_delay;
ELSE
sim_intrinsic_delay := sim_high_buffer_intrinsic_delay;
END IF;
-- cell
acell_delay := sim_intrinsic_delay + alt_conv_integer(delayctrl_bin) * sim_buffer_delay_increment;
-- no of cells
IF (use_phasectrlin = "false") THEN
delay_chain_len := phase_setting;
ELSIF (alt_conv_integer(phasectrlin_in) > phasectrlin_limit) THEN
delay_chain_len := 0;
ELSE
delay_chain_len := alt_conv_integer(phasectrlin_in);
END IF;
-- total delay - added extra 1 ps for resolving racing
clk_delay <= delay_chain_len * acell_delay + 1;
IF ((use_phasectrlin = "true") AND (alt_conv_integer(phasectrlin_in) > phasectrlin_limit)) THEN
assert false report "Warning: DDR phasesetting has invalid phasectrlin setting" severity warning;
END IF;
END PROCESS; -- generating delays
delayed_clk <= transport clk after (clk_delay * 1 ps);
delayed_clkout <= delayed_clk;
END arriaiigz_ddr_delay_chain_s_arch;
-------------------------------------------------------------------------------
-- based on dffeas
-------------------------------------------------------------------------------
Library ieee;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_ddr_io_reg is
generic(
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port(
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '1';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of arriaiigz_ddr_io_reg : entity is TRUE;
end arriaiigz_ddr_io_reg;
architecture vital_arriaiigz_ddr_io_reg of arriaiigz_ddr_io_reg is
attribute VITAL_LEVEL0 of vital_arriaiigz_ddr_io_reg : architecture is TRUE;
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal d_dly : std_logic;
signal asdata_ipd : std_logic;
signal asdata_dly : std_logic;
signal asdata_dly1 : std_logic;
signal sclr_ipd : std_logic;
signal sload_ipd : std_logic;
signal clrn_ipd : std_logic;
signal prn_ipd : std_logic;
signal aload_ipd : std_logic;
signal ena_ipd : std_logic;
begin
d_dly <= d_ipd;
asdata_dly <= asdata_ipd;
asdata_dly1 <= asdata_dly;
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (asdata_ipd, asdata, tipd_asdata);
VitalWireDelay (sclr_ipd, sclr, tipd_sclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
VitalWireDelay (clrn_ipd, clrn, tipd_clrn);
VitalWireDelay (prn_ipd, prn, tipd_prn);
VitalWireDelay (aload_ipd, aload, tipd_aload);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
VITALtiming : process ( clk_ipd, d_dly, asdata_dly1,
sclr_ipd, sload_ipd, clrn_ipd, prn_ipd, aload_ipd,
ena_ipd, devclrn, devpor)
variable Tviol_d_clk : std_ulogic := '0';
variable Tviol_asdata_clk : std_ulogic := '0';
variable Tviol_sclr_clk : std_ulogic := '0';
variable Tviol_sload_clk : std_ulogic := '0';
variable Tviol_ena_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_asdata_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sclr_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable iq : std_logic := '0';
variable idata: std_logic := '0';
-- variables for 'X' generation
variable violation : std_logic := '0';
begin
if (now = 0 ns) then
if ((power_up = "low") or (power_up = "DONT_CARE")) then
iq := '0';
elsif (power_up = "high") then
iq := '1';
else
iq := '0';
end if;
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT clrn_ipd) OR
(NOT prn_ipd) OR
(sload_ipd) OR
(sclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/arriaiigz_ddr_io_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_asdata_clk,
TimingData => TimingData_asdata_clk,
TestSignal => asdata_ipd,
TestSignalName => "ASDATA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_asdata_clk_noedge_posedge,
SetupLow => tsetup_asdata_clk_noedge_posedge,
HoldHigh => thold_asdata_clk_noedge_posedge,
HoldLow => thold_asdata_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT clrn_ipd) OR
(NOT prn_ipd) OR
(NOT sload_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/arriaiigz_ddr_io_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sclr_clk,
TimingData => TimingData_sclr_clk,
TestSignal => sclr_ipd,
TestSignalName => "SCLR",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sclr_clk_noedge_posedge,
SetupLow => tsetup_sclr_clk_noedge_posedge,
HoldHigh => thold_sclr_clk_noedge_posedge,
HoldLow => thold_sclr_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT clrn_ipd) OR
(NOT prn_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/arriaiigz_ddr_io_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT clrn_ipd) OR
(NOT prn_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/arriaiigz_ddr_io_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena_ipd,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT clrn_ipd) OR
(NOT prn_ipd) OR
(NOT devpor) OR
(NOT devclrn) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/arriaiigz_ddr_io_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
violation := Tviol_d_clk or Tviol_asdata_clk or
Tviol_sclr_clk or Tviol_sload_clk or Tviol_ena_clk;
if ((devpor = '0') or (devclrn = '0') or (clrn_ipd = '0')) then
iq := '0';
elsif (prn_ipd = '0') then
iq := '1';
elsif (aload_ipd = '1') then
iq := asdata_dly1;
elsif (violation = 'X' and x_on_violation = "on") then
iq := 'X';
elsif clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0' then
if (ena_ipd = '1') then
if (sclr_ipd = '1') then
iq := '0';
elsif (sload_ipd = '1') then
iq := asdata_dly1;
else
iq := d_dly;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => iq,
Paths => (0 => (clrn_ipd'last_event, tpd_clrn_q_negedge, TRUE),
1 => (prn_ipd'last_event, tpd_prn_q_negedge, TRUE),
2 => (aload_ipd'last_event, tpd_aload_q_posedge, TRUE),
3 => (asdata_ipd'last_event, tpd_asdata_q, TRUE),
4 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_arriaiigz_ddr_io_reg;
-------------------------------------------------------------------------------
--
-- Entity Name : ARRIAIIGZ_dll
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
use work.arriaiigz_pllpack.all;
use work.arriaiigz_atom_ddr_pack.all;
use work.arriaiigz_dll_gray_encoder;
ENTITY arriaiigz_dll is
GENERIC (
input_frequency : string := "0 ps";
delay_buffer_mode : string := "low";
delay_chain_length : integer := 12;
delayctrlout_mode : string := "normal";
jitter_reduction : string := "false";
use_upndnin : string := "false";
use_upndninclkena : string := "false";
dual_phase_comparators : string := "true";
sim_valid_lock : integer := 16;
sim_valid_lockcount : integer := 0; -- 10000 = 1000 + 100*dllcounter
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
static_delay_ctrl : integer := 0;
lpm_type : string := "arriaiigz_dll";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_upndnin : VitalDelayType01 := DefpropDelay01;
tipd_upndninclkena : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tsetup_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_upndnout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk_delayctrlout_posedge : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01)
);
PORT ( clk : IN std_logic := '0';
aload : IN std_logic := '0';
upndnin : IN std_logic := '1';
upndninclkena : IN std_logic := '1';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '0';
delayctrlout : OUT std_logic_vector(5 DOWNTO 0);
dqsupdate : OUT std_logic;
offsetdelayctrlout : OUT std_logic_vector(5 DOWNTO 0);
offsetdelayctrlclkout : OUT std_logic;
upndnout : OUT std_logic
);
END arriaiigz_dll;
ARCHITECTURE vital_arriaiigzdll of arriaiigz_dll is
COMPONENT arriaiigz_dll_gray_encoder
GENERIC ( width : integer := 6 );
PORT ( mbin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
gout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END COMPONENT;
signal clk_in : std_logic := '0';
signal aload_in_buf : std_logic := '0';
signal upndn_in : std_logic := '0';
signal upndninclkena_in : std_logic := '1';
signal delayctrl_out : std_logic_vector(5 DOWNTO 0) := "000000";
signal offsetdelayctrl_out : std_logic_vector(5 DOWNTO 0) := "000000";
signal upndn_out : std_logic := '0';
signal dqsupdate_out : std_logic := '0';
signal para_delay_buffer_mode : std_logic_vector (1 DOWNTO 0) := "01";
signal para_delayctrlout_mode : std_logic_vector (1 DOWNTO 0) := "00";
signal para_static_delay_ctrl : integer := 0;
signal para_jitter_reduction : std_logic := '0';
signal para_use_upndnin : std_logic := '0';
signal para_use_upndninclkena : std_logic := '1';
-- INTERNAL NETS AND VARIABLES
-- for functionality - by modules
signal sim_buffer_intrinsic_delay : INTEGER := 0;
-- two reg on the de-assertion of dll
SIGNAL aload_in : std_logic := '0';
SIGNAL aload_reg1 : std_logic := '1';
SIGNAL aload_reg2 : std_logic := '1';
-- delay and offset control out resolver
signal dr_delayctrl_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_delayctrl_int : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offsetctrl_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_dllcount_in : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_clk8_in : std_logic := '0';
signal dr_aload_in : std_logic := '0';
signal dr_reg_dllcount : std_logic_vector (5 DOWNTO 0) := "000000";
signal para_static_delay_ctrl_gray : std_logic_vector (5 DOWNTO 0) := "000000";
-- delay chain setting counter
signal dc_dllcount_out_gray : std_logic_vector (5 DOWNTO 0) := "000000";
signal dc_dllcount_out_vec : std_logic_vector (5 DOWNTO 0) := "000000";
signal dc_dllcount_out : integer := 0;
signal dc_dqsupdate_out : std_logic := '0';
signal dc_upndn_in : std_logic := '1';
signal dc_aload_in : std_logic := '0';
signal dc_upndnclkena_in : std_logic := '1';
signal dc_clk8_in : std_logic := '0';
signal dc_clk1_in : std_logic := '0';
signal dc_dlltolock_in : std_logic := '0';
signal dc_reg_dllcount : integer := 0;
signal dc_reg_dlltolock_pulse : std_logic := '0';
-- jitter reduction counter
signal jc_upndn_out : std_logic := '0';
signal jc_upndnclkena_out : std_logic := '1';
signal jc_clk8_in : std_logic := '0';
signal jc_upndn_in : std_logic := '1';
signal jc_aload_in : std_logic := '0';
signal jc_clkena_in : std_logic := '1'; -- new in arriaiigz
signal jc_count : integer := 8;
signal jc_reg_upndn : std_logic := '0';
signal jc_reg_upndnclkena : std_logic := '0';
-- phase comparator
signal pc_lock : std_logic := '0'; -- new in arriaiigz
signal pc_upndn_out : std_logic := '1';
signal pc_dllcount_in : integer := 0;
signal pc_clk1_in : std_logic := '0';
signal pc_clk8_in : std_logic := '0';
signal pc_aload_in : std_logic := '0';
signal pc_reg_upndn : std_logic := '1';
signal pc_delay : integer := 0;
signal pc_lock_reg : std_logic := '0'; -- new in arriaiigz
signal pc_comp_range : integer := 0; -- new in arriaiigz
-- clock generator
signal cg_clk_in : std_logic := '0';
signal cg_aload_in : std_logic := '0';
signal cg_clk1_out : std_logic := '0';
signal cg_clk8a_out : std_logic := '0';
signal cg_clk8b_out : std_logic := '0';
-- por: 000
signal cg_reg_1 : std_logic := '0';
signal cg_rega_2 : std_logic := '0';
signal cg_rega_3 : std_logic := '0';
-- por: 010
signal cg_regb_2 : std_logic := '1';
signal cg_regb_3 : std_logic := '0';
-- for violation checks
signal dll_to_lock : std_logic := '0';
signal input_period : integer := 10000;
signal clk_in_last_value : std_logic := 'X';
begin
-- paramters
input_period <= dqs_str2int(input_frequency);
para_static_delay_ctrl <= static_delay_ctrl;
para_use_upndnin <= '1' WHEN use_upndnin = "true" ELSE '0';
para_jitter_reduction <= '1' WHEN jitter_reduction = "true" ELSE '0';
para_use_upndninclkena <= '1' WHEN use_upndninclkena = "true" ELSE '0';
para_delay_buffer_mode <= "00" WHEN delay_buffer_mode = "auto" ELSE "01" WHEN delay_buffer_mode = "low" ELSE "10";
para_delayctrlout_mode <= "01" WHEN delayctrlout_mode = "test" ELSE "10" WHEN delayctrlout_mode="normal" ELSE "11" WHEN delayctrlout_mode="static" ELSE "00";
sim_buffer_intrinsic_delay <= sim_low_buffer_intrinsic_delay WHEN (delay_buffer_mode = "low") ELSE
sim_high_buffer_intrinsic_delay;
-- violation check block
process (clk_in)
variable got_first_rising_edge : std_logic := '0';
variable got_first_falling_edge : std_logic := '0';
variable per_violation : std_logic := '0';
variable duty_violation : std_logic := '0';
variable sent_per_violation : std_logic := '0';
variable sent_duty_violation : std_logic := '0';
variable clk_in_last_rising_edge : time := 0 ps;
variable clk_in_last_falling_edge : time := 0 ps;
variable input_period_ps : time := 10000 ps;
variable duty_cycle : time := 5000 ps;
variable clk_in_period : time := 10000 ps;
variable clk_in_duty_cycle : time := 5000 ps;
variable clk_per_tolerance : time := 2 ps;
variable half_cycles_to_lock : integer := 1;
variable init : boolean := true;
begin
if (init) then
input_period_ps := dqs_str2int(input_frequency) * 1 ps;
if (input_period_ps = 0 ps) then
assert false report "Need to specify ps scale in simulation command" severity error;
end if;
duty_cycle := input_period_ps/2;
clk_per_tolerance := 2 ps;
half_cycles_to_lock := 0;
init := false;
end if;
if (clk_in'event and clk_in = '1') then -- rising edge
if (got_first_rising_edge = '0') then
got_first_rising_edge := '1';
else -- subsequent rising
-- check for clock period and duty cycle violation
clk_in_period := now - clk_in_last_rising_edge;
clk_in_duty_cycle := now - clk_in_last_falling_edge;
if ((clk_in_period < (input_period_ps - clk_per_tolerance)) or (clk_in_period > (input_period_ps + clk_per_tolerance))) then
per_violation := '1';
if (sent_per_violation /= '1') then
sent_per_violation := '1';
assert false report "Input clock frequency violation." severity warning;
end if;
elsif ((clk_in_duty_cycle < (duty_cycle - clk_per_tolerance/2 - 1 ps)) or (clk_in_duty_cycle > (duty_cycle + clk_per_tolerance/2 + 1 ps))) then
duty_violation := '1';
if (sent_duty_violation /= '1') then
sent_duty_violation := '1';
assert false report "Input clock duty cycle violation." severity warning;
end if;
else
if (per_violation = '1') then
sent_per_violation := '0';
assert false report "Input clock frequency now matches specified clock frequency." severity warning;
end if;
per_violation := '0';
duty_violation := '0';
end if;
end if;
if (per_violation = '0' and duty_violation = '0' and dll_to_lock = '0') then
half_cycles_to_lock := half_cycles_to_lock + 1;
if (half_cycles_to_lock >= sim_valid_lock) then
dll_to_lock <= '1';
assert false report "DLL to lock to incoming clock" severity note;
end if;
end if;
clk_in_last_rising_edge := now;
elsif (clk_in'event and clk_in = '0') then -- falling edge
got_first_falling_edge := '1';
if (got_first_rising_edge = '1') then
-- duty cycle check
clk_in_duty_cycle := now - clk_in_last_rising_edge;
if ((clk_in_duty_cycle < (duty_cycle - clk_per_tolerance/2 - 1 ps)) or (clk_in_duty_cycle > (duty_cycle + clk_per_tolerance/2 + 1 ps))) then
duty_violation := '1';
if (sent_duty_violation /= '1') then
sent_duty_violation := '1';
assert false report "Input clock duty cycle violation." severity warning;
end if;
else
duty_violation := '0';
end if;
if (dll_to_lock = '0' and duty_violation = '0') then
half_cycles_to_lock := half_cycles_to_lock + 1;
end if;
end if;
clk_in_last_falling_edge := now;
elsif (got_first_falling_edge = '1' or got_first_rising_edge = '1') then
-- switches from 1, 0 to X
half_cycles_to_lock := 0;
got_first_rising_edge := '0';
got_first_falling_edge := '0';
if (dll_to_lock = '1') then
dll_to_lock <= '0';
assert false report "Illegal value detected on input clock. DLL will lose lock." severity warning;
else
assert false report "Illegal value detected on input clock." severity warning;
end if;
end if;
clk_in_last_value <= clk_in;
end process ; -- violation check
-- outputs
delayctrl_out <= dr_delayctrl_out;
offsetdelayctrl_out <= dr_offsetctrl_out;
offsetdelayctrlclkout <= dr_clk8_in;
dqsupdate_out <= cg_clk8a_out;
upndn_out <= pc_upndn_out;
-- two registers on aload path --------------------------------------------
aload_in <= (aload_in_buf OR aload_reg2);
process(clk_in)
begin
if (clk_in = '0' and clk_in'event) then
aload_reg2 <= aload_reg1;
aload_reg1 <= aload_in_buf;
end if;
end process;
-- Delay and offset ctrl out resolver -------------------------------------
-------- convert calculations into integer
-- inputs
dr_clk8_in <= not cg_clk8b_out;
dr_dllcount_in <= dc_dllcount_out_gray;
dr_aload_in <= aload_in;
mdll_count_enc : arriaiigz_dll_gray_encoder
GENERIC MAP (width => 6)
PORT MAP (mbin => dc_dllcount_out_vec, gout => dc_dllcount_out_gray);
dc_dllcount_out_vec <= dll_unsigned2bin(dc_dllcount_out);
-- outputs
dr_delayctrl_out <= dr_reg_dllcount;
dr_offsetctrl_out <= dr_delayctrl_int;
-- assumed para_static_delay_ctrl is gray-coded
para_static_delay_ctrl_gray <= dll_unsigned2bin(para_static_delay_ctrl);
dr_delayctrl_int <= para_static_delay_ctrl_gray WHEN (delayctrlout_mode = "static") ELSE
dr_dllcount_in;
-- model
process(dr_clk8_in, dr_aload_in)
begin
if (dr_aload_in = '1' and dr_aload_in'event) then
dr_reg_dllcount <= "000000";
elsif (dr_clk8_in = '1' and dr_clk8_in'event and dr_aload_in /= '1') then
dr_reg_dllcount <= dr_delayctrl_int;
end if;
end process;
-- Delay Setting Control Counter ------------------------------------------
--inputs
dc_dlltolock_in <= dll_to_lock;
dc_aload_in <= aload_in;
dc_clk1_in <= cg_clk1_out;
dc_clk8_in <= not cg_clk8b_out;
dc_upndnclkena_in <= upndninclkena WHEN (para_use_upndninclkena = '1') ELSE
jc_upndnclkena_out WHEN (para_jitter_reduction = '1') ELSE
(not pc_lock) WHEN (dual_phase_comparators = "true") ELSE
'1';
dc_upndn_in <= upndnin WHEN (para_use_upndnin = '1') ELSE
jc_upndn_out WHEN (para_jitter_reduction = '1') ELSE
pc_upndn_out;
-- outputs
dc_dllcount_out <= dc_reg_dllcount; -- needs to turn into gray counter
-- dll counter logic
process(dc_clk8_in, dc_aload_in, dc_dlltolock_in)
variable dc_var_dllcount : integer := 64;
variable init : boolean := true;
begin
if (init) then
if (delay_buffer_mode = "low") then
dc_var_dllcount := 32;
else
dc_var_dllcount := 16;
end if;
init := false;
end if;
if (dc_aload_in = '1' and dc_aload_in'event) then
if (delay_buffer_mode = "low") then
dc_var_dllcount := 32;
else
dc_var_dllcount := 16;
end if;
elsif (dc_aload_in /= '1' and dc_dlltolock_in = '1' and dc_reg_dlltolock_pulse /= '1' and
dc_upndnclkena_in = '1' and para_use_upndnin = '0') then
dc_var_dllcount := sim_valid_lockcount;
dc_reg_dlltolock_pulse <= '1';
elsif (dc_aload_in /= '1' and
dc_upndnclkena_in = '1' and dc_clk8_in'event and dc_clk8_in = '1') then -- posedge clk
if (dc_upndn_in = '1') then
if ((para_delay_buffer_mode = "01" and dc_var_dllcount < 63) or
(para_delay_buffer_mode /= "01" and dc_var_dllcount < 31)) then
dc_var_dllcount := dc_var_dllcount + 1;
end if;
elsif (dc_upndn_in = '0') then
if (dc_var_dllcount > 0) then
dc_var_dllcount := dc_var_dllcount - 1;
end if;
end if;
end if; -- rising clock
-- schedule signal dc_reg_dllcount
dc_reg_dllcount <= dc_var_dllcount;
end process;
-- Jitter reduction counter -----------------------------------------------
-- inputs
jc_clk8_in <= not cg_clk8b_out;
jc_upndn_in <= pc_upndn_out;
jc_aload_in <= aload_in;
-- new in arriaiigz
jc_clkena_in <= '1' WHEN (dual_phase_comparators = "false") ELSE (not pc_lock);
-- outputs
jc_upndn_out <= jc_reg_upndn;
jc_upndnclkena_out <= jc_reg_upndnclkena;
-- Model
process (jc_clk8_in, jc_aload_in)
begin
if (jc_aload_in = '1' and jc_aload_in'event) then
jc_count <= 8;
elsif (jc_aload_in /= '1' and jc_clk8_in'event and jc_clk8_in = '1') then
if (jc_clkena_in = '1') then
if (jc_count = 12) then
jc_reg_upndn <= '1';
jc_reg_upndnclkena <= '1';
jc_count <= 8;
elsif (jc_count = 4) then
jc_reg_upndn <= '0';
jc_reg_upndnclkena <= '1';
jc_count <= 8;
else -- increment/decrement counter
jc_reg_upndnclkena <= '0';
if (jc_upndn_in = '1') then
jc_count <= jc_count + 1;
elsif (jc_upndn_in = '0') then
jc_count <= jc_count - 1;
end if;
end if;
else -- not clkena
jc_reg_upndnclkena <= '0';
end if;
end if;
end process;
-- Phase comparator -------------------------------------------------------
-- inputs
pc_clk1_in <= cg_clk1_out;
pc_clk8_in <= cg_clk8b_out; -- positive
pc_dllcount_in <= dc_dllcount_out; -- for phase loop calculation
pc_aload_in <= aload_in;
-- outputs
pc_upndn_out <= pc_reg_upndn;
pc_lock <= pc_lock_reg;
-- parameter used
-- sim_loop_intrinsic_delay, sim_loop_delay_increment
pc_comp_range <= (3*delay_chain_length*sim_buffer_delay_increment)/2;
-- Model
process (pc_clk8_in, pc_aload_in)
variable pc_var_delay : integer := 0;
begin
if (pc_aload_in = '1' and pc_aload_in'event) then
pc_var_delay := 0;
elsif (pc_aload_in /= '1' and pc_clk8_in'event and pc_clk8_in = '1' ) then
pc_var_delay := delay_chain_length * (sim_buffer_intrinsic_delay + sim_buffer_delay_increment * pc_dllcount_in);
pc_delay <= pc_var_delay;
if (dual_phase_comparators = "false") then
if (pc_var_delay > input_period) then
pc_reg_upndn <= '0';
else
pc_reg_upndn <= '1';
end if;
else -- use dual phase
if (pc_var_delay < (input_period - pc_comp_range/2)) then
pc_reg_upndn <= '1';
pc_lock_reg <= '0';
elsif (pc_var_delay <= (input_period + pc_comp_range/2)) then
pc_reg_upndn <= '0';
pc_lock_reg <= '1';
else
pc_reg_upndn <= '0';
pc_lock_reg <= '0';
end if;
end if;
end if;
end process;
-- Clock Generator -------------------------------------------------------
-- inputs
cg_clk_in <= clk_in;
cg_aload_in <= aload_in;
-- outputs
cg_clk8a_out <= cg_rega_3;
cg_clk8b_out <= cg_regb_3;
cg_clk1_out <= '0' WHEN cg_aload_in = '1' ELSE cg_clk_in;
-- Model
process(cg_clk1_out, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_reg_1 <= '0';
elsif (cg_aload_in /= '1' and cg_clk1_out = '1' and cg_clk1_out'event) then
cg_reg_1 <= not cg_reg_1;
end if;
end process;
process(cg_reg_1, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_rega_2 <= '0';
cg_regb_2 <= '1';
elsif (cg_aload_in /= '1' and cg_reg_1 = '1' and cg_reg_1'event) then
cg_rega_2 <= not cg_rega_2;
cg_regb_2 <= not cg_regb_2;
end if;
end process;
process (cg_rega_2, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_rega_3 <= '0';
elsif (cg_aload_in /= '1' and cg_rega_2 = '1' and cg_rega_2'event) then
cg_rega_3 <= not cg_rega_3;
end if;
end process;
process (cg_regb_2, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_regb_3 <= '0';
elsif (cg_aload_in /= '1' and cg_regb_2 = '1' and cg_regb_2'event) then
cg_regb_3 <= not cg_regb_3;
end if;
end process;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (aload_in_buf, aload, tipd_aload);
VitalWireDelay (upndn_in, upndnin, tipd_upndnin);
VitalWireDelay (upndninclkena_in, upndninclkena, tipd_upndninclkena);
end block;
------------------------
-- Timing Check Section
------------------------
VITALtiming : process (clk_in, upndn_in, upndninclkena_in,
delayctrl_out, offsetdelayctrl_out, dqsupdate_out, upndn_out)
variable Tviol_upndnin_clk : std_ulogic := '0';
variable Tviol_upndninclkena_clk : std_ulogic := '0';
variable TimingData_upndnin_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_upndninclkena_clk : VitalTimingDataType := VitalTimingDataInit;
variable delayctrlout_VitalGlitchDataArray : VitalGlitchDataArrayType(5 downto 0);
variable upndnout_VitalGlitchData : VitalGlitchDataType;
begin
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_upndnin_clk,
TimingData => TimingData_upndnin_clk,
TestSignal => upndn_in,
TestSignalName => "UPNDNIN",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_upndnin_clk_noedge_posedge,
SetupLow => tsetup_upndnin_clk_noedge_posedge,
HoldHigh => thold_upndnin_clk_noedge_posedge,
HoldLow => thold_upndnin_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_upndninclkena_clk,
TimingData => TimingData_upndninclkena_clk,
TestSignal => upndninclkena_in,
TestSignalName => "UPNDNINCLKENA",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_upndninclkena_clk_noedge_posedge,
SetupLow => tsetup_upndninclkena_clk_noedge_posedge,
HoldHigh => thold_upndninclkena_clk_noedge_posedge,
HoldLow => thold_upndninclkena_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
end if;
----------------------
-- Path Delay Section
----------------------
offsetdelayctrlout <= offsetdelayctrl_out;
dqsupdate <= dqsupdate_out;
VitalPathDelay01 (
OutSignal => upndnout,
OutSignalName => "UPNDNOUT",
OutTemp => upndn_out,
Paths => (0 => (clk_in'last_event, tpd_clk_upndnout_posedge, TRUE)),
GlitchData => upndnout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(0),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(0),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(0),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(1),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(1),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(1), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(1),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(2),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(2),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(2), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(2),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(3),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(3),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(3), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(3),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(4),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(4),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(4), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(4),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(5),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(5),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(5), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(5),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process; -- vital timing
end vital_arriaiigzdll;
-------------------------------------------------------------------------------
--
-- Entity Name : ARRIAIIGZ_dll_offset_ctrl
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
USE work.arriaiigz_pllpack.all;
use work.arriaiigz_atom_ddr_pack.all;
use work.arriaiigz_dll_gray_encoder;
use work.arriaiigz_dll_gray_decoder;
ENTITY arriaiigz_dll_offset_ctrl is
GENERIC (
use_offset : string := "false";
static_offset : string := "0";
delay_buffer_mode : string := "low";
lpm_type : string := "arriaiigz_dll_offset_ctrl";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_offset : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_offsetdelayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_addnsub : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tsetup_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_offsetctrlout_posedge : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01)
);
PORT ( clk : IN std_logic := '0';
aload : IN std_logic := '0';
offsetdelayctrlin : IN std_logic_vector(5 DOWNTO 0) := "000000";
offset : IN std_logic_vector(5 DOWNTO 0) := "000000";
addnsub : IN std_logic := '1';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '0';
offsettestout : OUT std_logic_vector(5 DOWNTO 0);
offsetctrlout : OUT std_logic_vector(5 DOWNTO 0)
);
END arriaiigz_dll_offset_ctrl;
ARCHITECTURE vital_arriaiigzoffset of arriaiigz_dll_offset_ctrl is
COMPONENT arriaiigz_dll_gray_encoder
GENERIC ( width : integer := 6 );
PORT ( mbin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
gout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END COMPONENT;
COMPONENT arriaiigz_dll_gray_decoder
GENERIC ( width : integer := 6 );
PORT ( gin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
bout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END COMPONENT;
signal clk_in : std_logic := '0';
signal aload_in : std_logic := '0';
signal offset_in : std_logic_vector(5 DOWNTO 0) := "000000";
signal offsetdelayctrlin_in : std_logic_vector(5 DOWNTO 0) := "000000";
signal addnsub_in : std_logic := '0';
signal offsetctrl_out : std_logic_vector(5 DOWNTO 0) := "000000";
signal para_delay_buffer_mode : std_logic_vector (1 DOWNTO 0) := "01";
signal para_use_offset : std_logic := '0';
signal para_static_offset : integer := 0;
signal para_static_offset_pos : integer := 0;
-- INTERNAL NETS AND VARIABLES
-- for functionality - by modules
-- two reg on the de-assertion of aload
SIGNAL aload_reg1 : std_logic := '1';
SIGNAL aload_reg2 : std_logic := '1';
-- delay and offset control out resolver
signal dr_offsetctrl_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offsettest_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offsetctrl_out_gray : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_addnsub_in : std_logic := '1';
signal dr_clk8_in : std_logic := '0';
signal dr_aload_in : std_logic := '0';
signal dr_offset_in_gray : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_delayctrl_in_gray : std_logic_vector (5 DOWNTO 0) := "000000";
signal para_static_offset_vec_pos : std_logic_vector (5 DOWNTO 0) := "000000";
signal para_static_offset_gray : std_logic_vector (5 DOWNTO 0) := "000000"; -- signed in 2's complement
-- docoder
signal dr_delayctrl_in_bin : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offset_in_bin : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offset_in_bin_pos : std_logic_vector (5 DOWNTO 0) := "000000"; -- for over/underflow check
signal para_static_offset_bin : std_logic_vector (5 DOWNTO 0) := "000000";
signal para_static_offset_bin_pos : std_logic_vector (5 DOWNTO 0) := "000000"; -- for over/underflow check
signal dr_reg_offset : std_logic_vector (5 DOWNTO 0) := "000000";
begin
-- paramters
para_delay_buffer_mode <= "01" WHEN delay_buffer_mode = "low" ELSE "00";
para_use_offset <= '1' WHEN use_offset = "true" ELSE '0';
para_static_offset <= dqs_str2int(static_offset); -- signed int
para_static_offset_pos <= para_static_offset WHEN (para_static_offset > 0) ELSE (-1)*para_static_offset;
-- outputs
offsetctrl_out <= dr_offsetctrl_out_gray;
offsettestout <= dr_offsettest_out;
-- two registers on aload path --------------------------------------------
-- it should be user clock to DLL, not the /8 clock of offsetctrl
process(clk_in)
begin
if (clk_in = '0' and clk_in'event) then
aload_reg2 <= aload_reg1;
aload_reg1 <= aload_in;
end if;
end process;
-- Delay and offset ctrl out resolver -------------------------------------
-- inputs
dr_clk8_in <= clk_in;
dr_addnsub_in <= addnsub_in;
dr_aload_in <= aload_in; -- aload_in | aload_reg2;
dr_delayctrl_in_gray <= offsetdelayctrlin_in;
dr_offset_in_gray <= offset_in;
para_static_offset_vec_pos <= dll_unsigned2bin(para_static_offset_pos);
para_static_offset_gray <= ("111111" - para_static_offset_vec_pos + "000001") WHEN (para_static_offset < 0) ELSE para_static_offset_vec_pos;
-- outputs
dr_offsetctrl_out <= dr_reg_offset;
moffsetctrl_out_enc : arriaiigz_dll_gray_encoder
GENERIC MAP (width => 6)
PORT MAP (mbin => dr_reg_offset, gout => dr_offsetctrl_out_gray);
dr_offsettest_out <= para_static_offset_gray WHEN (use_offset = "false") ELSE offset_in;
-- model
-- decoders
mdr_delayctrl_in_dec : arriaiigz_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => dr_delayctrl_in_gray, bout => dr_delayctrl_in_bin);
mdr_offset_in_dec : arriaiigz_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => dr_offset_in_gray, bout => dr_offset_in_bin);
mpara_static_offset_dec : arriaiigz_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => para_static_offset_gray, bout => para_static_offset_bin);
-- get postive value of decoded offset for over/underflow check
para_static_offset_bin_pos <= ("111111" - para_static_offset_bin + "000001") WHEN (para_static_offset < 0) ELSE para_static_offset_bin;
dr_offset_in_bin_pos <= ("111111" - dr_offset_in_bin + "000001") WHEN ((use_offset = "true") AND (addnsub_in = '0')) ELSE dr_offset_in_bin;
-- generating dr_reg_offset
process(dr_clk8_in, dr_aload_in)
begin
if (dr_aload_in = '1' and dr_aload_in'event) then
dr_reg_offset <= "000000";
elsif (dr_aload_in /= '1' and dr_clk8_in = '1' and dr_clk8_in'event) then
if (use_offset = "true") then
if (dr_addnsub_in = '1') then
if (dr_delayctrl_in_bin < "111111" - dr_offset_in_bin) then
dr_reg_offset <= dr_delayctrl_in_bin + dr_offset_in_bin;
else
dr_reg_offset <= "111111";
end if;
elsif (dr_addnsub_in = '0') then
if (dr_delayctrl_in_bin > dr_offset_in_bin_pos) then
dr_reg_offset <= dr_delayctrl_in_bin + dr_offset_in_bin; -- same as - *_pos
else
dr_reg_offset <= "000000";
end if;
end if;
else
if (para_static_offset >= 0) then -- do not use a + b < "11111" as it does not check overflow
if ((para_static_offset_bin < "111111") AND (dr_delayctrl_in_bin < "111111" - para_static_offset_bin )) then
dr_reg_offset <= dr_delayctrl_in_bin + para_static_offset_bin;
else
dr_reg_offset <= "111111";
end if;
else
if ((para_static_offset_bin_pos < "111111") AND (dr_delayctrl_in_bin > para_static_offset_bin_pos)) then
dr_reg_offset <= dr_delayctrl_in_bin + para_static_offset_bin; -- same as - *_pos
else
dr_reg_offset <= "000000";
end if;
end if;
end if;
end if; -- rising clock
end process ; -- generating dr_reg_offset
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (aload_in, aload, tipd_aload);
VitalWireDelay (addnsub_in, addnsub, tipd_addnsub);
VitalWireDelay (offset_in(0), offset(0), tipd_offset(0));
VitalWireDelay (offset_in(1), offset(1), tipd_offset(1));
VitalWireDelay (offset_in(2), offset(2), tipd_offset(2));
VitalWireDelay (offset_in(3), offset(3), tipd_offset(3));
VitalWireDelay (offset_in(4), offset(4), tipd_offset(4));
VitalWireDelay (offset_in(5), offset(5), tipd_offset(5));
VitalWireDelay (offsetdelayctrlin_in(0), offsetdelayctrlin(0), tipd_offsetdelayctrlin(0));
VitalWireDelay (offsetdelayctrlin_in(1), offsetdelayctrlin(1), tipd_offsetdelayctrlin(1));
VitalWireDelay (offsetdelayctrlin_in(2), offsetdelayctrlin(2), tipd_offsetdelayctrlin(2));
VitalWireDelay (offsetdelayctrlin_in(3), offsetdelayctrlin(3), tipd_offsetdelayctrlin(3));
VitalWireDelay (offsetdelayctrlin_in(4), offsetdelayctrlin(4), tipd_offsetdelayctrlin(4));
VitalWireDelay (offsetdelayctrlin_in(5), offsetdelayctrlin(5), tipd_offsetdelayctrlin(5));
end block;
------------------------
-- Timing Check Section
------------------------
VITALtiming : process (clk_in, offset_in, addnsub_in,
offsetctrl_out)
variable Tviol_offset_clk : std_ulogic := '0';
variable Tviol_addnsub_clk : std_ulogic := '0';
variable TimingData_offset_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_addnsub_clk : VitalTimingDataType := VitalTimingDataInit;
variable offsetctrlout_VitalGlitchDataArray : VitalGlitchDataArrayType(5 downto 0);
begin
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_offset_clk,
TimingData => TimingData_offset_clk,
TestSignal => offset_in,
TestSignalName => "OFFSET",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_offset_clk_noedge_posedge(0),
SetupLow => tsetup_offset_clk_noedge_posedge(0),
HoldHigh => thold_offset_clk_noedge_posedge(0),
HoldLow => thold_offset_clk_noedge_posedge(0),
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_OFFSETCTRL",
XOn => XOn,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_addnsub_clk,
TimingData => TimingData_addnsub_clk,
TestSignal => addnsub_in,
TestSignalName => "ADDNSUB",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_addnsub_clk_noedge_posedge,
SetupLow => tsetup_addnsub_clk_noedge_posedge,
HoldHigh => thold_addnsub_clk_noedge_posedge,
HoldLow => thold_addnsub_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_OFFSETCTRL",
XOn => XOn,
MsgOn => MsgOnChecks );
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => offsetctrlout(0),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(0),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(0), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(0),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => offsetctrlout(1),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(1),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(1), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(1),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => offsetctrlout(2),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(2),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(2), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(2),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => offsetctrlout(3),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(3),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(3), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(3),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => offsetctrlout(4),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(4),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(4), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(4),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => offsetctrlout(5),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(5),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(5), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(5),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process; -- vital timing
end vital_arriaiigzoffset;
-------------------------------------------------------------------------------
--
-- Entity Name : arriaiigz_dqs_delay_chain
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
use work.arriaiigz_dll_gray_decoder;
ENTITY arriaiigz_dqs_delay_chain IS
GENERIC (
dqs_input_frequency : string := "unused" ;
use_phasectrlin : string := "false";
phase_setting : integer := 0;
delay_buffer_mode : string := "low";
dqs_phase_shift : integer := 0;
dqs_offsetctrl_enable : string := "false";
dqs_ctrl_latches_enable : string := "false";
-- DFT added in WYS 1.33
test_enable : string := "false";
test_select : integer := 0;
-- SIM only
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "arriaiigz_dqs_delay_chain";
tipd_dqsin : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_offsetctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_dqsupdateen : VitalDelayType01 := DefpropDelay01;
tipd_phasectrlin : VitalDelayArrayType01(2 downto 0) := (OTHERS => DefPropDelay01);
tpd_dqsin_dqsbusout : VitalDelayType01 := DefPropDelay01;
tsetup_delayctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_delayctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_offsetctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_offsetctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
dqsin : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
offsetctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
dqsupdateen : IN std_logic := '1';
phasectrlin : IN std_logic_vector(2 downto 0) := (OTHERS => '0');
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsbusout : OUT std_logic;
dffin : OUT std_logic
);
END;
ARCHITECTURE arriaiigz_dqs_delay_chain_arch OF arriaiigz_dqs_delay_chain IS
-- component section
COMPONENT arriaiigz_dll_gray_decoder
GENERIC ( width : integer := 6 );
PORT ( gin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
bout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END COMPONENT;
-- signal section
SIGNAL delayctrl_bin : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL offsetctrl_bin : std_logic_vector(5 downto 0) := (OTHERS => '0');
-- offsetctrl after "dqs_offsetctrl_enable" mux
SIGNAL offsetctrl_mux : std_logic_vector(5 downto 0) := (OTHERS => '0');
-- reged outputs of delay count
SIGNAL delayctrl_reg : std_logic_vector(5 downto 0) := (OTHERS => '1');
SIGNAL offsetctrl_reg : std_logic_vector(5 downto 0) := (OTHERS => '1');
-- delay count after latch enable mux
SIGNAL delayctrl_reg_mux : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL offsetctrl_reg_mux : std_logic_vector(5 downto 0) := (OTHERS => '0');
-- timing outputs
SIGNAL tmp_dqsbusout : STD_LOGIC := '0';
SIGNAL dqs_delay : INTEGER := 0;
-- timing inputs
SIGNAL dqsin_in : std_logic := '0';
SIGNAL delayctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL offsetctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL dqsupdateen_in : std_logic := '1';
SIGNAL phasectrlin_in : std_logic_vector(2 downto 0) := (OTHERS => '0');
SIGNAL test_bus : std_logic_vector(12 downto 0);
SIGNAL test_lpbk : std_logic;
SIGNAL tmp_dqsin : std_logic;
BEGIN
PROCESS(dqsupdateen_in)
BEGIN
IF (dqsupdateen_in = '1') THEN
delayctrl_reg <= delayctrlin_in;
offsetctrl_reg <= offsetctrl_mux;
END IF;
END PROCESS;
offsetctrl_mux <= offsetctrlin_in WHEN (dqs_offsetctrl_enable = "true") ELSE delayctrlin_in;
-- mux after reg
delayctrl_reg_mux <= delayctrl_reg WHEN (dqs_ctrl_latches_enable = "true") ELSE delayctrlin_in;
offsetctrl_reg_mux <= offsetctrl_reg WHEN (dqs_ctrl_latches_enable = "true") ELSE offsetctrl_mux;
mdelayctrlin_dec : arriaiigz_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => delayctrl_reg_mux, bout => delayctrl_bin);
moffsetctrlin_dec : arriaiigz_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => offsetctrl_reg_mux, bout => offsetctrl_bin);
PROCESS (delayctrl_bin, offsetctrl_bin, phasectrlin_in)
variable sim_intrinsic_delay : INTEGER := 0;
variable tmp_delayctrl : std_logic_vector(5 downto 0) := (OTHERS => '0');
variable tmp_offsetctrl : std_logic_vector(5 downto 0) := (OTHERS => '0');
variable acell_delay : INTEGER := 0;
variable aoffsetcell_delay : INTEGER := 0;
variable delay_chain_len : INTEGER := 0;
BEGIN
IF (delay_buffer_mode = "low") THEN
sim_intrinsic_delay := sim_low_buffer_intrinsic_delay;
ELSE
sim_intrinsic_delay := sim_high_buffer_intrinsic_delay;
END IF;
IF (delay_buffer_mode = "high" AND delayctrl_bin(5) = '1') THEN
tmp_delayctrl := "011111";
ELSE
tmp_delayctrl := delayctrl_bin;
END IF;
IF (delay_buffer_mode = "high" AND offsetctrl_bin(5) = '1') THEN
tmp_offsetctrl := "011111";
ELSE
tmp_offsetctrl := offsetctrl_bin;
END IF;
-- cell
acell_delay := sim_intrinsic_delay + alt_conv_integer(tmp_delayctrl) * sim_buffer_delay_increment;
IF (dqs_offsetctrl_enable = "true") THEN
aoffsetcell_delay := sim_intrinsic_delay + alt_conv_integer(tmp_offsetctrl)*sim_buffer_delay_increment;
ELSE
aoffsetcell_delay := acell_delay;
END IF;
-- no of cells
IF (use_phasectrlin = "false") THEN
delay_chain_len := phase_setting;
ELSIF (phasectrlin_in(2) = '1') THEN
delay_chain_len := 0;
ELSE
delay_chain_len := alt_conv_integer(phasectrlin_in) + 1;
END IF;
-- total delay
IF (delay_chain_len = 0) THEN
dqs_delay <= 0;
ELSE
dqs_delay <= (delay_chain_len - 1)*acell_delay + aoffsetcell_delay;
END IF;
END PROCESS; -- generating delays
-- test bus loopback
test_bus <= (not dqsupdateen_in) & offsetctrl_reg_mux & delayctrl_reg_mux;
test_lpbk <= test_bus(test_select) WHEN ((0 <= test_select) AND (test_select <= 12)) ELSE 'Z';
tmp_dqsin <= (test_lpbk AND dqsin) WHEN (test_enable = "true") ELSE dqsin_in;
tmp_dqsbusout <= transport tmp_dqsin after (dqs_delay * 1 ps);
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (dqsin_in, dqsin, tipd_dqsin);
loopbits_delayctrlin : FOR i in delayctrlin'RANGE GENERATE
VitalWireDelay (delayctrlin_in(i), delayctrlin(i), tipd_delayctrlin(i));
END GENERATE;
loopbits_offsetctrlin : FOR i in offsetctrlin'RANGE GENERATE
VitalWireDelay (offsetctrlin_in(i), offsetctrlin(i), tipd_offsetctrlin(i));
END GENERATE;
VitalWireDelay (dqsupdateen_in, dqsupdateen, tipd_dqsupdateen);
loopbits_phasectrlin : FOR i in phasectrlin'RANGE GENERATE
VitalWireDelay (phasectrlin_in(i), phasectrlin(i), tipd_phasectrlin(i));
END GENERATE;
end block;
-----------------------------------
-- Timing Check Section
-----------------------------------
VITAL_timing_check: PROCESS (dqsupdateen_in,offsetctrlin_in,delayctrlin_in)
variable Tviol_dqsupdateen_offsetctrlin : std_ulogic := '0';
variable TimingData_dqsupdateen_offsetctrlin : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_dqsupdateen_delayctrlin : std_ulogic := '0';
variable TimingData_dqsupdateen_delayctrlin : VitalTimingDataType := VitalTimingDataInit;
BEGIN
IF (TimingChecksOn) THEN
VitalSetupHoldCheck (
Violation => Tviol_dqsupdateen_offsetctrlin,
TimingData => TimingData_dqsupdateen_offsetctrlin,
TestSignal => offsetctrlin_in,
TestSignalName => "offsetctrlin",
RefSignal => dqsupdateen_in,
RefSignalName => "dqsupdateen",
SetupHigh => tsetup_offsetctrlin_dqsupdateen_noedge_posedge(0),
SetupLow => tsetup_offsetctrlin_dqsupdateen_noedge_posedge(0),
HoldHigh => thold_offsetctrlin_dqsupdateen_noedge_posedge(0),
HoldLow => thold_offsetctrlin_dqsupdateen_noedge_posedge(0),
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_DQS_DELAY_CHAIN",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
VitalSetupHoldCheck (
Violation => Tviol_dqsupdateen_delayctrlin,
TimingData => TimingData_dqsupdateen_delayctrlin,
TestSignal => delayctrlin_in,
TestSignalName => "delayctrlin",
RefSignal => dqsupdateen_in,
RefSignalName => "dqsupdateen",
SetupHigh => tsetup_delayctrlin_dqsupdateen_noedge_posedge(0),
SetupLow => tsetup_delayctrlin_dqsupdateen_noedge_posedge(0),
HoldHigh => thold_delayctrlin_dqsupdateen_noedge_posedge(0),
HoldLow => thold_delayctrlin_dqsupdateen_noedge_posedge(0),
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_DQS_DELAY_CHAIN",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
END IF;
END PROCESS; -- timing check
--------------------------------------
-- Path Delay Section
--------------------------------------
VITAL_path_delays: PROCESS (tmp_dqsbusout)
variable dqsbusout_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => dqsbusout,
OutSignalName => "dqsbusout",
OutTemp => tmp_dqsbusout,
Paths => (0 => (dqsin_in'last_event, tpd_dqsin_dqsbusout, TRUE)),
GlitchData => dqsbusout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
END PROCESS; -- Path Delays
END arriaiigz_dqs_delay_chain_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : arriaiigz_dqs_enable
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_dqs_enable IS
GENERIC (
lpm_type : string := "arriaiigz_dqs_enable";
tipd_dqsin : VitalDelayType01 := DefpropDelay01;
tipd_dqsenable : VitalDelayType01 := DefpropDelay01;
tpd_dqsin_dqsbusout : VitalDelayType01 := DefPropDelay01;
tpd_dqsenable_dqsbusout : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
dqsin : IN std_logic := '0';
dqsenable : IN std_logic := '1';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsbusout : OUT std_logic
);
END;
ARCHITECTURE arriaiigz_dqs_enable_arch OF arriaiigz_dqs_enable IS
-- component section
-- signal section
SIGNAL ena_reg : STD_LOGIC := '1';
-- timing output
SIGNAL tmp_dqsbusout : std_logic := '0';
-- timing input
SIGNAL dqsin_in : std_logic := '0';
SIGNAL dqsenable_in : std_logic := '1';
BEGIN
tmp_dqsbusout <= ena_reg AND dqsin_in;
PROCESS(tmp_dqsbusout, dqsenable_in)
BEGIN
IF (dqsenable_in = '1') THEN
ena_reg <= '1';
ELSIF (tmp_dqsbusout'event AND tmp_dqsbusout = '0') THEN
ena_reg <= '0';
END IF;
END PROCESS;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (dqsin_in, dqsin, tipd_dqsin);
VitalWireDelay (dqsenable_in, dqsenable, tipd_dqsenable);
end block;
--------------------------------------
-- Path Delay Section
--------------------------------------
VITAL_path_delays: PROCESS (tmp_dqsbusout)
variable dqsbusout_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => dqsbusout,
OutSignalName => "dqsbusout",
OutTemp => tmp_dqsbusout,
Paths => (0 => (dqsin_in'last_event, tpd_dqsin_dqsbusout, TRUE),
1 => (dqsenable_in'last_event, tpd_dqsenable_dqsbusout, TRUE)),
GlitchData => dqsbusout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
END PROCESS; -- Path Delays
END arriaiigz_dqs_enable_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : arriaiigz_dqs_enable_ctrl
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
use work.arriaiigz_ddr_io_reg;
use work.arriaiigz_ddr_delay_chain_s;
ENTITY arriaiigz_dqs_enable_ctrl IS
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
level_dqs_enable : string := "false";
delay_dqs_enable_by_half_cycle : string := "false";
add_phase_transfer_reg : string := "false";
invert_phase : string := "false";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "arriaiigz_dqs_enable_ctrl";
tipd_dqsenablein : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_enaphasetransferreg : VitalDelayType01 := DefpropDelay01;
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
dqsenablein : IN std_logic := '1';
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
enaphasetransferreg : IN std_logic := '0';
phaseinvertctrl : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsenableout : OUT std_logic;
dffin : OUT std_logic;
dffextenddqsenable : OUT std_logic
);
END;
ARCHITECTURE arriaiigz_dqs_enable_ctrl_arch OF arriaiigz_dqs_enable_ctrl IS
-- component section
COMPONENT arriaiigz_ddr_delay_chain_s
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
phasectrlin_limit : integer := 7
);
PORT (
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
delayed_clkout : OUT std_logic
);
END COMPONENT;
component arriaiigz_ddr_io_reg
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
-- int signals
SIGNAL phasectrl_clkout : std_logic := '0';
SIGNAL delayed_clk : std_logic := '0';
SIGNAL dqsenablein_reg_q : std_logic := '0';
SIGNAL dqsenablein_level_ena : std_logic := '0';
-- transfer delay
SIGNAL dqsenablein_reg_dly : std_logic := '0';
SIGNAL phasetransferdelay_mux_out : std_logic := '0';
SIGNAL dqsenable_delayed_regp : std_logic := '0';
SIGNAL dqsenable_delayed_regn : std_logic := '0';
SIGNAL m_vcc : std_logic := '1';
SIGNAL m_gnd : std_logic := '0';
SIGNAL not_clk_in : std_logic := '1';
SIGNAL not_delayed_clk : std_logic := '1';
-- timing output
SIGNAL tmp_dqsenableout : std_logic := '1';
-- timing input
SIGNAL dqsenablein_in : std_logic := '1';
SIGNAL clk_in : std_logic := '0';
SIGNAL delayctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL phasectrlin_in : std_logic_vector(3 downto 0) := (OTHERS => '0');
SIGNAL enaphasetransferreg_in : std_logic := '0';
SIGNAL phaseinvertctrl_in : std_logic := '0';
BEGIN
-- delay chain
m_delay_chain : arriaiigz_ddr_delay_chain_s
GENERIC MAP (
phase_setting => phase_setting,
use_phasectrlin => use_phasectrlin,
delay_buffer_mode => delay_buffer_mode,
sim_low_buffer_intrinsic_delay => sim_low_buffer_intrinsic_delay,
sim_high_buffer_intrinsic_delay => sim_high_buffer_intrinsic_delay,
sim_buffer_delay_increment => sim_buffer_delay_increment
)
PORT MAP(
clk => clk_in,
delayctrlin => delayctrlin_in,
phasectrlin => phasectrlin_in,
delayed_clkout => phasectrl_clkout
);
delayed_clk <= (not phasectrl_clkout) WHEN (invert_phase = "true") ELSE
phasectrl_clkout WHEN (invert_phase = "false") ELSE
(not phasectrl_clkout) WHEN (phaseinvertctrl_in = '1') ELSE
phasectrl_clkout;
not_clk_in <= not clk_in;
not_delayed_clk <= not delayed_clk;
dqsenablein_reg : arriaiigz_ddr_io_reg
PORT MAP(
d => dqsenablein_in,
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => m_gnd,
asdata => m_gnd,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dqsenablein_reg_q
);
dqsenable_transfer_reg : arriaiigz_ddr_io_reg
PORT MAP (
d => dqsenablein_reg_q,
clk => not_delayed_clk,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => m_gnd,
asdata => m_gnd,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dqsenablein_reg_dly
);
-- add phase transfer mux
phasetransferdelay_mux_out <= dqsenablein_reg_dly WHEN (add_phase_transfer_reg = "true") ELSE
dqsenablein_reg_q WHEN (add_phase_transfer_reg = "false") ELSE
dqsenablein_reg_dly WHEN (enaphasetransferreg_in = '1') ELSE
dqsenablein_reg_q;
dqsenablein_level_ena <= phasetransferdelay_mux_out WHEN (level_dqs_enable = "true") ELSE dqsenablein_in;
dqsenableout_reg : arriaiigz_ddr_io_reg
PORT MAP(
d => dqsenablein_level_ena,
clk => delayed_clk,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => m_gnd,
asdata => m_gnd,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dqsenable_delayed_regp
);
dqsenableout_extend_reg : arriaiigz_ddr_io_reg
PORT MAP(
d => dqsenable_delayed_regp,
clk => not_delayed_clk,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => m_gnd,
asdata => m_gnd,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dqsenable_delayed_regn
);
tmp_dqsenableout <= dqsenable_delayed_regp WHEN (delay_dqs_enable_by_half_cycle = "false") ELSE
(dqsenable_delayed_regp AND dqsenable_delayed_regn);
dqsenableout <= tmp_dqsenableout;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (dqsenablein_in, dqsenablein, tipd_dqsenablein);
VitalWireDelay (clk_in, clk, tipd_clk);
loopbits_delayctrlin : FOR i in delayctrlin'RANGE GENERATE
VitalWireDelay (delayctrlin_in(i), delayctrlin(i), tipd_delayctrlin(i));
END GENERATE;
loopbits_phasectrlin : FOR i in phasectrlin'RANGE GENERATE
VitalWireDelay (phasectrlin_in(i), phasectrlin(i), tipd_phasectrlin(i));
END GENERATE;
VitalWireDelay (enaphasetransferreg_in, enaphasetransferreg, tipd_enaphasetransferreg);
VitalWireDelay (phaseinvertctrl_in, phaseinvertctrl, tipd_phaseinvertctrl);
end block;
END arriaiigz_dqs_enable_ctrl_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : arriaiigz_delay_chain
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_delay_chain IS
GENERIC (
sim_delayctrlin_rising_delay_0 : integer := 0;
sim_delayctrlin_rising_delay_1 : integer := 50;
sim_delayctrlin_rising_delay_2 : integer := 100;
sim_delayctrlin_rising_delay_3 : integer := 150;
sim_delayctrlin_rising_delay_4 : integer := 200;
sim_delayctrlin_rising_delay_5 : integer := 250;
sim_delayctrlin_rising_delay_6 : integer := 300;
sim_delayctrlin_rising_delay_7 : integer := 350;
sim_delayctrlin_rising_delay_8 : integer := 400;
sim_delayctrlin_rising_delay_9 : integer := 450;
sim_delayctrlin_rising_delay_10 : integer := 500;
sim_delayctrlin_rising_delay_11 : integer := 550;
sim_delayctrlin_rising_delay_12 : integer := 600;
sim_delayctrlin_rising_delay_13 : integer := 650;
sim_delayctrlin_rising_delay_14 : integer := 700;
sim_delayctrlin_rising_delay_15 : integer := 750;
sim_delayctrlin_falling_delay_0 : integer := 0;
sim_delayctrlin_falling_delay_1 : integer := 50;
sim_delayctrlin_falling_delay_2 : integer := 100;
sim_delayctrlin_falling_delay_3 : integer := 150;
sim_delayctrlin_falling_delay_4 : integer := 200;
sim_delayctrlin_falling_delay_5 : integer := 250;
sim_delayctrlin_falling_delay_6 : integer := 300;
sim_delayctrlin_falling_delay_7 : integer := 350;
sim_delayctrlin_falling_delay_8 : integer := 400;
sim_delayctrlin_falling_delay_9 : integer := 450;
sim_delayctrlin_falling_delay_10 : integer := 500;
sim_delayctrlin_falling_delay_11 : integer := 550;
sim_delayctrlin_falling_delay_12 : integer := 600;
sim_delayctrlin_falling_delay_13 : integer := 650;
sim_delayctrlin_falling_delay_14 : integer := 700;
sim_delayctrlin_falling_delay_15 : integer := 750;
use_delayctrlin : string := "true";
delay_setting : integer := 0;
-- new in STRATIXIV ww30.2008
sim_finedelayctrlin_falling_delay_0 : integer := 0;
sim_finedelayctrlin_falling_delay_1 : integer := 25;
sim_finedelayctrlin_rising_delay_0 : integer := 0;
sim_finedelayctrlin_rising_delay_1 : integer := 25;
use_finedelayctrlin : string := "false";
lpm_type : string := "arriaiigz_delay_chain";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
delayctrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
finedelayctrlin : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dataout : OUT std_logic
);
END;
ARCHITECTURE arriaiigz_delay_chain_arch OF arriaiigz_delay_chain IS
-- type def
type delay_chain_int_vec is array (natural range <>) of integer;
-- component section
-- signal section
SIGNAL rising_dly : INTEGER := 0;
SIGNAL falling_dly : INTEGER := 0;
SIGNAL delayctrlin_in : STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '0');
SIGNAL finedelayctrlin_in : STD_LOGIC := '0';
-- timing inputs
SIGNAL tmp_dataout : std_logic := '0';
-- timing inputs
SIGNAL datain_in : std_logic := '0';
BEGIN
-- filtering X/U etc.
delayctrlin_in(0) <= '1' WHEN (delayctrlin(0) = '1') ELSE '0';
delayctrlin_in(1) <= '1' WHEN (delayctrlin(1) = '1') ELSE '0';
delayctrlin_in(2) <= '1' WHEN (delayctrlin(2) = '1') ELSE '0';
delayctrlin_in(3) <= '1' WHEN (delayctrlin(3) = '1') ELSE '0';
finedelayctrlin_in <= '1' WHEN (finedelayctrlin = '1') ELSE '0';
-- generate dynamic delay table and dynamic delay
process(delayctrlin_in, finedelayctrlin_in)
variable init : boolean := true;
variable dly_table_rising : delay_chain_int_vec(15 downto 0) := (OTHERS => 0);
variable dly_table_falling : delay_chain_int_vec(15 downto 0) := (OTHERS => 0);
variable finedly_table_rising : delay_chain_int_vec(1 downto 0) := (OTHERS => 0);
variable finedly_table_falling : delay_chain_int_vec(1 downto 0) := (OTHERS => 0);
variable dly_setting : integer := 0;
variable finedly_setting : integer := 0;
begin
if (init) then
dly_table_rising(0) := sim_delayctrlin_rising_delay_0;
dly_table_rising(1) := sim_delayctrlin_rising_delay_1;
dly_table_rising(2) := sim_delayctrlin_rising_delay_2;
dly_table_rising(3) := sim_delayctrlin_rising_delay_3;
dly_table_rising(4) := sim_delayctrlin_rising_delay_4;
dly_table_rising(5) := sim_delayctrlin_rising_delay_5;
dly_table_rising(6) := sim_delayctrlin_rising_delay_6;
dly_table_rising(7) := sim_delayctrlin_rising_delay_7;
dly_table_rising(8) := sim_delayctrlin_rising_delay_8;
dly_table_rising(9) := sim_delayctrlin_rising_delay_9;
dly_table_rising(10) := sim_delayctrlin_rising_delay_10;
dly_table_rising(11) := sim_delayctrlin_rising_delay_11;
dly_table_rising(12) := sim_delayctrlin_rising_delay_12;
dly_table_rising(13) := sim_delayctrlin_rising_delay_13;
dly_table_rising(14) := sim_delayctrlin_rising_delay_14;
dly_table_rising(15) := sim_delayctrlin_rising_delay_15;
dly_table_falling(0) := sim_delayctrlin_falling_delay_0;
dly_table_falling(1) := sim_delayctrlin_falling_delay_1;
dly_table_falling(2) := sim_delayctrlin_falling_delay_2;
dly_table_falling(3) := sim_delayctrlin_falling_delay_3;
dly_table_falling(4) := sim_delayctrlin_falling_delay_4;
dly_table_falling(5) := sim_delayctrlin_falling_delay_5;
dly_table_falling(6) := sim_delayctrlin_falling_delay_6;
dly_table_falling(7) := sim_delayctrlin_falling_delay_7;
dly_table_falling(8) := sim_delayctrlin_falling_delay_8;
dly_table_falling(9) := sim_delayctrlin_falling_delay_9;
dly_table_falling(10) := sim_delayctrlin_falling_delay_10;
dly_table_falling(11) := sim_delayctrlin_falling_delay_11;
dly_table_falling(12) := sim_delayctrlin_falling_delay_12;
dly_table_falling(13) := sim_delayctrlin_falling_delay_13;
dly_table_falling(14) := sim_delayctrlin_falling_delay_14;
dly_table_falling(15) := sim_delayctrlin_falling_delay_15;
finedly_table_rising(0) := sim_finedelayctrlin_rising_delay_0;
finedly_table_rising(1) := sim_finedelayctrlin_rising_delay_1;
finedly_table_falling(0) := sim_finedelayctrlin_falling_delay_0;
finedly_table_falling(1) := sim_finedelayctrlin_falling_delay_1;
init := false;
end if;
IF (use_delayctrlin = "false") THEN
dly_setting := delay_setting;
ELSE
dly_setting := alt_conv_integer(delayctrlin_in);
END IF;
IF (finedelayctrlin_in = '1') THEN
finedly_setting := 1;
ELSE
finedly_setting := 0;
END IF;
IF (use_finedelayctrlin = "true") THEN
rising_dly <= dly_table_rising(dly_setting) + finedly_table_rising(finedly_setting);
falling_dly <= dly_table_falling(dly_setting) + finedly_table_falling(finedly_setting);
ELSE
rising_dly <= dly_table_rising(dly_setting);
falling_dly <= dly_table_falling(dly_setting);
END IF;
end process; -- generating dynamic delays
PROCESS(datain_in)
BEGIN
if (datain_in = '0') then
tmp_dataout <= transport datain_in after (falling_dly * 1 ps);
else
tmp_dataout <= transport datain_in after (rising_dly * 1 ps);
end if;
END PROCESS;
----------------------------------
-- Path Delay Section
----------------------------------
VITAL: process(tmp_dataout)
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => tmp_dataout,
Paths => (0 => (datain_in'last_event, tpd_datain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (datain_in, datain, tipd_datain);
end block;
END arriaiigz_delay_chain_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : arriaiigz_io_clock_divider
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
use work.arriaiigz_ddr_delay_chain_s;
ENTITY arriaiigz_io_clock_divider IS
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
use_masterin : string := "false";
invert_phase : string := "false";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "arriaiigz_io_clock_divider";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_phaseselect : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
tipd_masterin : VitalDelayType01 := DefpropDelay01;
tpd_clk_clkout : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
clk : IN std_logic := '0';
phaseselect : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
phaseinvertctrl : IN std_logic := '0';
masterin : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
clkout : OUT std_logic;
slaveout : OUT std_logic
);
END;
ARCHITECTURE arriaiigz_io_clock_divider_arch OF arriaiigz_io_clock_divider IS
-- component section
COMPONENT arriaiigz_ddr_delay_chain_s
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
phasectrlin_limit : integer := 7
);
PORT (
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
delayed_clkout : OUT std_logic
);
END COMPONENT;
-- int signals
SIGNAL phasectrl_clkout : STD_LOGIC := '0';
SIGNAL delayed_clk : STD_LOGIC := '0';
SIGNAL divided_clk_in : STD_LOGIC := '0';
SIGNAL divided_clk : STD_LOGIC := '0';
-- timing outputs
SIGNAL tmp_clkout : STD_LOGIC := '0';
-- timing inputs
SIGNAL clk_in : std_logic := '0';
SIGNAL phaseselect_in : std_logic := '0';
SIGNAL delayctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL phasectrlin_in : std_logic_vector(3 downto 0) := (OTHERS => '0');
SIGNAL phaseinvertctrl_in : std_logic := '0';
SIGNAL masterin_in : std_logic := '0';
BEGIN
-- delay chain
m_delay_chain : arriaiigz_ddr_delay_chain_s
GENERIC MAP (
phase_setting => phase_setting,
use_phasectrlin => use_phasectrlin,
delay_buffer_mode => delay_buffer_mode,
sim_low_buffer_intrinsic_delay => sim_low_buffer_intrinsic_delay,
sim_high_buffer_intrinsic_delay => sim_high_buffer_intrinsic_delay,
sim_buffer_delay_increment => sim_buffer_delay_increment
)
PORT MAP(
clk => clk_in,
delayctrlin => delayctrlin_in,
phasectrlin => phasectrlin_in,
delayed_clkout => phasectrl_clkout
);
delayed_clk <= (not phasectrl_clkout) WHEN (invert_phase = "true") ELSE
phasectrl_clkout WHEN (invert_phase = "false") ELSE
(not phasectrl_clkout) WHEN (phaseinvertctrl_in = '1') ELSE
phasectrl_clkout;
divided_clk_in <= masterin_in WHEN (use_masterin = "true") ELSE divided_clk;
PROCESS (delayed_clk)
BEGIN
if (delayed_clk = '1') then
divided_clk <= not divided_clk_in;
end if;
END PROCESS;
tmp_clkout <= (not divided_clk) WHEN (phaseselect_in = '1') ELSE divided_clk;
slaveout <= divided_clk;
----------------------------------
-- Path Delay Section
----------------------------------
VITAL: process(tmp_clkout)
variable clkout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => clkout,
OutSignalName => "clkout",
OutTemp => tmp_clkout,
Paths => (0 => (clk_in'last_event, tpd_clk_clkout, TRUE)),
GlitchData => clkout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (phaseselect_in, phaseselect, tipd_phaseselect);
loopbits_delayctrlin : FOR i in delayctrlin'RANGE GENERATE
VitalWireDelay (delayctrlin_in(i), delayctrlin(i), tipd_delayctrlin(i));
END GENERATE;
loopbits_phasectrlin : FOR i in phasectrlin'RANGE GENERATE
VitalWireDelay (phasectrlin_in(i), phasectrlin(i), tipd_phasectrlin(i));
END GENERATE;
VitalWireDelay (phaseinvertctrl_in, phaseinvertctrl, tipd_phaseinvertctrl);
VitalWireDelay (masterin_in, masterin, tipd_masterin);
end block;
END arriaiigz_io_clock_divider_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : arriaiigz_output_phase_alignment
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
use work.arriaiigz_ddr_io_reg;
use work.arriaiigz_ddr_delay_chain_s;
ENTITY arriaiigz_output_phase_alignment IS
GENERIC (
operation_mode : string := "ddio_out";
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
add_output_cycle_delay : string := "false";
use_delayed_clock : string := "false";
add_phase_transfer_reg : string := "false";
use_phasectrl_clock : string := "true";
use_primary_clock : string := "true";
invert_phase : string := "false";
bypass_input_register : string := "false";
phase_setting_for_delayed_clock : integer := 2;
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
-- new in STRATIXIV: ww30.2008
duty_cycle_delay_mode : string := "none";
sim_dutycycledelayctrlin_falling_delay_0 : integer := 0 ;
sim_dutycycledelayctrlin_falling_delay_1 : integer := 25 ;
sim_dutycycledelayctrlin_falling_delay_10 : integer := 250 ;
sim_dutycycledelayctrlin_falling_delay_11 : integer := 275 ;
sim_dutycycledelayctrlin_falling_delay_12 : integer := 300 ;
sim_dutycycledelayctrlin_falling_delay_13 : integer := 325 ;
sim_dutycycledelayctrlin_falling_delay_14 : integer := 350 ;
sim_dutycycledelayctrlin_falling_delay_15 : integer := 375 ;
sim_dutycycledelayctrlin_falling_delay_2 : integer := 50 ;
sim_dutycycledelayctrlin_falling_delay_3 : integer := 75 ;
sim_dutycycledelayctrlin_falling_delay_4 : integer := 100 ;
sim_dutycycledelayctrlin_falling_delay_5 : integer := 125 ;
sim_dutycycledelayctrlin_falling_delay_6 : integer := 150 ;
sim_dutycycledelayctrlin_falling_delay_7 : integer := 175 ;
sim_dutycycledelayctrlin_falling_delay_8 : integer := 200 ;
sim_dutycycledelayctrlin_falling_delay_9 : integer := 225 ;
sim_dutycycledelayctrlin_rising_delay_0 : integer := 0 ;
sim_dutycycledelayctrlin_rising_delay_1 : integer := 25 ;
sim_dutycycledelayctrlin_rising_delay_10 : integer := 250 ;
sim_dutycycledelayctrlin_rising_delay_11 : integer := 275 ;
sim_dutycycledelayctrlin_rising_delay_12 : integer := 300 ;
sim_dutycycledelayctrlin_rising_delay_13 : integer := 325 ;
sim_dutycycledelayctrlin_rising_delay_14 : integer := 350 ;
sim_dutycycledelayctrlin_rising_delay_15 : integer := 375 ;
sim_dutycycledelayctrlin_rising_delay_2 : integer := 50 ;
sim_dutycycledelayctrlin_rising_delay_3 : integer := 75 ;
sim_dutycycledelayctrlin_rising_delay_4 : integer := 100 ;
sim_dutycycledelayctrlin_rising_delay_5 : integer := 125 ;
sim_dutycycledelayctrlin_rising_delay_6 : integer := 150 ;
sim_dutycycledelayctrlin_rising_delay_7 : integer := 175 ;
sim_dutycycledelayctrlin_rising_delay_8 : integer := 200 ;
sim_dutycycledelayctrlin_rising_delay_9 : integer := 225 ;
lpm_type : string := "arriaiigz_output_phase_alignment";
tipd_datain : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_areset : VitalDelayType01 := DefpropDelay01;
tipd_sreset : VitalDelayType01 := DefpropDelay01;
tipd_clkena : VitalDelayType01 := DefpropDelay01;
tipd_enaoutputcycledelay : VitalDelayType01 := DefpropDelay01;
tipd_enaphasetransferreg : VitalDelayType01 := DefpropDelay01;
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic_vector(1 downto 0) := (OTHERS => '0');
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
clkena : IN std_logic := '1';
enaoutputcycledelay : IN std_logic := '0';
enaphasetransferreg : IN std_logic := '0';
phaseinvertctrl : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
delaymode : IN std_logic := '0'; -- new in STRATIXIV: ww30.2008
dutycycledelayctrlin: IN std_logic_vector(3 downto 0) := (OTHERS => '0');
dataout : OUT std_logic;
dffin : OUT std_logic_vector(1 downto 0);
dff1t : OUT std_logic_vector(1 downto 0);
dffddiodataout : OUT std_logic
);
END;
ARCHITECTURE arriaiigz_output_phase_alignment_arch OF arriaiigz_output_phase_alignment IS
-- type def
type delay_chain_int_vec is array (natural range <>) of integer;
-- component section
COMPONENT arriaiigz_ddr_delay_chain_s
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
phasectrlin_limit : integer := 7
);
PORT (
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
delayed_clkout : OUT std_logic
);
END COMPONENT;
component arriaiigz_ddr_io_reg
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
-- int signals on clock paths
SIGNAL clk_in_delayed: STD_LOGIC := '0';
SIGNAL clk_in_mux: STD_LOGIC := '0';
SIGNAL phasectrl_clkout: STD_LOGIC := '0';
SIGNAL phaseinvertctrl_out: STD_LOGIC := '0';
SIGNAL m_vcc: STD_LOGIC := '1';
SIGNAL m_gnd: STD_LOGIC := '0';
-- IO registers
-- common
SIGNAL adatasdata_in_r : STD_LOGIC := '0'; -- sync reset - common for transfer and output reg
SIGNAL sclr_in_r : STD_LOGIC := '0';
SIGNAL sload_in_r : STD_LOGIC := '0';
SIGNAL sclr_in : STD_LOGIC := '0';
SIGNAL sload_in : STD_LOGIC := '0';
SIGNAL adatasdata_in : STD_LOGIC := '0';
SIGNAL clrn_in_r : STD_LOGIC := '1'; -- async reset - common for all registers
SIGNAL prn_in_r : STD_LOGIC := '1';
SIGNAL datain_q: STD_LOGIC := '0';
SIGNAL ddio_datain_q: STD_LOGIC := '0';
SIGNAL cycledelay_q: STD_LOGIC := '0';
SIGNAL ddio_cycledelay_q: STD_LOGIC := '0';
SIGNAL cycledelay_mux_out: STD_LOGIC := '0';
SIGNAL ddio_cycledelay_mux_out: STD_LOGIC := '0';
SIGNAL bypass_input_reg_mux_out : STD_LOGIC := '0';
SIGNAL ddio_bypass_input_reg_mux_out : STD_LOGIC := '0';
SIGNAL not_clk_in_mux: STD_LOGIC := '0';
SIGNAL ddio_out_clk_mux: STD_LOGIC := '0';
SIGNAL ddio_out_lo_q: STD_LOGIC := '0';
SIGNAL ddio_out_hi_q: STD_LOGIC := '0';
-- transfer delay now by negative clk
SIGNAL transfer_q: STD_LOGIC := '0';
SIGNAL ddio_transfer_q: STD_LOGIC := '0';
-- Duty Cycle Delay
SIGNAL dcd_in : STD_LOGIC := '0';
SIGNAL dcd_out : STD_LOGIC := '0';
SIGNAL dcd_both : STD_LOGIC := '0';
SIGNAL dcd_both_gnd : STD_LOGIC := '0';
SIGNAL dcd_both_vcc : STD_LOGIC := '0';
SIGNAL dcd_fallnrise : STD_LOGIC := '0';
SIGNAL dcd_fallnrise_gnd : STD_LOGIC := '0';
SIGNAL dcd_fallnrise_vcc : STD_LOGIC := '0';
SIGNAL dcd_rising_dly : INTEGER := 0;
SIGNAL dcd_falling_dly : INTEGER := 0;
SIGNAL dlyclk_clk: STD_LOGIC := '0';
SIGNAL dlyclk_d: STD_LOGIC := '0';
SIGNAL dlyclk_q: STD_LOGIC := '0';
SIGNAL ddio_dlyclk_d: STD_LOGIC := '0';
SIGNAL ddio_dlyclk_q: STD_LOGIC := '0';
SIGNAL dlyclk_clkena_in: STD_LOGIC := '0'; -- shared
SIGNAL dlyclk_extended_q: STD_LOGIC := '0';
SIGNAL dlyclk_extended_clk: STD_LOGIC := '0';
SIGNAL normal_dataout: STD_LOGIC := '0';
SIGNAL extended_dataout: STD_LOGIC := '0';
SIGNAL ddio_dataout: STD_LOGIC := '0';
SIGNAL tmp_dataout: STD_LOGIC := '0';
-- timing inputs
SIGNAL datain_in : std_logic_vector(1 downto 0) := (OTHERS => '0');
SIGNAL clk_in : std_logic := '0';
SIGNAL delayctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL phasectrlin_in : std_logic_vector(3 downto 0) := (OTHERS => '0');
SIGNAL areset_in : std_logic := '0';
SIGNAL sreset_in : std_logic := '0';
SIGNAL clkena_in : std_logic := '1';
SIGNAL enaoutputcycledelay_in : std_logic := '0';
SIGNAL enaphasetransferreg_in : std_logic := '0';
SIGNAL phaseinvertctrl_in : std_logic := '0';
SIGNAL delaymode_in: std_logic := '0';
SIGNAL dutycycledelayctrlin_in : std_logic_vector(3 downto 0) := (OTHERS => '0');
BEGIN
-- filtering X/U etc.
delaymode_in <= '1' WHEN (delaymode = '1') ELSE '0';
dutycycledelayctrlin_in(0) <= '1' WHEN (dutycycledelayctrlin(0) = '1') ELSE '0';
dutycycledelayctrlin_in(1) <= '1' WHEN (dutycycledelayctrlin(1) = '1') ELSE '0';
dutycycledelayctrlin_in(2) <= '1' WHEN (dutycycledelayctrlin(2) = '1') ELSE '0';
dutycycledelayctrlin_in(3) <= '1' WHEN (dutycycledelayctrlin(3) = '1') ELSE '0';
-- delay chain for clk_in delay
m_clk_in_delay_chain : arriaiigz_ddr_delay_chain_s
GENERIC MAP (
phase_setting => phase_setting_for_delayed_clock,
use_phasectrlin => "false",
delay_buffer_mode => delay_buffer_mode,
sim_low_buffer_intrinsic_delay => sim_low_buffer_intrinsic_delay,
sim_high_buffer_intrinsic_delay => sim_high_buffer_intrinsic_delay,
sim_buffer_delay_increment => sim_buffer_delay_increment
)
PORT MAP(
clk => clk_in,
delayctrlin => delayctrlin_in,
phasectrlin => phasectrlin_in,
delayed_clkout => clk_in_delayed
);
-- clock source for datain and cycle delay registers
clk_in_mux <= clk_in_delayed WHEN (use_delayed_clock = "true") ELSE clk_in;
-- delay chain for phase control
m_delay_chain : arriaiigz_ddr_delay_chain_s
GENERIC MAP (
phase_setting => phase_setting,
use_phasectrlin => use_phasectrlin,
delay_buffer_mode => delay_buffer_mode,
sim_low_buffer_intrinsic_delay => sim_low_buffer_intrinsic_delay,
sim_high_buffer_intrinsic_delay => sim_high_buffer_intrinsic_delay,
phasectrlin_limit => 10,
sim_buffer_delay_increment => sim_buffer_delay_increment
)
PORT MAP(
clk => clk_in,
delayctrlin => delayctrlin_in,
phasectrlin => phasectrlin_in,
delayed_clkout => phasectrl_clkout
);
-- primary outputs
normal_dataout <= dlyclk_q;
extended_dataout <= dlyclk_q OR dlyclk_extended_q; -- oe port is active low
ddio_dataout <= ddio_out_hi_q WHEN (ddio_out_clk_mux = '1') ELSE ddio_out_lo_q;
tmp_dataout <= ddio_dataout WHEN (operation_mode = "ddio_out") ELSE
extended_dataout WHEN (operation_mode = "extended_oe" OR operation_mode = "extended_rtena") ELSE
normal_dataout WHEN (operation_mode = "output" OR operation_mode = "oe" OR operation_mode = "rtena") ELSE
'Z';
dataout <= tmp_dataout;
ddio_out_clk_mux <= dlyclk_clk after 1 ps; -- symbolic T4 to remove glitch on data_h
ddio_out_lo_q <= dlyclk_q after 2 ps; -- symbolic 2 T4 to remove glitch on data_l
ddio_out_hi_q <= ddio_dlyclk_q;
-- resolve reset modes
PROCESS(areset_in)
BEGIN
IF (async_mode = "clear") THEN
clrn_in_r <= not areset_in;
prn_in_r <= '1';
ELSIF (async_mode = "preset") THEN
prn_in_r <= not areset_in;
clrn_in_r <= '1';
END IF;
END PROCESS;
PROCESS(sreset_in)
BEGIN
IF (sync_mode = "clear") THEN
sclr_in_r <= sreset_in;
adatasdata_in_r <= '0';
sload_in_r <= '0';
ELSIF (sync_mode = "preset") THEN
sload_in_r <= sreset_in;
adatasdata_in_r <= '1';
sclr_in_r <= '0';
END IF;
END PROCESS;
sclr_in <= '0' WHEN (operation_mode = "rtena" OR operation_mode = "extended_rtena") ELSE sclr_in_r;
sload_in <= '0' WHEN (operation_mode = "rtena" OR operation_mode = "extended_rtena") ELSE sload_in_r;
adatasdata_in <= adatasdata_in_r;
dlyclk_clkena_in <= '1' WHEN (operation_mode = "rtena" OR operation_mode = "extended_rtena") ELSE clkena_in;
-- Datain Register
datain_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(0),
clk => clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => datain_q
);
-- DDIO Datain Register
ddio_datain_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(1),
clk => clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => ddio_datain_q
);
-- Cycle Delay Register
cycledelay_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_q,
clk => clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => cycledelay_q
);
-- DDIO Cycle Delay Register
ddio_cycledelay_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => ddio_datain_q,
clk => clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => ddio_cycledelay_q
);
-- enaoutputcycledelay data path mux
cycledelay_mux_out <= cycledelay_q WHEN (add_output_cycle_delay = "true") ELSE
datain_q WHEN (add_output_cycle_delay = "false") ELSE
cycledelay_q WHEN (enaoutputcycledelay_in = m_vcc) ELSE
datain_q;
-- input register bypass mux
bypass_input_reg_mux_out <= datain_in(0) WHEN (bypass_input_register = "true") ELSE cycledelay_mux_out;
--assign #300 transfer_q = cycledelay_mux_out;
-- transfer delay is implemented with negative register in rev1.26
transferdelay_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => bypass_input_reg_mux_out,
clk => not_clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => sclr_in,
sload => sload_in,
devclrn => devclrn,
devpor => devpor,
q => transfer_q
);
-- add phase transfer data path mux
dlyclk_d <= transfer_q WHEN (add_phase_transfer_reg = "true") ELSE
bypass_input_reg_mux_out WHEN (add_phase_transfer_reg = "false") ELSE
transfer_q WHEN (enaphasetransferreg_in = m_vcc) ELSE
bypass_input_reg_mux_out;
-- clock mux for the output register
phaseinvertctrl_out <= (not phasectrl_clkout) WHEN (invert_phase = "true") ELSE
phasectrl_clkout WHEN (invert_phase = "false") ELSE
(not phasectrl_clkout) WHEN (phaseinvertctrl_in = m_vcc) ELSE
phasectrl_clkout;
-- Duty Cycle Delay
dcd_in <= phaseinvertctrl_out WHEN (use_phasectrl_clock = "true") ELSE clk_in_mux;
PROCESS(dutycycledelayctrlin_in)
variable init : boolean := true;
variable dcd_table_rising : delay_chain_int_vec(15 downto 0) := (OTHERS => 0);
variable dcd_table_falling : delay_chain_int_vec(15 downto 0) := (OTHERS => 0);
variable dcd_dly_setting : integer := 0;
begin
if (init) then
dcd_table_rising(0) := sim_dutycycledelayctrlin_rising_delay_0;
dcd_table_rising(1) := sim_dutycycledelayctrlin_rising_delay_1;
dcd_table_rising(2) := sim_dutycycledelayctrlin_rising_delay_2;
dcd_table_rising(3) := sim_dutycycledelayctrlin_rising_delay_3;
dcd_table_rising(4) := sim_dutycycledelayctrlin_rising_delay_4;
dcd_table_rising(5) := sim_dutycycledelayctrlin_rising_delay_5;
dcd_table_rising(6) := sim_dutycycledelayctrlin_rising_delay_6;
dcd_table_rising(7) := sim_dutycycledelayctrlin_rising_delay_7;
dcd_table_rising(8) := sim_dutycycledelayctrlin_rising_delay_8;
dcd_table_rising(9) := sim_dutycycledelayctrlin_rising_delay_9;
dcd_table_rising(10) := sim_dutycycledelayctrlin_rising_delay_10;
dcd_table_rising(11) := sim_dutycycledelayctrlin_rising_delay_11;
dcd_table_rising(12) := sim_dutycycledelayctrlin_rising_delay_12;
dcd_table_rising(13) := sim_dutycycledelayctrlin_rising_delay_13;
dcd_table_rising(14) := sim_dutycycledelayctrlin_rising_delay_14;
dcd_table_rising(15) := sim_dutycycledelayctrlin_rising_delay_15;
dcd_table_falling(0) := sim_dutycycledelayctrlin_falling_delay_0;
dcd_table_falling(1) := sim_dutycycledelayctrlin_falling_delay_1;
dcd_table_falling(2) := sim_dutycycledelayctrlin_falling_delay_2;
dcd_table_falling(3) := sim_dutycycledelayctrlin_falling_delay_3;
dcd_table_falling(4) := sim_dutycycledelayctrlin_falling_delay_4;
dcd_table_falling(5) := sim_dutycycledelayctrlin_falling_delay_5;
dcd_table_falling(6) := sim_dutycycledelayctrlin_falling_delay_6;
dcd_table_falling(7) := sim_dutycycledelayctrlin_falling_delay_7;
dcd_table_falling(8) := sim_dutycycledelayctrlin_falling_delay_8;
dcd_table_falling(9) := sim_dutycycledelayctrlin_falling_delay_9;
dcd_table_falling(10) := sim_dutycycledelayctrlin_falling_delay_10;
dcd_table_falling(11) := sim_dutycycledelayctrlin_falling_delay_11;
dcd_table_falling(12) := sim_dutycycledelayctrlin_falling_delay_12;
dcd_table_falling(13) := sim_dutycycledelayctrlin_falling_delay_13;
dcd_table_falling(14) := sim_dutycycledelayctrlin_falling_delay_14;
dcd_table_falling(15) := sim_dutycycledelayctrlin_falling_delay_15;
init := false;
end if;
dcd_dly_setting := alt_conv_integer(dutycycledelayctrlin_in);
dcd_rising_dly <= dcd_table_rising(dcd_dly_setting);
dcd_falling_dly <= dcd_table_falling(dcd_dly_setting);
end process; -- generating dynamic delays
PROCESS(dcd_in)
BEGIN
dcd_both_gnd <= dcd_in;
if (dcd_in = '0') then
dcd_both_vcc <= transport dcd_in after (dcd_falling_dly * 1 ps);
else
dcd_both_vcc <= transport dcd_in after (dcd_rising_dly * 1 ps);
end if;
END PROCESS;
PROCESS(dcd_in)
BEGIN
if (dcd_in = '0') then
dcd_fallnrise_gnd <= transport dcd_in after (dcd_falling_dly * 1 ps);
else
dcd_fallnrise_vcc <= transport dcd_in after (dcd_rising_dly * 1 ps);
end if;
END PROCESS;
dcd_both <= dcd_both_vcc WHEN (delaymode_in = '1') ELSE dcd_both_gnd;
dcd_fallnrise <= dcd_fallnrise_vcc WHEN (delaymode_in = '1') ELSE dcd_fallnrise_gnd;
dlyclk_clk <= dcd_both WHEN (duty_cycle_delay_mode = "both") ELSE
dcd_fallnrise WHEN (duty_cycle_delay_mode = "fallnrise") ELSE dcd_in;
-- Output Register clocked by phasectrl_clk
dlyclk_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => dlyclk_d,
clk => dlyclk_clk,
ena => dlyclk_clkena_in,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => sclr_in,
sload => sload_in,
devclrn => devclrn,
devpor => devpor,
q => dlyclk_q
);
-- enaoutputcycledelay data path mux
ddio_cycledelay_mux_out <= ddio_cycledelay_q WHEN (add_output_cycle_delay = "true") ELSE
ddio_datain_q WHEN (add_output_cycle_delay = "false") ELSE
ddio_cycledelay_q WHEN (enaoutputcycledelay_in = m_vcc) ELSE
ddio_datain_q;
-- input register bypass mux
ddio_bypass_input_reg_mux_out <= datain_in(1) WHEN (bypass_input_register = "true") ELSE ddio_cycledelay_mux_out;
--assign #300 ddio_transfer_q = ddio_cycledelay_mux_out;
-- transfer delay is implemented with negative register in rev1.26
not_clk_in_mux <= not clk_in_mux;
ddio_transferdelay_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => ddio_bypass_input_reg_mux_out,
clk => not_clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => sclr_in,
sload => sload_in,
devclrn => devclrn,
devpor => devpor,
q => ddio_transfer_q
);
-- add phase transfer data path mux
ddio_dlyclk_d <= ddio_transfer_q WHEN (add_phase_transfer_reg = "true") ELSE
ddio_bypass_input_reg_mux_out WHEN (add_phase_transfer_reg = "false") ELSE
ddio_transfer_q WHEN (enaphasetransferreg_in = m_vcc) ELSE
ddio_bypass_input_reg_mux_out;
-- Output Register clocked by phasectrl_clk
ddio_dlyclk_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => ddio_dlyclk_d,
clk => dlyclk_clk,
ena => dlyclk_clkena_in,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => sclr_in,
sload => sload_in,
devclrn => devclrn,
devpor => devpor,
q => ddio_dlyclk_q
);
-- Extension Register
dlyclk_extended_clk <= not dlyclk_clk;
dlyclk_extended_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => dlyclk_q,
clk => dlyclk_extended_clk,
ena => dlyclk_clkena_in,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => sclr_in,
sload => sload_in,
devclrn => devclrn,
devpor => devpor,
q => dlyclk_extended_q
);
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
loopbits_datain : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_in(i), datain(i), tipd_datain(i));
END GENERATE;
VitalWireDelay (clk_in, clk, tipd_clk);
loopbits_delayctrlin : FOR i in delayctrlin'RANGE GENERATE
VitalWireDelay (delayctrlin_in(i), delayctrlin(i), tipd_delayctrlin(i));
END GENERATE;
loopbits_phasectrlin : FOR i in phasectrlin'RANGE GENERATE
VitalWireDelay (phasectrlin_in(i), phasectrlin(i), tipd_phasectrlin(i));
END GENERATE;
VitalWireDelay (areset_in, areset, tipd_areset);
VitalWireDelay (sreset_in, sreset, tipd_sreset);
VitalWireDelay (clkena_in, clkena, tipd_clkena);
VitalWireDelay (enaoutputcycledelay_in, enaoutputcycledelay, tipd_enaoutputcycledelay);
VitalWireDelay (enaphasetransferreg_in, enaphasetransferreg, tipd_enaphasetransferreg);
VitalWireDelay (phaseinvertctrl_in, phaseinvertctrl, tipd_phaseinvertctrl);
end block;
END arriaiigz_output_phase_alignment_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : arriaiigz_input_phase_alignment
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
use work.arriaiigz_ddr_io_reg;
use work.arriaiigz_ddr_delay_chain_s;
ENTITY arriaiigz_input_phase_alignment IS
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
power_up : string := "low";
async_mode : string := "none";
add_input_cycle_delay : string := "false";
bypass_output_register : string := "false";
add_phase_transfer_reg : string := "false";
invert_phase : string := "false";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "arriaiigz_input_phase_alignment";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_areset : VitalDelayType01 := DefpropDelay01;
tipd_enainputcycledelay : VitalDelayType01 := DefpropDelay01;
tipd_enaphasetransferreg : VitalDelayType01 := DefpropDelay01;
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
areset : IN std_logic := '0';
enainputcycledelay : IN std_logic := '0';
enaphasetransferreg : IN std_logic := '0';
phaseinvertctrl : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dataout : OUT std_logic;
dffin : OUT std_logic;
dff1t : OUT std_logic
);
END;
ARCHITECTURE arriaiigz_input_phase_alignment_arch OF arriaiigz_input_phase_alignment IS
-- component section
COMPONENT arriaiigz_ddr_delay_chain_s
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
phasectrlin_limit : integer := 7
);
PORT (
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
delayed_clkout : OUT std_logic
);
END COMPONENT;
component arriaiigz_ddr_io_reg
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
-- int signals
SIGNAL phasectrl_clkout : STD_LOGIC := '0';
SIGNAL delayed_clk : STD_LOGIC := '0';
SIGNAL not_delayed_clk : STD_LOGIC := '1';
SIGNAL m_vcc: STD_LOGIC := '1';
SIGNAL m_gnd: STD_LOGIC := '0';
-- IO registers
-- common
SIGNAL adatasdata_in_r : STD_LOGIC := '0';
SIGNAL aload_in_r : STD_LOGIC := '0';
SIGNAL datain_q : STD_LOGIC := '0';
SIGNAL cycledelay_q : STD_LOGIC := '0';
SIGNAL cycledelay_mux_out : STD_LOGIC := '0';
SIGNAL cycledelay_mux_out_dly : STD_LOGIC := '0';
SIGNAL dlyclk_d : STD_LOGIC := '0';
SIGNAL dlyclk_q : STD_LOGIC := '0';
SIGNAL tmp_dataout : STD_LOGIC := '0';
-- timing inputs
SIGNAL datain_in : std_logic := '0';
SIGNAL clk_in : std_logic := '0';
SIGNAL delayctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL phasectrlin_in : std_logic_vector(3 downto 0) := (OTHERS => '0');
SIGNAL areset_in : std_logic := '0';
SIGNAL enainputcycledelay_in : std_logic := '0';
SIGNAL enaphasetransferreg_in : std_logic := '0';
SIGNAL phaseinvertctrl_in : std_logic := '0';
BEGIN
m_clk_in_delay_chain : arriaiigz_ddr_delay_chain_s
GENERIC MAP (
phase_setting => phase_setting,
use_phasectrlin => use_phasectrlin,
delay_buffer_mode => delay_buffer_mode,
sim_low_buffer_intrinsic_delay => sim_low_buffer_intrinsic_delay,
sim_high_buffer_intrinsic_delay => sim_high_buffer_intrinsic_delay,
sim_buffer_delay_increment => sim_buffer_delay_increment
)
PORT MAP(
clk => clk_in,
delayctrlin => delayctrlin_in,
phasectrlin => phasectrlin_in,
delayed_clkout => phasectrl_clkout
);
delayed_clk <= (not phasectrl_clkout) WHEN (invert_phase = "true") ELSE
phasectrl_clkout WHEN (invert_phase = "false") ELSE
(not phasectrl_clkout) WHEN (phaseinvertctrl_in = '1') ELSE
phasectrl_clkout;
-- primary output
dataout <= tmp_dataout;
tmp_dataout <= dlyclk_d WHEN (bypass_output_register = "true") ELSE dlyclk_q;
-- add phase transfer data path mux
dlyclk_d <= cycledelay_mux_out_dly WHEN (add_phase_transfer_reg = "true") ELSE
cycledelay_mux_out WHEN (add_phase_transfer_reg = "false") ELSE
cycledelay_mux_out_dly WHEN (enaphasetransferreg_in = '1') ELSE
cycledelay_mux_out;
-- enaoutputcycledelay data path mux
cycledelay_mux_out <= cycledelay_q WHEN (add_input_cycle_delay = "true") ELSE
datain_q WHEN (add_input_cycle_delay = "false") ELSE
cycledelay_q WHEN (enainputcycledelay_in = '1') ELSE
datain_q;
-- resolve reset modes
PROCESS (areset_in)
BEGIN
if (async_mode = "clear") then
aload_in_r <= areset_in;
adatasdata_in_r <= '0';
elsif (async_mode = "preset") then
aload_in_r <= areset_in;
adatasdata_in_r <= '1';
else -- async_mode = "none"
adatasdata_in_r <= 'Z';
end if;
END PROCESS;
-- Datain Register
datain_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in,
clk => delayed_clk,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => datain_q
);
-- Cycle Delay Register
cycledelay_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_q,
clk => delayed_clk,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => cycledelay_q
);
-- assign #300 cycledelay_mux_out_dly = cycledelay_mux_out; replaced by neg reg
-- Transfer Register - clocked by negative edge
not_delayed_clk <= not delayed_clk;
transfer_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => cycledelay_mux_out,
clk => not_delayed_clk, -- ~delayed_clk
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => cycledelay_mux_out_dly
);
-- Register clocked by actually by clk_in
dlyclk_reg : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => dlyclk_d,
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dlyclk_q
);
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (datain_in, datain, tipd_datain);
VitalWireDelay (clk_in, clk, tipd_clk);
loopbits_delayctrlin : FOR i in delayctrlin'RANGE GENERATE
VitalWireDelay (delayctrlin_in(i), delayctrlin(i), tipd_delayctrlin(i));
END GENERATE;
loopbits_phasectrlin : FOR i in phasectrlin'RANGE GENERATE
VitalWireDelay (phasectrlin_in(i), phasectrlin(i), tipd_phasectrlin(i));
END GENERATE;
VitalWireDelay (areset_in, areset, tipd_areset);
VitalWireDelay (enainputcycledelay_in, enainputcycledelay, tipd_enainputcycledelay);
VitalWireDelay (enaphasetransferreg_in, enaphasetransferreg, tipd_enaphasetransferreg);
VitalWireDelay (phaseinvertctrl_in, phaseinvertctrl, tipd_phaseinvertctrl);
end block;
END arriaiigz_input_phase_alignment_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : arriaiigz_half_rate_input
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
use work.arriaiigz_ddr_io_reg;
ENTITY arriaiigz_half_rate_input IS
GENERIC (
power_up : string := "low";
async_mode : string := "none";
use_dataoutbypass : string := "false";
lpm_type : string := "arriaiigz_half_rate_input";
tipd_datain : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_directin : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_areset : VitalDelayType01 := DefpropDelay01;
tipd_dataoutbypass : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic_vector(1 downto 0) := (OTHERS => '0');
directin : IN std_logic := '0';
clk : IN std_logic := '0';
areset : IN std_logic := '0';
dataoutbypass: IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dataout : OUT std_logic_vector(3 downto 0);
dffin : OUT std_logic
);
END;
ARCHITECTURE arriaiigz_half_rate_input_arch OF arriaiigz_half_rate_input IS
-- component section
component arriaiigz_ddr_io_reg
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
SIGNAL m_vcc: STD_LOGIC := '1';
SIGNAL m_gnd: STD_LOGIC := '0';
-- IO SIGNAListers
-- common
SIGNAL neg_clk_in : STD_LOGIC := '0';
SIGNAL adatasdata_in_r : STD_LOGIC := '0';
SIGNAL aload_in_r : STD_LOGIC := '0';
-- low_bank = {1, 0} - capturing datain at falling edge then sending at falling rise
-- high_bank = {3, 2} - output of SIGNALister datain at rising
SIGNAL high_bank : STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
SIGNAL low_bank : STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
SIGNAL low_bank_low : STD_LOGIC := '0';
SIGNAL low_bank_high : STD_LOGIC := '0';
SIGNAL high_bank_low : STD_LOGIC := '0';
SIGNAL high_bank_high: STD_LOGIC := '0';
SIGNAL dataout_reg_n : STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
SIGNAL tmp_dataout : STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '0');
-- delayed version to ensure 1 latency as expected in functional sim
SIGNAL datain_in : std_logic_vector(1 downto 0) := (OTHERS => '0');
-- timing inputs
SIGNAL datain_ipd : std_logic_vector(1 downto 0) := (OTHERS => '0');
SIGNAL directin_in : std_logic := '0';
SIGNAL clk_in : std_logic := '0';
SIGNAL areset_in : std_logic := '0';
SIGNAL dataoutbypass_in: std_logic := '0';
BEGIN
-- primary input
datain_in <= transport datain_ipd after 2 ps;
-- primary output
dataout <= tmp_dataout;
tmp_dataout(3) <= directin_in WHEN (dataoutbypass_in = '0' AND use_dataoutbypass = "true") ELSE high_bank_high;
tmp_dataout(2) <= directin_in WHEN (dataoutbypass_in = '0' AND use_dataoutbypass = "true") ELSE high_bank_low;
tmp_dataout(1) <= low_bank(1);
tmp_dataout(0) <= low_bank(0);
low_bank <= low_bank_high & low_bank_low;
high_bank <= high_bank_high & high_bank_low;
-- resolve reset modes
PROCESS(areset_in)
BEGIN
if (async_mode = "clear") then
aload_in_r <= areset_in;
adatasdata_in_r <= '0';
elsif (async_mode = "preset") then
aload_in_r <= areset_in;
adatasdata_in_r <= '1';
else -- async_mode = "none"
adatasdata_in_r <= 'Z';
end if;
END PROCESS;
neg_clk_in <= not clk_in;
-- datain_1 - H
reg1_h : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(1),
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => high_bank_high
);
-- datain_0 - H
reg0_h : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(0),
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => high_bank_low
);
-- datain_1 - L (n)
reg1_l_n : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(1),
clk => neg_clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dataout_reg_n(1)
);
-- datain_1 - L
reg1_l : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => dataout_reg_n(1),
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => low_bank_high
);
-- datain_0 - L (n)
reg0_l_n : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(0),
clk => neg_clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dataout_reg_n(0)
);
-- datain_0 - L
reg0_l : arriaiigz_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => dataout_reg_n(0),
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => low_bank_low
);
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
loopbits_datain : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
VitalWireDelay (directin_in, directin, tipd_directin);
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (areset_in, areset, tipd_areset);
VitalWireDelay (dataoutbypass_in, dataoutbypass, tipd_dataoutbypass);
end block;
END arriaiigz_half_rate_input_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : arriaiigz_io_config
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_io_config IS
GENERIC (
enhanced_mode : string := "false";
lpm_type : string := "arriaiigz_io_config";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_update : VitalDelayType01 := DefpropDelay01;
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
ena : IN std_logic := '1';
update : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
-- new STRATIXIV: ww30.2008
dutycycledelaymode : OUT std_logic;
dutycycledelaysettings : OUT std_logic_vector(3 downto 0);
outputfinedelaysetting1 : OUT std_logic;
outputfinedelaysetting2 : OUT std_logic;
outputonlydelaysetting2 : OUT std_logic_vector(2 downto 0);
outputonlyfinedelaysetting2 : OUT std_logic;
padtoinputregisterfinedelaysetting : OUT std_logic;
padtoinputregisterdelaysetting : OUT std_logic_vector(3 downto 0);
outputdelaysetting1 : OUT std_logic_vector(3 downto 0);
outputdelaysetting2 : OUT std_logic_vector(2 downto 0);
dataout : OUT std_logic
);
END;
ARCHITECTURE arriaiigz_io_config_arch OF arriaiigz_io_config IS
-- component section
SIGNAL shift_reg : std_logic_vector(10 downto 0) := (OTHERS => '0');
SIGNAL output_reg : std_logic_vector(10 downto 0) := (OTHERS => '0');
SIGNAL tmp_output : std_logic_vector(10 downto 0) := (OTHERS => '0');
SIGNAL enhance_shift_reg : std_logic_vector(22 downto 0) := (OTHERS => '0');
SIGNAL enhance_output_reg : std_logic_vector(22 downto 0) := (OTHERS => '0');
SIGNAL enhance_tmp_output : std_logic_vector(22 downto 0) := (OTHERS => '0');
-- timing outputs
SIGNAL tmp_dataout : std_logic := '0';
-- timing inputs
SIGNAL datain_in : std_logic := '0';
SIGNAL clk_in : std_logic := '0';
SIGNAL ena_in : std_logic := '0';
SIGNAL update_in : std_logic := '0';
BEGIN
-- primary outputs
tmp_dataout <= enhance_shift_reg(22) WHEN (enhanced_mode = "true") ELSE shift_reg(10);
-- bit order changed in wys revision 1.32
outputdelaysetting1 <= tmp_output(3 DOWNTO 0);
outputdelaysetting2 <= tmp_output(6 DOWNTO 4);
padtoinputregisterdelaysetting <= tmp_output(10 DOWNTO 7);
-- padtoinputregisterdelaysetting <= tmp_output(3 DOWNTO 0);
-- outputdelaysetting1 <= tmp_output(7 DOWNTO 4);
-- outputdelaysetting2 <= tmp_output(10 DOWNTO 8);
tmp_output <= output_reg;
outputdelaysetting1 <= enhance_tmp_output(3 DOWNTO 0) WHEN (enhanced_mode = "true") ELSE tmp_output(3 DOWNTO 0);
outputdelaysetting2 <= enhance_tmp_output(6 DOWNTO 4) WHEN (enhanced_mode = "true") ELSE tmp_output(6 DOWNTO 4);
padtoinputregisterdelaysetting <= enhance_tmp_output(10 DOWNTO 7) WHEN (enhanced_mode = "true") ELSE tmp_output(10 DOWNTO 7);
outputfinedelaysetting1 <= enhance_tmp_output(11) WHEN (enhanced_mode = "true") ELSE '0';
outputfinedelaysetting2 <= enhance_tmp_output(12) WHEN (enhanced_mode = "true") ELSE '0';
padtoinputregisterfinedelaysetting <= enhance_tmp_output(13) WHEN (enhanced_mode = "true") ELSE '0';
outputonlyfinedelaysetting2 <= enhance_tmp_output(14) WHEN (enhanced_mode = "true") ELSE '0';
outputonlydelaysetting2 <= enhance_tmp_output(17 DOWNTO 15) WHEN (enhanced_mode = "true") ELSE "000";
dutycycledelaymode <= enhance_tmp_output(18) WHEN (enhanced_mode = "true") ELSE '0';
dutycycledelaysettings <= enhance_tmp_output(22 DOWNTO 19) WHEN (enhanced_mode = "true") ELSE "0000";
tmp_output <= output_reg;
enhance_tmp_output <= enhance_output_reg;
PROCESS(clk_in)
BEGIN
if (clk_in = '1' AND ena_in = '1') then
shift_reg(0) <= datain_in;
shift_reg(10 DOWNTO 1) <= shift_reg(9 DOWNTO 0);
enhance_shift_reg(0) <= datain_in;
enhance_shift_reg(22 DOWNTO 1) <= enhance_shift_reg(21 DOWNTO 0);
end if;
END PROCESS;
PROCESS(clk_in)
BEGIN
if (clk_in = '1' AND update_in = '1') then
output_reg <= shift_reg;
enhance_output_reg <= enhance_shift_reg;
end if;
END PROCESS;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (datain_in, datain, tipd_datain);
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (ena_in, ena, tipd_ena);
VitalWireDelay (update_in, update, tipd_update);
end block;
-----------------------------------
-- Timing Check Section
-----------------------------------
VITAL_timing_check: PROCESS (clk_in,datain_in,ena_in,update_in)
variable Tviol_clk_datain : std_ulogic := '0';
variable TimingData_clk_datain : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_clk_ena : std_ulogic := '0';
variable TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_clk_update : std_ulogic := '0';
variable TimingData_clk_update : VitalTimingDataType := VitalTimingDataInit;
BEGIN
IF (TimingChecksOn) THEN
VitalSetupHoldCheck (
Violation => Tviol_clk_datain,
TimingData => TimingData_clk_datain,
TestSignal => datain_in,
TestSignalName => "Datain",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_ena,
TestSignal => ena_in,
TestSignalName => "Ena",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
VitalSetupHoldCheck (
Violation => Tviol_clk_update,
TimingData => TimingData_clk_update,
TestSignal => update_in,
TestSignalName => "Update",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
END IF;
END PROCESS; -- timing check
--------------------------------------
-- Path Delay Section
--------------------------------------
VITAL_path_delays: PROCESS (tmp_dataout)
variable dataout_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "Dataout",
OutTemp => tmp_dataout,
Paths => (0 => (clk_in'last_event, tpd_clk_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
END PROCESS; -- Path Delays
END arriaiigz_io_config_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : arriaiigz_dqs_config
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_dqs_config IS
GENERIC (
enhanced_mode : string := "false";
lpm_type : string := "arriaiigz_dqs_config";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_update : VitalDelayType01 := DefpropDelay01;
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
ena : IN std_logic := '0';
update : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsbusoutfinedelaysetting : OUT std_logic; -- new in STRATIXIV
dqsenablefinedelaysetting : OUT std_logic; -- new in STRATIXIV
dqsbusoutdelaysetting : OUT std_logic_vector(3 downto 0);
dqsinputphasesetting : OUT std_logic_vector(2 downto 0);
dqsenablectrlphasesetting : OUT std_logic_vector(3 downto 0);
dqsoutputphasesetting : OUT std_logic_vector(3 downto 0);
dqoutputphasesetting : OUT std_logic_vector(3 downto 0);
resyncinputphasesetting : OUT std_logic_vector(3 downto 0);
dividerphasesetting : OUT std_logic;
enaoctcycledelaysetting : OUT std_logic;
enainputcycledelaysetting : OUT std_logic;
enaoutputcycledelaysetting: OUT std_logic;
dqsenabledelaysetting : OUT std_logic_vector(2 downto 0);
octdelaysetting1 : OUT std_logic_vector(3 downto 0);
octdelaysetting2 : OUT std_logic_vector(2 downto 0);
enadataoutbypass : OUT std_logic;
enadqsenablephasetransferreg : OUT std_logic;
enaoctphasetransferreg : OUT std_logic;
enaoutputphasetransferreg : OUT std_logic;
enainputphasetransferreg : OUT std_logic;
resyncinputphaseinvert : OUT std_logic;
dqsenablectrlphaseinvert : OUT std_logic;
dqoutputphaseinvert : OUT std_logic;
dqsoutputphaseinvert : OUT std_logic;
dataout : OUT std_logic
);
END;
ARCHITECTURE arriaiigz_dqs_config_arch OF arriaiigz_dqs_config IS
-- component section
SIGNAL shift_reg : STD_LOGIC_VECTOR (47 DOWNTO 0) := (OTHERS => '0');
SIGNAL output_reg : STD_LOGIC_VECTOR (47 DOWNTO 0) := (OTHERS => '0');
SIGNAL tmp_output : STD_LOGIC_VECTOR (47 DOWNTO 0) := (OTHERS => '0');
-- timing outputs
SIGNAL tmp_dataout : std_logic := '0';
-- timing inputs
SIGNAL datain_in : std_logic := '0';
SIGNAL clk_in : std_logic := '0';
SIGNAL ena_in : std_logic := '0';
SIGNAL update_in : std_logic := '0';
BEGIN
-- primary outputs
tmp_dataout <= shift_reg(47) WHEN (enhanced_mode = "true")ELSE shift_reg(45);
dqsbusoutdelaysetting <= tmp_output(3 DOWNTO 0);
dqsinputphasesetting <= tmp_output(6 DOWNTO 4);
dqsenablectrlphasesetting <= tmp_output(10 DOWNTO 7);
dqsoutputphasesetting <= tmp_output(14 DOWNTO 11);
dqoutputphasesetting <= tmp_output(18 DOWNTO 15);
resyncinputphasesetting <= tmp_output(22 DOWNTO 19);
dividerphasesetting <= tmp_output(23);
enaoctcycledelaysetting <= tmp_output(24);
enainputcycledelaysetting <= tmp_output(25);
enaoutputcycledelaysetting<= tmp_output(26);
dqsenabledelaysetting <= tmp_output(29 DOWNTO 27);
octdelaysetting1 <= tmp_output(33 DOWNTO 30);
octdelaysetting2 <= tmp_output(36 DOWNTO 34);
enadataoutbypass <= tmp_output(37);
enadqsenablephasetransferreg <= tmp_output(38); -- new in 1.23
enaoctphasetransferreg <= tmp_output(39); -- new in 1.23
enaoutputphasetransferreg <= tmp_output(40); -- new in 1.23
enainputphasetransferreg <= tmp_output(41); -- new in 1.23
resyncinputphaseinvert <= tmp_output(42); -- new in 1.26
dqsenablectrlphaseinvert <= tmp_output(43); -- new in 1.26
dqoutputphaseinvert <= tmp_output(44); -- new in 1.26
dqsoutputphaseinvert <= tmp_output(45); -- new in 1.26
-- new in STRATIXIV: ww30.2008
dqsbusoutfinedelaysetting <= tmp_output(46) WHEN (enhanced_mode = "true") ELSE '0';
dqsenablefinedelaysetting <= tmp_output(47) WHEN (enhanced_mode = "true") ELSE '0';
tmp_output <= output_reg;
PROCESS(clk_in)
begin
if (clk_in = '1' AND ena_in = '1') then
shift_reg(0) <= datain_in;
shift_reg(47 DOWNTO 1) <= shift_reg(46 DOWNTO 0);
end if;
end process;
PROCESS(clk_in)
begin
if (clk_in = '1' AND update_in = '1') then
output_reg <= shift_reg;
end if;
end process;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (datain_in, datain, tipd_datain);
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (ena_in, ena, tipd_ena);
VitalWireDelay (update_in, update, tipd_update);
end block;
-----------------------------------
-- Timing Check Section
-----------------------------------
VITAL_timing_check: PROCESS (clk_in,datain_in,ena_in,update_in)
variable Tviol_clk_datain : std_ulogic := '0';
variable TimingData_clk_datain : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_clk_ena : std_ulogic := '0';
variable TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_clk_update : std_ulogic := '0';
variable TimingData_clk_update : VitalTimingDataType := VitalTimingDataInit;
BEGIN
IF (TimingChecksOn) THEN
VitalSetupHoldCheck (
Violation => Tviol_clk_datain,
TimingData => TimingData_clk_datain,
TestSignal => datain_in,
TestSignalName => "Datain",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_ena,
TestSignal => ena_in,
TestSignalName => "Ena",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
VitalSetupHoldCheck (
Violation => Tviol_clk_update,
TimingData => TimingData_clk_update,
TestSignal => update_in,
TestSignalName => "Update",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/ARRIAIIGZ_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
END IF;
END PROCESS; -- timing check
--------------------------------------
-- Path Delay Section
--------------------------------------
VITAL_path_delays: PROCESS (tmp_dataout)
variable dataout_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "Dataout",
OutTemp => tmp_dataout,
Paths => (0 => (clk_in'last_event, tpd_clk_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
END PROCESS; -- Path Delays
END arriaiigz_dqs_config_arch;
-------------------------------------------------------------------------------
-- Module Name: arriaiigz_mac_bit_register --
-- Description: ARRIAIIGZ MAC single bit register --
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_mac_bit_register IS
GENERIC (
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpd_aclr_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic
);
END arriaiigz_mac_bit_register;
ARCHITECTURE arch OF arriaiigz_mac_bit_register IS
SIGNAL datain_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL aclr_ipd : std_logic := '0';
SIGNAL sload_ipd : std_logic := '1';
SIGNAL dataout_tmp : std_logic := '0';
SIGNAL dataout_reg : std_logic := '0';
BEGIN
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
end block;
PROCESS(clk_ipd, datain_ipd, sload_ipd, aclr_ipd)
variable Tviol_datain_clk : std_ulogic := '0';
variable Tviol_sload_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
VARIABLE CQDelay : TIME := 0 ns;
BEGIN
IF (aclr_ipd = '1') THEN
dataout_reg <= '0';
ELSIF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (sload_ipd = '1') THEN
dataout_reg <= datain_ipd;
ELSE
dataout_reg <= dataout_reg;
END IF;
END IF;
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT aclr_ipd) OR
(sload_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/MAC Register VitalSetupHoldCheck",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT aclr_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/MAC Register VitalSetupHoldCheck",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
END PROCESS;
dataout_tmp <= datain_ipd WHEN bypass_register = '1' ELSE dataout_reg;
PROCESS(dataout_tmp)
variable dataout_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => dataout_tmp,
Paths => (0 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE),
1 => (aclr_ipd'last_event, tpd_aclr_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
END PROCESS;
END arch;
-------------------------------------------------------------------------------
-- Module Name: arriaiigz_mac_register --
-- Description: ARRIAIIGZ MAC variable width register --
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_mac_register IS
GENERIC (
data_width : integer := 18;
tipd_datain : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpd_aclr_dataout_posedge : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tpd_clk_dataout_posedge : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tsetup_datain_clk_noedge_posedge : VitalDelayArrayType(71 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_datain_clk_noedge_posedge : VitalDelayArrayType(71 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
datain : IN std_logic_vector(data_width - 1 DOWNTO 0) := (others => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic_vector(data_width - 1 DOWNTO 0)
);
END arriaiigz_mac_register;
ARCHITECTURE arch OF arriaiigz_mac_register IS
SIGNAL datain_ipd : std_logic_vector(data_width -1 downto 0) := (others => '0');
SIGNAL clk_ipd : std_logic := '0';
SIGNAL aclr_ipd : std_logic := '0';
SIGNAL sload_ipd : std_logic := '1';
SIGNAL dataout_tmp : std_logic_vector(data_width - 1 DOWNTO 0) := (others => '0');
SIGNAL dataout_reg : std_logic_vector(data_width - 1 DOWNTO 0) := (others => '0');
BEGIN
WireDelay : block
begin
g1 :for i in datain'range generate
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
end generate;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
end block;
PROCESS(clk_ipd, datain_ipd, sload_ipd, aclr_ipd)
BEGIN
IF (aclr_ipd = '1') THEN
dataout_reg <= (OTHERS => '0');
ELSIF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (sload_ipd = '1') THEN
dataout_reg <= datain_ipd;
ELSE
dataout_reg <= dataout_reg;
END IF;
END IF;
END process;
sh: block
begin
g0 : for i in datain'range generate
process(datain_ipd(i),clk_ipd,sload_ipd)
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(71 downto 0);
variable Tviol_sload_clk : std_ulogic := '0';
variable Tviol_datain_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
begin
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain_ipd(i),
TestSignalName => "DATAIN(i)",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge(i),
SetupLow => tsetup_datain_clk_noedge_posedge(i),
HoldHigh => thold_datain_clk_noedge_posedge(i),
HoldLow => thold_datain_clk_noedge_posedge(i),
CheckEnabled => TO_X01((NOT aclr_ipd) OR
(sload_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/MAC_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT aclr_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/MAC_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
END PROCESS;
end generate g0;
end block;
dataout_tmp <= datain_ipd WHEN bypass_register = '1' ELSE dataout_reg;
PathDelay : block
begin
g1 : for i in dataout'range generate
PROCESS (dataout_tmp(i))
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (clk_ipd'last_event, tpd_clk_dataout_posedge(i), TRUE),
1 => (aclr_ipd'last_event, tpd_aclr_dataout_posedge(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
end process;
end generate;
end block;
END arch;
-------------------------------------------------------------------------------
-- Module Name: arriaiigz_mac_multiplier --
-- Description: ARRIAIIGZ MAC signed multiplier --
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_mac_multiplier IS
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18;
tipd_dataa : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tipd_signa : VitalDelayType01 := DefPropDelay01;
tipd_signb : VitalDelayType01 := DefPropDelay01;
tpd_dataa_dataout : VitalDelayArrayType01(18*36-1 downto 0) := (others => DefPropDelay01);
tpd_datab_dataout : VitalDelayArrayType01(18*36-1 downto 0) := (others => DefPropDelay01);
tpd_signa_dataout : VitalDelayArrayType01(35 downto 0) := (others => DefPropDelay01);
tpd_signb_dataout : VitalDelayArrayType01(35 downto 0) := (others => DefPropDelay01);
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataout : OUT std_logic_vector(dataa_width + datab_width - 1 DOWNTO 0)
);
END arriaiigz_mac_multiplier;
ARCHITECTURE arch OF arriaiigz_mac_multiplier IS
constant dataout_width : integer := dataa_width + datab_width;
SIGNAL product : std_logic_vector(dataout_width - 1 DOWNTO 0):= (others => '0');
SIGNAL abs_product : std_logic_vector(dataout_width - 1 DOWNTO 0):= (others => '0');
SIGNAL abs_a : std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '0');
SIGNAL abs_b : std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
SIGNAL dataout_tmp : std_logic_vector(dataout_width - 1 DOWNTO 0):= (others => '0');
SIGNAL product_sign : std_logic := '0';
SIGNAL dataa_sign : std_logic := '0';
SIGNAL datab_sign : std_logic := '0';
SIGNAL dataa_ipd : std_logic_vector(dataa_width -1 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(datab_width -1 DOWNTO 0) := (others => '0');
SIGNAL signa_ipd : std_logic := '0';
SIGNAL signb_ipd : std_logic := '0';
BEGIN
WireDelay : block
begin
g1 :for i in dataa'range generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
end generate;
g2 :for i in datab'range generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
end generate;
VitalWireDelay (signa_ipd, signa, tipd_signa);
VitalWireDelay (signb_ipd, signb, tipd_signb);
end block;
dataa_sign <= dataa_ipd(dataa_width - 1) AND signa_ipd ;
datab_sign <= datab_ipd(datab_width - 1) AND signb_ipd ;
product_sign <= dataa_sign XOR datab_sign ;
abs_a <= (NOT dataa_ipd + '1') WHEN dataa_sign = '1' ELSE dataa_ipd;
abs_b <= (NOT datab_ipd + '1') WHEN datab_sign = '1' ELSE datab_ipd;
abs_product <= abs_a * abs_b ;
dataout_tmp <= (NOT abs_product + 1) WHEN product_sign = '1' ELSE abs_product;
PathDelay : block
begin
do : for i in dataout'range generate
process(dataout_tmp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout(i), TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout(i), TRUE),
2 => (signa'last_event, tpd_signa_dataout(i), TRUE),
3 => (signb'last_event, tpd_signb_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do;
end block;
END arch;
----------------------------------------------------------------------------------
-- Module Name: arriaiigz_mac_mult_atom --
-- Description: Simulation model for arriaiigz mac mult atom. --
-- This model instantiates the following components. --
-- 1.arriaiigz_mac_bit_register. --
-- 2.arriaiigz_mac_register. --
-- 3.arriaiigz_mac_multiplier. --
----------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_mac_mult IS
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18;
dataa_clock : string := "none";
datab_clock : string := "none";
signa_clock : string := "none";
signb_clock : string := "none";
scanouta_clock : string := "none";
dataa_clear : string := "none";
datab_clear : string := "none";
signa_clear : string := "none";
signb_clear : string := "none";
scanouta_clear : string := "none";
signa_internally_grounded : string := "false";
signb_internally_grounded : string := "false";
lpm_type : string := "arriaiigz_mac_mult"
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '1');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '1');
signa : IN std_logic := '1';
signb : IN std_logic := '1';
clk : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
aclr : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
ena : IN std_logic_vector(3 DOWNTO 0) := (others => '1');
dataout : OUT std_logic_vector(dataa_width + datab_width - 1 DOWNTO 0);
scanouta : OUT std_logic_vector(dataa_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END arriaiigz_mac_mult;
ARCHITECTURE arch OF arriaiigz_mac_mult IS
constant dataout_width : integer := dataa_width + datab_width;
COMPONENT arriaiigz_mac_bit_register
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT arriaiigz_mac_register
GENERIC (
data_width : integer := 18
);
PORT (
datain : IN std_logic_vector(data_width - 1 DOWNTO 0) := (others => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic_vector(data_width - 1 DOWNTO 0)
);
END COMPONENT;
COMPONENT arriaiigz_mac_multiplier
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataout : OUT std_logic_vector(dataa_width + datab_width - 1 DOWNTO 0)
);
END COMPONENT;
--Internal signals to instantiate the dataa input register unit
SIGNAL dataa_clk_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL dataa_aclr_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL dataa_clk : std_logic := '0';
SIGNAL dataa_aclr : std_logic := '0';
SIGNAL dataa_sload : std_logic := '0';
SIGNAL dataa_bypass_register : std_logic := '0';
SIGNAL dataa_in_reg : std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '0');
SIGNAL dataa_in : std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '0');
--Internal signals to instantiate the datab input register unit
SIGNAL datab_clk_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL datab_aclr_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL datab_clk : std_logic := '0';
SIGNAL datab_aclr : std_logic := '0';
SIGNAL datab_sload : std_logic := '0';
SIGNAL datab_bypass_register : std_logic := '0';
SIGNAL datab_in_reg : std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
SIGNAL datab_in : std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
--Internal signals to instantiate the signa input register unit
SIGNAL signa_clk_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_aclr_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_clk : std_logic := '0';
SIGNAL signa_aclr : std_logic := '0';
SIGNAL signa_sload : std_logic := '0';
SIGNAL signa_bypass_register : std_logic := '0';
SIGNAL signa_in_reg : std_logic := '0';
SIGNAL signa_in : std_logic := '0';
--Internal signbls to instantiate the signb input register unit
SIGNAL signb_clk_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_aclr_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_clk : std_logic := '0';
SIGNAL signb_aclr : std_logic := '0';
SIGNAL signb_sload : std_logic := '0';
SIGNAL signb_bypass_register : std_logic := '0';
SIGNAL signb_in_reg : std_logic := '0';
SIGNAL signb_in : std_logic := '0';
--Internal scanoutals to instantiate the scanouta input register unit
SIGNAL scanouta_clk_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL scanouta_aclr_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL scanouta_clk : std_logic := '0';
SIGNAL scanouta_aclr : std_logic := '0';
SIGNAL scanouta_sload : std_logic := '0';
SIGNAL scanouta_bypass_register : std_logic := '0';
SIGNAL scanouta_tmp : std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '0');
--Internal Signals to instantiate the mac multiplier
SIGNAL signa_mult : std_logic := '0';
SIGNAL signb_mult : std_logic := '0';
SIGNAL dataout_tmp : std_logic_vector(dataout_width - 1 DOWNTO 0):= (others => '0');
BEGIN
--Instantiate the dataa input Register
dataa_clk_value <= "0000" WHEN ((dataa_clock = "0") or (dataa_clock = "none"))
ELSE "0001" WHEN (dataa_clock = "1")
ELSE "0010" WHEN (dataa_clock = "2")
ELSE "0011" WHEN (dataa_clock = "3")
ELSE "0000" ;
dataa_aclr_value <= "0000" WHEN ((dataa_clear = "0") or (dataa_clear = "none"))
ELSE "0001" WHEN (dataa_clear = "1")
ELSE "0010" WHEN (dataa_clear = "2")
ELSE "0011" WHEN (dataa_clear = "3")
ELSE "0000" ;
dataa_clk <= '1' WHEN clk(conv_integer(dataa_clk_value)) = '1' ELSE '0';
dataa_aclr <= '1' WHEN (aclr(conv_integer(dataa_aclr_value)) OR (NOT devclrn) OR (NOT devpor)) = '1' ELSE '0';
dataa_sload <= '1' WHEN ena(conv_integer(dataa_clk_value)) = '1' ELSE '0';
dataa_bypass_register <= '1' WHEN (dataa_clock = "none") ELSE '0';
dataa_in <= dataa;
dataa_input_register : arriaiigz_mac_register
GENERIC MAP (
data_width => dataa_width
)
PORT MAP (
datain => dataa_in,
clk => dataa_clk,
aclr => dataa_aclr,
sload => dataa_sload,
bypass_register => dataa_bypass_register,
dataout => dataa_in_reg
);
--Instantiate the datab input Register
datab_clk_value <= "0000" WHEN ((datab_clock = "0") or (datab_clock = "none"))
ELSE "0001" WHEN (datab_clock = "1")
ELSE "0010" WHEN (datab_clock = "2")
ELSE "0011" WHEN (datab_clock = "3")
ELSE "0000" ;
datab_aclr_value <= "0000" WHEN ((datab_clear = "0") or (datab_clear = "none"))
ELSE "0001" WHEN (datab_clear = "1")
ELSE "0010" WHEN (datab_clear = "2")
ELSE "0011" WHEN (datab_clear = "3")
ELSE "0000" ;
datab_clk <= '1' WHEN clk(conv_integer(datab_clk_value)) = '1' ELSE '0';
datab_aclr <= '1' WHEN (aclr(conv_integer(datab_aclr_value)) OR (NOT devclrn) OR (NOT devpor)) = '1' ELSE '0';
datab_sload <= '1' WHEN ena(conv_integer(datab_clk_value)) = '1' ELSE '0';
datab_bypass_register <= '1' WHEN (datab_clock = "none") ELSE '0';
datab_in <= datab;
datab_input_register : arriaiigz_mac_register
GENERIC MAP (
data_width => datab_width
)
PORT MAP (
datain => datab_in,
clk => datab_clk,
aclr => datab_aclr,
sload => datab_sload,
bypass_register => datab_bypass_register,
dataout => datab_in_reg
);
--Instantiate the signa input Register
signa_clk_value <= "0000" WHEN ((signa_clock = "0") or (signa_clock = "none"))
ELSE "0001" WHEN (signa_clock = "1")
ELSE "0010" WHEN (signa_clock = "2")
ELSE "0011" WHEN (signa_clock = "3")
ELSE "0000" ;
signa_aclr_value <= "0000" WHEN ((signa_clear = "0") or (signa_clear = "none"))
ELSE "0001" WHEN (signa_clear = "1")
ELSE "0010" WHEN (signa_clear = "2")
ELSE "0011" WHEN (signa_clear = "3")
ELSE "0000" ;
signa_clk <= '1' WHEN clk(conv_integer(signa_clk_value)) = '1' ELSE '0';
signa_aclr <= '1' WHEN (aclr(conv_integer(signa_aclr_value)) OR (NOT devclrn) OR (NOT devpor)) = '1' ELSE '0';
signa_sload <= '1' WHEN ena(conv_integer(signa_clk_value)) = '1' ELSE '0';
signa_bypass_register <= '1' WHEN (signa_clock = "none") ELSE '0';
signa_in <= signa;
signa_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => signa_in,
clk => signa_clk,
aclr => signa_aclr,
sload => signa_sload,
bypass_register => signa_bypass_register,
dataout => signa_in_reg
);
--Instantiate the signb input Register
signb_clk_value <= "0000" WHEN ((signb_clock = "0") or (signb_clock = "none"))
ELSE "0001" WHEN (signb_clock = "1")
ELSE "0010" WHEN (signb_clock = "2")
ELSE "0011" WHEN (signb_clock = "3")
ELSE "0000" ;
signb_aclr_value <= "0000" WHEN ((signb_clear = "0") or (signb_clear = "none"))
ELSE "0001" WHEN (signb_clear = "1")
ELSE "0010" WHEN (signb_clear = "2")
ELSE "0011" WHEN (signb_clear = "3")
ELSE "0000" ;
signb_clk <= '1' WHEN clk(conv_integer(signb_clk_value)) = '1' ELSE '0';
signb_aclr <= '1' WHEN (aclr(conv_integer(signb_aclr_value)) OR (NOT devclrn) OR (NOT devpor)) = '1' ELSE '0';
signb_sload <= '1' WHEN ena(conv_integer(signb_clk_value)) = '1' ELSE '0';
signb_bypass_register <= '1' WHEN (signb_clock = "none") ELSE '0';
signb_in <= signb;
signb_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => signb_in,
clk => signb_clk,
aclr => signb_aclr,
sload => signb_sload,
bypass_register => signb_bypass_register,
dataout => signb_in_reg
);
--Instantiate the scanouta input Register
scanouta_clk_value <= "0000" WHEN ((scanouta_clock = "0") or (scanouta_clock = "none"))
ELSE "0001" WHEN (scanouta_clock = "1")
ELSE "0010" WHEN (scanouta_clock = "2")
ELSE "0011" WHEN (scanouta_clock = "3")
ELSE "0000" ;
scanouta_aclr_value <= "0000" WHEN ((scanouta_clear = "0") or (scanouta_clear = "none"))
ELSE "0001" WHEN (scanouta_clear = "1")
ELSE "0010" WHEN (scanouta_clear = "2")
ELSE "0011" WHEN (scanouta_clear = "3")
ELSE "0000" ;
scanouta_clk <= '1' WHEN clk(conv_integer(scanouta_clk_value)) = '1' ELSE '0';
scanouta_aclr <= '1' WHEN (aclr(conv_integer(scanouta_aclr_value)) OR (NOT devclrn) OR (NOT devpor)) = '1' ELSE '0';
scanouta_sload <= '1' WHEN ena(conv_integer(scanouta_clk_value)) = '1' ELSE '0';
scanouta_bypass_register <= '1' WHEN (scanouta_clock = "none") ELSE '0';
scanouta_input_register : arriaiigz_mac_register
GENERIC MAP (
data_width => dataa_width
)
PORT MAP (
datain => dataa_in_reg,
clk => scanouta_clk,
aclr => scanouta_aclr,
sload => scanouta_sload,
bypass_register => scanouta_bypass_register,
dataout => scanouta
);
--Instantiate mac_multiplier block
signa_mult <= '0' WHEN (signa_internally_grounded = "true") ELSE signa_in_reg;
signb_mult <= '0' WHEN (signb_internally_grounded = "true") ELSE signb_in_reg;
mac_multiplier : arriaiigz_mac_multiplier
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width
)
PORT MAP (
dataa => dataa_in_reg,
datab => datab_in_reg,
signa => signa_mult,
signb => signb_mult,
dataout => dataout
);
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: arriaiigz_fsa_isse --
-- Description: ARRIAIIGZ first stage adder input selection and sign extension block. --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_fsa_isse IS
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
datac_width : integer := 36;
datad_width : integer := 36;
chainin_width : integer := 44;
multa_signa_internally_grounded : string := "false";
multa_signb_internally_grounded : string := "false";
multb_signa_internally_grounded : string := "false";
multb_signb_internally_grounded : string := "false";
multc_signa_internally_grounded : string := "false";
multc_signb_internally_grounded : string := "false";
multd_signa_internally_grounded : string := "false";
multd_signb_internally_grounded : string := "false";
operation_mode : string := "output_only"
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0);
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0);
datac : IN std_logic_vector(datac_width - 1 DOWNTO 0);
datad : IN std_logic_vector(datad_width - 1 DOWNTO 0);
chainin : IN std_logic_vector(chainin_width - 1 DOWNTO 0);
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataa_out : OUT std_logic_vector(71 DOWNTO 0);
datab_out : OUT std_logic_vector(71 DOWNTO 0);
datac_out : OUT std_logic_vector(71 DOWNTO 0);
datad_out : OUT std_logic_vector(71 DOWNTO 0);
chainin_out : OUT std_logic_vector(71 DOWNTO 0);
operation : OUT std_logic_vector(3 DOWNTO 0)
);
END arriaiigz_fsa_isse;
ARCHITECTURE arch OF arriaiigz_fsa_isse IS
signal dataa_out_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
signal datab_out_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
signal datac_out_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
signal datad_out_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
signal chainin_out_tmp: std_logic_vector(71 DOWNTO 0) := (others => '0');
signal sign :std_logic := '0';
BEGIN
operation <= "0000" WHEN (operation_mode = "output_only") ELSE
"0001" WHEN (operation_mode = "one_level_adder") ELSE
"0010" WHEN (operation_mode = "loopback") ELSE
"0011" WHEN (operation_mode = "accumulator") ELSE
"0100" WHEN (operation_mode = "accumulator_chain_out") ELSE
"0101" WHEN (operation_mode = "two_level_adder") ELSE
"0110" WHEN (operation_mode = "two_level_adder_chain_out") ELSE
"0111" WHEN (operation_mode = "36_bit_multiply") ELSE
"1000" WHEN (operation_mode = "shift") ELSE
"1001" WHEN (operation_mode = "double") ELSE "0000";
sign <= signa or signb;
PROCESS( dataa,datab,datac,datad,chainin,signa,signb)
variable active_signb : std_logic := '0';
variable active_signc : std_logic := '0';
variable active_signd : std_logic := '0';
variable read_new_param : std_logic := '0';
variable datab_out_tim_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable datac_out_tim_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable datad_out_tim_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable datab_out_fun_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable datac_out_fun_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable datad_out_fun_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
IF ( multa_signa_internally_grounded = "false" AND multa_signb_internally_grounded = "false"
AND multb_signa_internally_grounded = "false" AND multb_signb_internally_grounded = "false"
AND multc_signa_internally_grounded = "false" AND multc_signb_internally_grounded = "false"
AND multd_signa_internally_grounded = "false" AND multd_signb_internally_grounded = "false") THEN
read_new_param := '0' ;
ELSE
read_new_param := '1' ;
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift") or (operation_mode = "double")) THEN
if (multb_signb_internally_grounded = "false" AND multb_signa_internally_grounded = "true") then
active_signb := signb;
elsif(multb_signb_internally_grounded = "true" AND multb_signa_internally_grounded = "false" ) then
active_signb := signa;
elsif(multb_signb_internally_grounded = "false" AND multb_signa_internally_grounded = "false") then
active_signb := sign;
else
active_signb := '0';
end if;
ELSE
active_signb := sign;
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift") or (operation_mode = "double")) THEN
if (multc_signb_internally_grounded = "false" AND multc_signa_internally_grounded = "true") then
active_signc := signb;
elsif(multc_signb_internally_grounded = "true" AND multc_signa_internally_grounded = "false" ) then
active_signc := signa;
elsif(multc_signb_internally_grounded = "false" AND multc_signa_internally_grounded = "false") then
active_signc := sign;
else
active_signc := '0';
end if;
ELSE
active_signc := sign;
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift") or (operation_mode = "double")) THEN
if (multd_signb_internally_grounded = "false" AND multd_signa_internally_grounded = "true") then
active_signd := signb;
elsif(multd_signb_internally_grounded = "true" AND multd_signa_internally_grounded = "false" ) then
active_signd := signa;
elsif(multd_signb_internally_grounded = "false" AND multd_signa_internally_grounded = "false") then
active_signd := sign;
else
active_signd := '0';
end if;
ELSE
active_signd := sign;
END IF;
IF (dataa(dataa_width - 1) = '1' AND sign = '1') THEN
dataa_out_tmp <= sxt(dataa(dataa_width - 1 DOWNTO 0), 72);
ELSE
dataa_out_tmp <= ext(dataa(dataa_width - 1 DOWNTO 0), 72);
END IF;
IF (datab(datab_width - 1) = '1' AND active_signb = '1') THEN
datab_out_tim_tmp := sxt(datab(datab_width - 1 DOWNTO 0), 72);
ELSE
datab_out_tim_tmp := ext(datab(datab_width - 1 DOWNTO 0), 72);
END IF;
IF (datac(datac_width - 1) = '1' AND active_signc = '1') THEN
datac_out_tim_tmp := sxt(datac(datac_width - 1 DOWNTO 0), 72);
ELSE
datac_out_tim_tmp := ext(datac(datac_width - 1 DOWNTO 0), 72);
END IF;
IF (datad(datad_width - 1) = '1' AND active_signd = '1') THEN
datad_out_tim_tmp := sxt(datad(datad_width - 1 DOWNTO 0), 72);
ELSE
datad_out_tim_tmp := ext(datad(datad_width - 1 DOWNTO 0), 72);
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift")) THEN
IF(datab(datab_width - 1) = '1' AND signb = '1') THEN
datab_out_fun_tmp := sxt(datab(datab_width - 1 DOWNTO 0), 72);
ELSE
datab_out_fun_tmp := ext(datab(datab_width - 1 DOWNTO 0), 72);
END IF;
ELSIF(operation_mode = "double") THEN
IF(datab(datab_width - 1) = '1' AND signa = '1') THEN
datab_out_fun_tmp := sxt(datab(datab_width - 1 DOWNTO 0), 72);
ELSE
datab_out_fun_tmp := ext(datab(datab_width - 1 DOWNTO 0), 72);
END IF;
ELSE
IF (datab(datab_width - 1) = '1' AND sign = '1') THEN
datab_out_fun_tmp := sxt(datab(datab_width - 1 DOWNTO 0), 72);
ELSE
datab_out_fun_tmp := ext(datab(datab_width - 1 DOWNTO 0), 72);
END IF;
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift")) THEN
IF (datac(datac_width - 1) = '1' AND signa = '1') THEN
datac_out_fun_tmp := sxt(datac(datac_width - 1 DOWNTO 0), 72);
ELSE
datac_out_fun_tmp := ext(datac(datac_width - 1 DOWNTO 0), 72);
END IF;
ELSE
IF (datac(datac_width - 1) = '1' AND sign = '1') THEN
datac_out_fun_tmp := sxt(datac(datac_width - 1 DOWNTO 0), 72);
ELSE
datac_out_fun_tmp := ext(datac(datac_width - 1 DOWNTO 0), 72);
END IF;
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift")) THEN
datad_out_fun_tmp := ext(datad(datad_width - 1 DOWNTO 0), 72);
ELSIF(operation_mode = "double")THEN
IF (datad(datad_width - 1) = '1' AND signa = '1') THEN
datad_out_fun_tmp := sxt(datad(datad_width - 1 DOWNTO 0), 72);
ELSE
datad_out_fun_tmp := ext(datad(datad_width - 1 DOWNTO 0), 72);
END IF;
ELSE
IF (datad(datad_width - 1) = '1' AND sign = '1') THEN
datad_out_fun_tmp := sxt(datad(datad_width - 1 DOWNTO 0), 72);
ELSE
datad_out_fun_tmp := ext(datad(datad_width - 1 DOWNTO 0), 72);
END IF;
END IF;
IF (chainin(chainin_width - 1) = '1') THEN
chainin_out_tmp <= sxt(chainin(chainin_width - 1 DOWNTO 0), 72);
ELSE
chainin_out_tmp <= ext(chainin(chainin_width - 1 DOWNTO 0), 72);
END IF;
IF(read_new_param = '1') THEN
datab_out_tmp <= datab_out_tim_tmp;
datac_out_tmp <= datac_out_tim_tmp;
datad_out_tmp <= datad_out_tim_tmp;
ELSE
datab_out_tmp <= datab_out_fun_tmp;
datac_out_tmp <= datac_out_fun_tmp;
datad_out_tmp <= datad_out_fun_tmp;
END IF;
END process;
dataa_out <= dataa_out_tmp;
datab_out <= datab_out_tmp;
datac_out <= datac_out_tmp;
datad_out <= datad_out_tmp;
chainin_out <= chainin_out_tmp;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: arriaiigz_first_stage_add_sub --
-- Description: ARRIAIIGZ First Stage Adder Subtractor Unit --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_first_stage_add_sub IS
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
fsa_mode : string := "add";
tipd_dataa : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_sign : VitalDelayType01 :=DefPropDelay01;
tpd_dataa_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_datab_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_sign_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
sign : IN std_logic := '0';
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END arriaiigz_first_stage_add_sub;
ARCHITECTURE arch OF arriaiigz_first_stage_add_sub IS
SIGNAL dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL abs_b : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL abs_a : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL sign_a : std_logic := '0';
SIGNAL sign_b : std_logic := '0';
SIGNAL dataa_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL sign_ipd : std_logic := '0';
BEGIN
WireDelay : block
begin
g1 :for i in dataa'range generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
end generate;
g2 :for i in datab'range generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
end generate;
VitalWireDelay (sign_ipd, sign, tipd_sign);
end block;
PROCESS
BEGIN
WAIT UNTIL dataa_ipd'EVENT OR datab_ipd'EVENT OR sign_ipd'EVENT OR operation'EVENT;
IF ((operation = "0111") OR (operation = "1000")or (operation = "1001")) THEN --36 std_logic multiply, shift and add
dataout_tmp <= dataa_ipd(53 DOWNTO 36) & dataa_ipd(35 DOWNTO 0) & "000000000000000000" + datab_ipd;
ELSE
IF(fsa_mode = "add")THEN
IF (sign_ipd = '1') THEN
dataout_tmp <= signed(dataa_ipd) + signed(datab_ipd);
ELSE
dataout_tmp <= unsigned(dataa_ipd) + unsigned(datab_ipd);
END IF;
ELSE
IF (sign_ipd = '1') THEN
dataout_tmp <= signed(dataa_ipd) - signed(datab_ipd);
ELSE
dataout_tmp <= unsigned(dataa_ipd) - unsigned(datab_ipd);
END IF;
END IF;
END IF;
END process ;
PathDelay : block
begin
do1 : for i in dataout'range generate
process(dataout_tmp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout(i), TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout(i), TRUE),
2 => (sign'last_event, tpd_sign_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do1;
end block;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: arriaiigz_second_stage_add_accum --
-- Description: ARRIAIIGZ Second stage Adder and Accumulator/Decimator Unit --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_second_stage_add_accum IS
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
ssa_mode : string := "add";
tipd_dataa : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_accumin : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_sign : VitalDelayType01 :=DefPropDelay01;
tpd_dataa_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_datab_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_accumin_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_sign_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_dataa_overflow : VitalDelayType01 := DefPropDelay01;
tpd_datab_overflow : VitalDelayType01 := DefPropDelay01;
tpd_accumin_overflow : VitalDelayType01 := DefPropDelay01;
tpd_sign_overflow : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
accumin : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
sign : IN std_logic := '0';
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
overflow : OUT std_logic
);
END arriaiigz_second_stage_add_accum;
ARCHITECTURE arch OF arriaiigz_second_stage_add_accum IS
constant accum_width : integer := dataa_width + 7;
SIGNAL dataout_temp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataa_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL accum_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL overflow_tmp : std_logic := '0';
SIGNAL dataa_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL accumin_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL sign_ipd : std_logic := '0';
SIGNAL signb_ipd : std_logic := '0';
BEGIN
WireDelay : block
begin
g1 :for i in dataa'range generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
end generate;
g2 :for i in datab'range generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
end generate;
g3 :for i in accumin'range generate
VitalWireDelay (accumin_ipd(i), accumin(i), tipd_accumin(i));
end generate;
VitalWireDelay (sign_ipd, sign, tipd_sign);
end block;
PROCESS
Variable dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
WAIT UNTIL dataa_ipd'EVENT OR datab_ipd'EVENT OR sign_ipd'EVENT OR accumin_ipd'EVENT OR operation'EVENT;
IF (operation = "0011" OR operation = "0100") THEN --Accumultor or Accumulator chainout
IF(ssa_mode = "add")THEN
IF (sign_ipd = '1') THEN
dataout_tmp := signed(sxt(accumin_ipd(accum_width-1 downto 0),72)) + signed(sxt(dataa_ipd(accum_width-1 downto 0),72)) + signed(sxt(datab_ipd(accum_width-1 downto 0),72));
ELSE
dataout_tmp := unsigned(ext(accumin_ipd(accum_width-1 downto 0),72)) + unsigned(ext(dataa_ipd(accum_width-1 downto 0),72)) + unsigned(ext(datab_ipd(accum_width-1 downto 0),72));
END IF;
ELSE
IF (sign_ipd = '1') THEN
dataout_tmp := signed(accumin_ipd) - signed(dataa_ipd)- signed(datab_ipd);
ELSE
dataout_tmp := unsigned(accumin_ipd) - unsigned(dataa_ipd)- unsigned(datab_ipd);
END IF;
END IF;
IF(sign_ipd = '1')THEN
overflow_tmp <= dataout_tmp(accum_width) xor dataout_tmp(accum_width -1);
ELSE
IF(ssa_mode = "add")THEN
overflow_tmp <= dataout_tmp(accum_width);
ELSE
overflow_tmp <= 'X';
END IF;
END IF;
ELSIF (operation = "0101" OR operation = "0110") THEN -- two level adder or two level with chainout
overflow_tmp <= '0';
IF (sign_ipd = '1') THEN
dataout_tmp := signed(dataa_ipd) + signed(datab_ipd);
ELSE
dataout_tmp := unsigned(dataa_ipd) + unsigned(datab_ipd);
END IF;
ELSIF ((operation = "0111") OR (operation = "1000")) THEN --36 std_logic multiply; shift and add
dataout_tmp(71 DOWNTO 0) := dataa_ipd(53 DOWNTO 0) & "000000000000000000" + datab_ipd;
overflow_tmp <= '0';
ELSIF ((operation = "1001")) THEN --double mode
dataout_tmp(71 DOWNTO 0) := dataa_ipd + datab_ipd;
overflow_tmp <= '0';
END IF;
dataout_temp <= dataout_tmp;
END PROCESS;
PathDelay : block
begin
do1 : for i in dataout'range generate
process(dataout_temp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_temp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout(i), TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout(i), TRUE),
2 => (accumin_ipd'last_event, tpd_accumin_dataout(i), TRUE),
3 => (sign'last_event, tpd_sign_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do1;
process(overflow_tmp)
VARIABLE overflow_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => overflow,
OutSignalName => "overflow",
OutTemp => overflow_tmp,
paths => (0 => (dataa_ipd'last_event, tpd_dataa_overflow, TRUE),
1 => (datab_ipd'last_event, tpd_datab_overflow, TRUE),
2 => (accumin_ipd'last_event, tpd_accumin_overflow, TRUE),
3 => (sign'last_event, tpd_sign_overflow, TRUE)),
GlitchData => overflow_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE
);
end process;
end block;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: arriaiigz_round_block --
-- Description: ARRIAIIGZ round block --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_round_block IS
GENERIC (
round_mode : string := "nearest_integer";
round_width : integer := 15;
operation_mode : string := "output_only"
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0):= (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END arriaiigz_round_block;
ARCHITECTURE arch OF arriaiigz_round_block IS
signal out_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
dataout <= out_tmp ;
PROCESS(datain,round,datain_width)
variable i : integer ;
variable j : integer ;
variable sign : std_logic ;
variable result_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable dataout_value : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
if(round = '0')then
dataout_value := datain;
else
dataout_value := datain;
j := 0;
sign := '0';
IF( conv_integer(datain_width) > round_width) THEN
for i in ((conv_integer(datain_width)) - round_width) to (conv_integer(datain_width) -1) loop
result_tmp(j) := datain(i);
j := j + 1;
END LOOP;
for i in 0 to (conv_integer(datain_width) - round_width -2) loop
sign := sign or datain(i);
dataout_value(i) := 'X';
END LOOP;
dataout_value((conv_integer(datain_width)) - round_width -1) := 'X';
IF (datain(conv_integer(datain_width) - round_width -1) = '0') THEN -- fractional < 0.5
dataout_tmp := result_tmp;
ELSE
IF ((datain(conv_integer(datain_width) - round_width -1) = '1') AND (sign = '1')) THEN --fractional > 0.5
dataout_tmp := result_tmp + '1';
ELSE
IF (round_mode = "nearest_even") THEN --unbiased rounding
IF(result_tmp(0) = '1') THEN --check for odd integer
dataout_tmp := result_tmp + '1' ;
ELSE
dataout_tmp := result_tmp;
END IF;
ELSE --biased rounding
dataout_tmp := result_tmp + '1';
END IF;
END IF;
END IF;
j := conv_integer(datain_width) - round_width;
FOR i IN 0 to (round_width -1)LOOP
dataout_value(j) := dataout_tmp(i);
j := j + 1;
END LOOP;
ELSE
dataout_value := datain;
END IF;
end if;
out_tmp <= dataout_value;
END PROCESS;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: arriaiigz_saturate_block --
-- Description: ARRIAIIGZ saturation block --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_saturate_block IS
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
saturate_width : integer := 15;
round_width : integer := 15;
saturate_mode : string := " asymmetric";
operation_mode : string := "output_only"
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
saturate : IN std_logic := '0';
round : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0):= (others => '0');
saturation_overflow : OUT std_logic
);
END arriaiigz_saturate_block;
ARCHITECTURE arch OF arriaiigz_saturate_block IS
constant accum_width : integer := dataa_width + 8;
SIGNAL saturation_overflow_tmp : std_logic := '0';
signal msb : std_logic := '0';
signal sign : std_logic := '0';
signal min : std_logic_vector(71 downto 0):=(others => '1');
signal max : std_logic_vector(71 downto 0):=(others => '0');
signal dataout_tmp : std_logic_vector(71 DOWNTO 0):= (others => '0');
SIGNAL i : integer;
BEGIN
sign <= signa OR signb ;
msb <= datain(accum_width) when ((operation_mode = "accumulator") or (operation_mode = "accumulator_chain_out") or(operation_mode = "two_level_adder_chain_out"))
ELSE datain(dataa_width +1) when(operation_mode = "two_level_adder")
ELSE datain(dataa_width) when((operation_mode = "one_level_adder")or (operation_mode = "loopback"))
ELSE datain(dataa_width -1);
dataout <= dataout_tmp ;
saturation_overflow <= saturation_overflow_tmp ;
PROCESS(datain,datain_width,round,saturate,sign,msb)
variable saturation_temp : std_logic := '0';
variable sign_tmp : std_logic := '1';
variable data_tmp : std_logic := '0';
BEGIN
IF (saturate = '0') THEN
dataout_tmp <= datain;
saturation_overflow_tmp <= '0';
ELSE
saturation_temp := '0';
data_tmp := '0';
sign_tmp := '1';
IF (round = '1') THEN
for i in 0 to (conv_integer(datain_width) - round_width -1) LOOP
min(i) <= 'X';
max(i) <= 'X';
END LOOP;
END IF;
IF (saturate_mode = "symmetric") THEN
for i in 0 to (conv_integer(datain_width) - round_width -1) LOOP
IF (round = '1') THEN
max(i) <= 'X';
min(i) <= 'X';
ELSE
max(i) <= '1';
min(i) <= '0';
END IF;
END LOOP;
for i in (conv_integer(datain_width) - round_width) to (conv_integer(datain_width) - saturate_width -1) LOOP
data_tmp := data_tmp or datain(i);
max(i) <= '1';
min(i) <= '0';
END LOOP;
IF (round = '1') THEN
min(conv_integer(datain_width) - round_width) <= '1';
ELSE
min(0) <= '1';
END IF;
END IF;
IF (saturate_mode = "asymmetric") THEN
for i in 0 to (conv_integer(datain_width) - saturate_width -1) LOOP
max(i) <= '1';
min(i) <= '0';
END LOOP;
END IF;
if((saturate_width = 1))then
IF (msb /= datain(conv_integer(datain_width)-1)) THEN
saturation_temp := '1';
ELSE
sign_tmp := sign_tmp and datain(conv_integer(datain_width)-1);
END IF;
else
for i in (conv_integer(datain_width) - saturate_width) to (conv_integer(datain_width)-1) LOOP
sign_tmp := sign_tmp and datain(i);
IF (datain(conv_integer(datain_width)-1) /= datain(i)) THEN
saturation_temp := '1';
end if;
END LOOP;
end if;
-- Trigger the saturation overflow for data=-2^n in case of symmetric saturation.
if((sign_tmp ='1') and (data_tmp = '0') and (saturate_mode = "symmetric")) then
saturation_temp := '1';
end if;
saturation_overflow_tmp <= saturation_temp;
IF (saturation_temp = '1') THEN
IF ((operation_mode = "output_only")or (operation_mode = "accumulator_chain_out") or(operation_mode = "two_level_adder_chain_out")) THEN
IF (msb = '1') THEN
dataout_tmp <= min;
ELSE
dataout_tmp <= max;
END IF;
ELSE
IF (sign = '1') THEN
IF (msb = '1') THEN
dataout_tmp <= min;
ELSE
dataout_tmp <= max;
END IF;
ELSE
dataout_tmp <= (others => 'X');
END IF;
END IF;
ELSE
dataout_tmp <= datain;
END IF;
END IF;
END PROCESS;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: arriaiigz_round_saturate_block --
-- Description: ARRIAIIGZ round and saturation Unit. --
-- This unit instantiated the following components. --
-- 1.arriaiigz_round_block. --
-- 2.arriaiigz_saturate_block. --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_round_saturate_block IS
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
saturate_width : integer := 15;
round_width : integer := 15;
saturate_mode : string := " asymmetric";
round_mode : string := "nearest_integer";
operation_mode : string := "output_only" ;
tipd_datain : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_round : VitalDelayType01 :=DefPropDelay01;
tipd_saturate : VitalDelayType01 :=DefPropDelay01;
tipd_signa : VitalDelayType01 :=DefPropDelay01;
tipd_signb : VitalDelayType01 :=DefPropDelay01;
tpd_datain_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_round_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_saturate_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_signa_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_signb_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_datain_saturationoverflow : VitalDelayType01 := DefPropDelay01;
tpd_round_saturationoverflow : VitalDelayType01 := DefPropDelay01;
tpd_saturate_saturationoverflow : VitalDelayType01 := DefPropDelay01;
tpd_signa_saturationoverflow : VitalDelayType01 := DefPropDelay01;
tpd_signb_saturationoverflow : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0);
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
saturationoverflow : OUT std_logic
);
END arriaiigz_round_saturate_block;
ARCHITECTURE arch OF arriaiigz_round_saturate_block IS
COMPONENT arriaiigz_round_block
GENERIC (
round_mode : string := "nearest_integer";
round_width : integer := 15;
operation_mode : string := "output_only"
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END COMPONENT;
COMPONENT arriaiigz_saturate_block
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
saturate_mode : string := " asymmetric";
saturate_width : integer := 15;
round_width : integer := 15;
operation_mode : string := "output_only"
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
saturate : IN std_logic := '0';
round : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
saturation_overflow : OUT std_logic
);
END COMPONENT;
SIGNAL dataout_round : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL saturate_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_saturate : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datain_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL signa_ipd : std_logic := '0';
SIGNAL signb_ipd : std_logic := '0';
SIGNAL round_ipd : std_logic := '0';
SIGNAL saturate_ipd : std_logic := '0';
SIGNAL saturationoverflow_tmp : std_logic := '0';
BEGIN
WireDelay : block
begin
g1 :for i in datain'range generate
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
end generate;
VitalWireDelay (signa_ipd, signa, tipd_signa);
VitalWireDelay (signb_ipd, signb, tipd_signb);
VitalWireDelay (round_ipd, round, tipd_round);
VitalWireDelay (saturate_ipd, saturate, tipd_saturate);
end block;
round_unit : arriaiigz_round_block
GENERIC MAP (
operation_mode => operation_mode,
round_width => round_width,
round_mode => round_mode
)
PORT MAP (
datain => datain_ipd,
round => round_ipd,
datain_width => datain_width,
dataout => dataout_round
);
saturate_unit : arriaiigz_saturate_block
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width,
operation_mode => operation_mode,
saturate_mode => saturate_mode,
saturate_width =>saturate_width,
round_width =>round_width
)
PORT MAP (
datain => dataout_round,
saturate => saturate_ipd,
round => round_ipd,
signa => signa_ipd,
signb => signb_ipd,
datain_width => datain_width,
dataout => dataout_saturate,
saturation_overflow => saturationoverflow_tmp
);
PathDelay : block
begin
do1 : for i in dataout'range generate
process(dataout_saturate(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_saturate(i),
Paths => (0 => (datain_ipd'last_event, tpd_datain_dataout(i), TRUE),
1 => (round_ipd'last_event, tpd_round_dataout(i), TRUE),
2 => (saturate_ipd'last_event, tpd_saturate_dataout(i), TRUE),
3 => (signa'last_event, tpd_signa_dataout(i), TRUE),
4 => (signb'last_event, tpd_signb_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do1;
process(saturationoverflow_tmp)
VARIABLE saturationoverflow_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => saturationoverflow,
OutSignalName => "saturationoverflow",
OutTemp => saturationoverflow_tmp,
Paths => (0 => (datain_ipd'last_event, tpd_datain_saturationoverflow, TRUE),
1 => (round_ipd'last_event, tpd_round_saturationoverflow, TRUE),
2 => (saturate_ipd'last_event, tpd_saturate_saturationoverflow, TRUE),
3 => (signa'last_event, tpd_signa_saturationoverflow, TRUE),
4 => (signb'last_event, tpd_signb_saturationoverflow, TRUE)),
GlitchData => saturationoverflow_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE
);
end process;
end block;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: arriaiigz_rotate_shift_block --
-- Description: ARRIAIIGZ roate and shift Unit. --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_rotate_shift_block IS
GENERIC (
dataa_width : integer := 32;
datab_width : integer := 32;
tipd_datain : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_rotate : VitalDelayType01 :=DefPropDelay01;
tipd_shiftright : VitalDelayType01 :=DefPropDelay01;
tipd_signa : VitalDelayType01 :=DefPropDelay01;
tipd_signb : VitalDelayType01 :=DefPropDelay01;
tpd_datain_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_rotate_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_shiftright_dataout: VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_signa_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
rotate : IN std_logic := '0';
shiftright : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END arriaiigz_rotate_shift_block;
ARCHITECTURE arch OF arriaiigz_rotate_shift_block IS
signal dataout_tmp : std_logic_vector(71 downto 0) := (others => '0');
SIGNAL datain_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL signa_ipd : std_logic := '0';
SIGNAL signb_ipd : std_logic := '0';
SIGNAL rotate_ipd : std_logic := '0';
SIGNAL shiftright_ipd : std_logic := '0';
SIGNAL sign : std_logic;
BEGIN
WireDelay : block
begin
g1 :for i in datain'range generate
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
end generate;
VitalWireDelay (signa_ipd, signa, tipd_signa);
VitalWireDelay (signb_ipd, signa, tipd_signa);
VitalWireDelay (rotate_ipd, rotate, tipd_rotate);
VitalWireDelay (shiftright_ipd, shiftright, tipd_shiftright);
end block;
PROCESS
BEGIN
WAIT UNTIL datain_ipd'EVENT OR rotate_ipd'EVENT OR shiftright_ipd'EVENT;
sign <= signa_ipd xor signb_ipd;
dataout_tmp <= datain;
IF ((rotate_ipd = '0') AND (shiftright_ipd = '0')) THEN
dataout_tmp(39 downto 8) <= datain_ipd(39 downto 8);
ELSIF ((rotate_ipd = '0') AND (shiftright_ipd = '1')) THEN --shift right
dataout_tmp(39 downto 8) <= datain_ipd(71 downto 40);
ELSIF((rotate_ipd = '1') AND (shiftright_ipd = '0')) THEN
dataout_tmp(39 downto 8) <= datain_ipd(39 downto 8) OR datain_ipd(71 downto 40);
ELSE
dataout_tmp <= datain_ipd;
END IF;
END PROCESS;
PathDelay : block
begin
do1 : for i in dataout'range generate
process(dataout_tmp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (datain_ipd'last_event, tpd_datain_dataout(i), TRUE),
1 => (rotate_ipd'last_event, tpd_rotate_dataout(i), TRUE),
2 => (shiftright_ipd'last_event, tpd_shiftright_dataout(i), TRUE),
3 => (signa'last_event, tpd_signa_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do1;
end block;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: arriaiigz_carry_chain_adder --
-- Description: ARRIAIIGZ carry Chain Adder --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_carry_chain_adder IS
GENERIC(
tipd_dataa : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tpd_dataa_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_datab_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
dataout : OUT STD_LOGIC_vector(71 DOWNTO 0)
);
END arriaiigz_carry_chain_adder;
ARCHITECTURE arch OF arriaiigz_carry_chain_adder IS
SIGNAL dataa_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
WireDelay : block
begin
g1 :for i in dataa'range generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
end generate;
g2 :for i in datab'range generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
end generate;
end block;
dataout_tmp <= (dataa_ipd(71 downto 45) & dataa_ipd(43) & dataa_ipd(43 downto 0)) + (datab_ipd(71 downto 45) & datab_ipd(43) & datab_ipd(43 downto 0)) ;
PathDelay : block
begin
do1 : for i in dataout'range generate
process(dataout_tmp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout(i), TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do1;
end block;
END arch;
----------------------------------------------------------------------------------
-- Module Name: arriaiigz_mac_out_atom --
-- Description: Simulation model for arriaiigz mac out atom --
-- This model instantiates the following components --
-- 1.arriaiigz_mac_bit_register --
-- 2.arriaiigz_mac_register --
-- 3.arriaiigz_fsa_isse --
-- 4.arriaiigz_first_stage_add_sub --
-- 5.arriaiigz_second_stage_add_accum --
-- 6.arriaiigz_round_saturate_block --
-- 7.arriaiigz_rotate_shift_block --
-- 8.arriaiigz_carry_chain_adder --
----------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
ENTITY arriaiigz_mac_out IS
GENERIC (
operation_mode : string := "output_only";
dataa_width : integer := 1;
datab_width : integer := 1;
datac_width : integer := 1;
datad_width : integer := 1;
chainin_width : integer := 1;
round_width : integer := 15;
round_chain_out_width : integer := 15;
saturate_width : integer := 15;
saturate_chain_out_width : integer := 15;
first_adder0_clock : string := "none";
first_adder0_clear : string := "none";
first_adder1_clock : string := "none";
first_adder1_clear : string := "none";
second_adder_clock : string := "none";
second_adder_clear : string := "none";
output_clock : string := "none";
output_clear : string := "none";
signa_clock : string := "none";
signa_clear : string := "none";
signb_clock : string := "none";
signb_clear : string := "none";
round_clock : string := "none";
round_clear : string := "none";
roundchainout_clock : string := "none";
roundchainout_clear : string := "none";
saturate_clock : string := "none";
saturate_clear : string := "none";
saturatechainout_clock : string := "none";
saturatechainout_clear : string := "none";
zeroacc_clock : string := "none";
zeroacc_clear : string := "none";
zeroloopback_clock : string := "none";
zeroloopback_clear : string := "none";
rotate_clock : string := "none";
rotate_clear : string := "none";
shiftright_clock : string := "none";
shiftright_clear : string := "none";
signa_pipeline_clock : string := "none";
signa_pipeline_clear : string := "none";
signb_pipeline_clock : string := "none";
signb_pipeline_clear : string := "none";
round_pipeline_clock : string := "none";
round_pipeline_clear : string := "none";
roundchainout_pipeline_clock : string := "none";
roundchainout_pipeline_clear : string := "none";
saturate_pipeline_clock : string := "none";
saturate_pipeline_clear : string := "none";
saturatechainout_pipeline_clock: string := "none";
saturatechainout_pipeline_clear: string := "none";
zeroacc_pipeline_clock : string := "none";
zeroacc_pipeline_clear : string := "none";
zeroloopback_pipeline_clock : string := "none";
zeroloopback_pipeline_clear : string := "none";
rotate_pipeline_clock : string := "none";
rotate_pipeline_clear : string := "none";
shiftright_pipeline_clock : string := "none";
shiftright_pipeline_clear : string := "none";
roundchainout_output_clock : string := "none";
roundchainout_output_clear : string := "none";
saturatechainout_output_clock : string := "none";
saturatechainout_output_clear : string := "none";
zerochainout_output_clock : string := "none";
zerochainout_output_clear : string := "none";
zeroloopback_output_clock : string := "none";
zeroloopback_output_clear : string := "none";
rotate_output_clock : string := "none";
rotate_output_clear : string := "none";
shiftright_output_clock : string := "none";
shiftright_output_clear : string := "none";
first_adder0_mode : string := "add";
first_adder1_mode : string := "add";
acc_adder_operation : string := "add";
round_mode : string := "nearest_integer";
round_chain_out_mode : string := "nearest_integer";
saturate_mode : string := "asymmetric";
saturate_chain_out_mode : string := "asymmetric";
multa_signa_internally_grounded : string := "false";
multa_signb_internally_grounded : string := "false";
multb_signa_internally_grounded : string := "false";
multb_signb_internally_grounded : string := "false";
multc_signa_internally_grounded : string := "false";
multc_signb_internally_grounded : string := "false";
multd_signa_internally_grounded : string := "false";
multd_signb_internally_grounded : string := "false";
lpm_type : string := "arriaiigz_mac_out";
dataout_width : integer:=72
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '1');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '1');
datac : IN std_logic_vector(datac_width - 1 DOWNTO 0):= (others => '1');
datad : IN std_logic_vector(datad_width - 1 DOWNTO 0):= (others => '1');
signa : IN std_logic := '1';
signb : IN std_logic := '1';
chainin : IN std_logic_vector(chainin_width - 1 DOWNTO 0):= (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
zeroacc : IN std_logic := '0';
roundchainout : IN std_logic := '0';
saturatechainout : IN std_logic := '0';
zerochainout : IN std_logic := '0';
zeroloopback : IN std_logic := '0';
rotate : IN std_logic := '0';
shiftright : IN std_logic := '0';
clk : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
ena : IN std_logic_vector(3 DOWNTO 0) := (others => '1');
aclr : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
loopbackout : OUT std_logic_vector(17 DOWNTO 0):= (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
overflow : OUT std_logic := '0';
saturatechainoutoverflow: OUT std_logic := '0';
dftout : OUT std_logic := '0';
devpor : IN std_logic := '1';
devclrn : IN std_logic := '1'
);
END arriaiigz_mac_out;
ARCHITECTURE arch OF arriaiigz_mac_out IS
COMPONENT arriaiigz_mac_bit_register
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT arriaiigz_mac_register
GENERIC (
data_width : integer := 18
);
PORT (
datain : IN std_logic_vector(data_width - 1 DOWNTO 0) := (others => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic_vector(data_width - 1 DOWNTO 0)
);
END COMPONENT;
COMPONENT arriaiigz_fsa_isse
GENERIC (
datab_width : integer := 36;
dataa_width : integer := 36;
chainin_width : integer := 44;
operation_mode : string := "output_only";
datad_width : integer := 36;
multa_signa_internally_grounded : string := "false";
multa_signb_internally_grounded : string := "false";
multb_signa_internally_grounded : string := "false";
multb_signb_internally_grounded : string := "false";
multc_signa_internally_grounded : string := "false";
multc_signb_internally_grounded : string := "false";
multd_signa_internally_grounded : string := "false";
multd_signb_internally_grounded : string := "false";
datac_width : integer := 36
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '0');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
datac : IN std_logic_vector(datac_width - 1 DOWNTO 0):= (others => '0');
datad : IN std_logic_vector(datad_width - 1 DOWNTO 0):= (others => '0');
chainin : IN std_logic_vector(chainin_width - 1 DOWNTO 0):= (others => '0');
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataa_out : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
datab_out : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
datac_out : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
datad_out : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
chainin_out : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
operation : OUT std_logic_vector(3 DOWNTO 0)
);
END COMPONENT;
COMPONENT arriaiigz_first_stage_add_sub
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
fsa_mode : string := "add"
);
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
sign : IN std_logic := '0';
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END COMPONENT;
COMPONENT arriaiigz_second_stage_add_accum
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
ssa_mode : string := "add"
);
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
accumin : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
sign : IN std_logic := '0';
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
overflow : OUT std_logic
);
END COMPONENT;
COMPONENT arriaiigz_round_saturate_block
GENERIC (
datab_width : integer := 36;
dataa_width : integer := 36;
saturate_mode : string := " asymmetric";
saturate_width : integer := 15;
round_width : integer := 15;
operation_mode : string := "output_only";
round_mode : string := "nearest_integer"
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
saturationoverflow : OUT std_logic
);
END COMPONENT;
COMPONENT arriaiigz_rotate_shift_block
GENERIC (
datab_width : integer := 32;
dataa_width : integer := 32
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
rotate : IN std_logic := '0';
shiftright : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END COMPONENT;
COMPONENT arriaiigz_carry_chain_adder
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END COMPONENT;
--signals for zeroloopback input register
SIGNAL zeroloopback_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_clk_ir : std_logic := '0';
SIGNAL zeroloopback_aclr_ir : std_logic := '0';
SIGNAL zeroloopback_sload_ir : std_logic := '0';
SIGNAL zeroloopback_bypass_register_ir : std_logic := '0';
SIGNAL zeroloopback_in_reg : std_logic := '0';
SIGNAL zeroloopback_in : std_logic := '0';
--signals for zeroacc input register
SIGNAL zeroacc_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_clk_ir : std_logic := '0';
SIGNAL zeroacc_aclr_ir : std_logic := '0';
SIGNAL zeroacc_sload_ir : std_logic := '0';
SIGNAL zeroacc_bypass_register_ir : std_logic := '0';
SIGNAL zeroacc_in_reg : std_logic := '0';
SIGNAL zeroacc_in : std_logic := '0';
--Signals for signa input register
SIGNAL signa_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_clk_ir : std_logic := '0';
SIGNAL signa_aclr_ir : std_logic := '0';
SIGNAL signa_sload_ir : std_logic := '0';
SIGNAL signa_bypass_register_ir : std_logic := '0';
SIGNAL signa_in_reg : std_logic := '0';
SIGNAL signa_in : std_logic := '0';
--signals for signb input register
SIGNAL signb_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_clk_ir : std_logic := '0';
SIGNAL signb_aclr_ir : std_logic := '0';
SIGNAL signb_sload_ir : std_logic := '0';
SIGNAL signb_bypass_register_ir : std_logic := '0';
SIGNAL signb_in_reg : std_logic := '0';
SIGNAL signb_in : std_logic := '0';
--signals for rotate input register
SIGNAL rotate_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_clk_ir : std_logic := '0';
SIGNAL rotate_aclr_ir : std_logic := '0';
SIGNAL rotate_sload_ir : std_logic := '0';
SIGNAL rotate_bypass_register_ir: std_logic := '0';
SIGNAL rotate_in_reg : std_logic := '0';
SIGNAL rotate_in : std_logic := '0';
--signals for shiftright input register
SIGNAL shiftright_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_clk_ir : std_logic := '0';
SIGNAL shiftright_aclr_ir : std_logic := '0';
SIGNAL shiftright_sload_ir : std_logic := '0';
SIGNAL shiftright_bypass_register_ir : std_logic := '0';
SIGNAL shiftright_in_reg : std_logic := '0';
SIGNAL shiftright_in : std_logic := '0';
--signals for round input register
SIGNAL round_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_clk_ir : std_logic := '0';
SIGNAL round_aclr_ir : std_logic := '0';
SIGNAL round_sload_ir : std_logic := '0';
SIGNAL round_bypass_register_ir : std_logic := '0';
SIGNAL round_in_reg : std_logic := '0';
SIGNAL round_in : std_logic := '0';
--signals for saturate input register
SIGNAL saturate_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_clk_ir : std_logic := '0';
SIGNAL saturate_aclr_ir : std_logic := '0';
SIGNAL saturate_sload_ir : std_logic := '0';
SIGNAL saturate_bypass_register_ir : std_logic := '0';
SIGNAL saturate_in_reg : std_logic := '0';
SIGNAL saturate_in : std_logic := '0';
--signals for roundchainout input register
SIGNAL roundchainout_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_clk_ir : std_logic := '0';
SIGNAL roundchainout_aclr_ir : std_logic := '0';
SIGNAL roundchainout_sload_ir : std_logic := '0';
SIGNAL roundchainout_bypass_register_ir: std_logic := '0';
SIGNAL roundchainout_in_reg : std_logic := '0';
SIGNAL roundchainout_in : std_logic := '0';
--signals for saturatechainout input register
SIGNAL saturatechainout_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_clk_ir : std_logic := '0';
SIGNAL saturatechainout_aclr_ir : std_logic := '0';
SIGNAL saturatechainout_sload_ir: std_logic := '0';
SIGNAL saturatechainout_bypass_register_ir: std_logic := '0';
SIGNAL saturatechainout_in_reg : std_logic := '0';
SIGNAL saturatechainout_in : std_logic := '0';
--signals for fsa_input_interface
SIGNAL dataa_fsa_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_fsa_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datac_fsa_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datad_fsa_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL chainin_coa_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL operation : std_logic_vector(3 DOWNTO 0) := (others => '0');
--Signals for First Stage Adder units
SIGNAL dataout_fsa0 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL fsa_pip_datain1 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_fsa1 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL overflow_fsa0 : std_logic := '0';
SIGNAL overflow_fsa1 : std_logic := '0';
--signals for zeroloopback pipeline register
SIGNAL zeroloopback_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_clk_pip : std_logic := '0';
SIGNAL zeroloopback_aclr_pip : std_logic := '0';
SIGNAL zeroloopback_sload_pip : std_logic := '0';
SIGNAL zeroloopback_bypass_register_pip: std_logic := '0';
SIGNAL zeroloopback_pip_reg : std_logic := '0';
--signals for zeroacc pipeline register
SIGNAL zeroacc_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_clk_pip : std_logic := '0';
SIGNAL zeroacc_aclr_pip : std_logic := '0';
SIGNAL zeroacc_sload_pip : std_logic := '0';
SIGNAL zeroacc_bypass_register_pip : std_logic := '0';
SIGNAL zeroacc_pip_reg : std_logic := '0';
--Signals for signa pipeline register
SIGNAL signa_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_clk_pip : std_logic := '0';
SIGNAL signa_aclr_pip : std_logic := '0';
SIGNAL signa_sload_pip : std_logic := '0';
SIGNAL signa_bypass_register_pip: std_logic := '0';
SIGNAL signa_pip_reg : std_logic := '0';
--signals for signb pipeline register
SIGNAL signb_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_clk_pip : std_logic := '0';
SIGNAL signb_aclr_pip : std_logic := '0';
SIGNAL signb_sload_pip : std_logic := '0';
SIGNAL signb_bypass_register_pip: std_logic := '0';
SIGNAL signb_pip_reg : std_logic := '0';
--signals for rotate pipeline register
SIGNAL rotate_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_clk_pip : std_logic := '0';
SIGNAL rotate_aclr_pip : std_logic := '0';
SIGNAL rotate_sload_pip : std_logic := '0';
SIGNAL rotate_bypass_register_pip : std_logic := '0';
SIGNAL rotate_pip_reg : std_logic := '0';
--signals for shiftright pipeline register
SIGNAL shiftright_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_clk_pip : std_logic := '0';
SIGNAL shiftright_aclr_pip : std_logic := '0';
SIGNAL shiftright_sload_pip : std_logic := '0';
SIGNAL shiftright_bypass_register_pip : std_logic := '0';
SIGNAL shiftright_pip_reg : std_logic := '0';
--signals for round pipeline register
SIGNAL round_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_clk_pip : std_logic := '0';
SIGNAL round_aclr_pip : std_logic := '0';
SIGNAL round_sload_pip : std_logic := '0';
SIGNAL round_bypass_register_pip: std_logic := '0';
SIGNAL round_pip_reg : std_logic := '0';
--signals for saturate pipeline register
SIGNAL saturate_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_clk_pip : std_logic := '0';
SIGNAL saturate_aclr_pip : std_logic := '0';
SIGNAL saturate_sload_pip : std_logic := '0';
SIGNAL saturate_bypass_register_pip : std_logic := '0';
SIGNAL saturate_pip_reg : std_logic := '0';
--signals for roundchainout pipeline register
SIGNAL roundchainout_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_aclrval_pip: std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_clk_pip : std_logic := '0';
SIGNAL roundchainout_aclr_pip : std_logic := '0';
SIGNAL roundchainout_sload_pip : std_logic := '0';
SIGNAL roundchainout_bypass_register_pip: std_logic := '0';
SIGNAL roundchainout_pip_reg : std_logic := '0';
--signals for saturatechainout pipeline register
SIGNAL saturatechainout_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_clk_pip : std_logic := '0';
SIGNAL saturatechainout_aclr_pip: std_logic := '0';
SIGNAL saturatechainout_sload_pip : std_logic := '0';
SIGNAL saturatechainout_bypass_register_pip: std_logic := '0';
SIGNAL saturatechainout_pip_reg : std_logic := '0';
--signals for fsa0 pipeline register
SIGNAL fsa0_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL fsa0_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL fsa0_clk_pip : std_logic := '0';
SIGNAL fsa0_aclr_pip : std_logic := '0';
SIGNAL fsa0_sload_pip : std_logic := '0';
SIGNAL fsa0_bypass_register_pip : std_logic := '0';
SIGNAL fsa0_pip_reg : std_logic_vector(71 DOWNTO 0) := (others => '0');
--signals for fsa1 pipeline register
SIGNAL fsa1_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL fsa1_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL fsa1_clk_pip : std_logic := '0';
SIGNAL fsa1_aclr_pip : std_logic := '0';
SIGNAL fsa1_sload_pip : std_logic := '0';
SIGNAL fsa1_bypass_register_pip : std_logic := '0';
SIGNAL fsa1_pip_reg : std_logic_vector(71 DOWNTO 0) := (others => '0');
--Signals for second stage adder
SIGNAL ssa_accum_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL ssa_sign : std_logic := '0';
SIGNAL ssa_dataout : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL ssa_overflow : std_logic := '0';
--Signals for RS block
SIGNAL rs_datain : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_of : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_saturation_overflow : std_logic := '0';
SIGNAL ssa_datain_width : std_logic_vector(7 DOWNTO 0);
SIGNAL ssa_round_width : std_logic_vector(3 DOWNTO 0) := (others => '0');
--signals for zeroloopback output register
SIGNAL zeroloopback_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_clk_or : std_logic := '0';
SIGNAL zeroloopback_aclr_or : std_logic := '0';
SIGNAL zeroloopback_sload_or : std_logic := '0';
SIGNAL zeroloopback_bypass_register_or : std_logic := '0';
SIGNAL zeroloopback_out_reg : std_logic := '0';
--signals for zerochainout output register
SIGNAL zerochainout_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zerochainout_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zerochainout_clk_or : std_logic := '0';
SIGNAL zerochainout_aclr_or : std_logic := '0';
SIGNAL zerochainout_sload_or : std_logic := '0';
SIGNAL zerochainout_bypass_register_or : std_logic := '0';
SIGNAL zerochainout_out_reg : std_logic := '0';
--Signals for saturation_overflow output register
SIGNAL rs_saturation_overflow_in : std_logic := '0';
SIGNAL saturation_overflow_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturation_overflow_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturation_overflow_clk_or : std_logic := '0';
SIGNAL saturation_overflow_aclr_or : std_logic := '0';
SIGNAL saturation_overflow_sload_or : std_logic := '0';
SIGNAL saturation_overflow_bypass_register_or: std_logic := '0';
SIGNAL saturation_overflow_out_reg : std_logic := '0';
--signals for rs_dataout output register
SIGNAL rs_dataout_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_clkval_or_co : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_aclrval_or_co : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_clkval_or_o : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_aclrval_or_o : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_clk_or : std_logic := '0';
SIGNAL rs_dataout_aclr_or : std_logic := '0';
SIGNAL rs_dataout_sload_or : std_logic := '0';
SIGNAL rs_dataout_bypass_register_or_co : std_logic := '0';
SIGNAL rs_dataout_bypass_register_or_o : std_logic := '0';
SIGNAL rs_dataout_bypass_register_or : std_logic := '0';
SIGNAL rs_dataout_out_reg : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_saturation_overflow_out_reg : std_logic := '0';
--signals for rotate output register
SIGNAL rotate_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_clk_or : std_logic := '0';
SIGNAL rotate_aclr_or : std_logic := '0';
SIGNAL rotate_sload_or : std_logic := '0';
SIGNAL rotate_bypass_register_or: std_logic := '0';
SIGNAL rotate_out_reg : std_logic := '0';
--signals for shiftright output register
SIGNAL shiftright_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_clk_or : std_logic := '0';
SIGNAL shiftright_aclr_or : std_logic := '0';
SIGNAL shiftright_sload_or : std_logic := '0';
SIGNAL shiftright_bypass_register_or : std_logic := '0';
SIGNAL shiftright_out_reg : std_logic := '0';
--signals for roundchainout output register
SIGNAL roundchainout_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_clk_or : std_logic := '0';
SIGNAL roundchainout_aclr_or : std_logic := '0';
SIGNAL roundchainout_sload_or : std_logic := '0';
SIGNAL roundchainout_bypass_register_or: std_logic := '0';
SIGNAL roundchainout_out_reg : std_logic := '0';
--signals for saturatechainout output register
SIGNAL saturatechainout_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_clk_or : std_logic := '0';
SIGNAL saturatechainout_aclr_or : std_logic := '0';
SIGNAL saturatechainout_sload_or: std_logic := '0';
SIGNAL saturatechainout_bypass_register_or: std_logic := '0';
SIGNAL saturatechainout_out_reg : std_logic := '0';
--Signals for chainout Adder RS Block
SIGNAL coa_dataout : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL coa_round_width : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL coa_rs_dataout : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL coa_rs_saturation_overflow : std_logic := '0';
--signals for control signals for COA output register
SIGNAL coa_reg_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL coa_reg_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL coa_reg_clk_or : std_logic := '0';
SIGNAL coa_reg_aclr_or : std_logic := '0';
SIGNAL coa_reg_sload_or : std_logic := '0';
SIGNAL coa_reg_bypass_register_or : std_logic := '0';
SIGNAL coa_reg_out_reg : std_logic := '0';
SIGNAL coa_rs_saturation_overflow_out_reg: std_logic := '0';
SIGNAL coa_rs_saturationchainout_overflow_out_reg: std_logic := '0';
SIGNAL coa_rs_dataout_out_reg : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_shift_rot : std_logic_vector(71 DOWNTO 0):= (others => '0');
SIGNAL dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL loopbackout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL saturation_overflow_tmp : std_logic := '0';
SIGNAL saturationchainout_overflow_tmp : std_logic := '0';
SIGNAL rs_dataout_tmp1 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL sign : std_logic := '0';
BEGIN
process(rs_dataout, rs_saturation_overflow, saturate_pip_reg)
variable rs_tmp : std_logic_vector(71 downto 0):= (others => '0');
begin
rs_tmp := rs_dataout;
if (((operation_mode = "output_only")or (operation_mode = "one_level_adder") or(operation_mode = "loopback")) and (dataa_width > 1) and (saturate_pip_reg = '1'))then
rs_tmp(dataa_width -1) := rs_saturation_overflow ;
end if;
rs_dataout_of <= rs_tmp;
end process;
--Instantiate the zeroloopback input Register
zeroloopback_clkval_ir <= "0000" WHEN ((zeroloopback_clock = "0") or (zeroloopback_clock = "none"))
ELSE "0001" WHEN (zeroloopback_clock = "1")
ELSE "0010" WHEN (zeroloopback_clock = "2")
ELSE "0011" WHEN (zeroloopback_clock = "3")
ELSE "0000" ;
zeroloopback_aclrval_ir <= "0000" WHEN ((zeroloopback_clear = "0") or (zeroloopback_clear = "none"))
ELSE "0001" WHEN (zeroloopback_clear = "1")
ELSE "0010" WHEN (zeroloopback_clear = "2")
ELSE "0011" WHEN (zeroloopback_clear = "3")
ELSE "0000" ;
zeroloopback_clk_ir <= '1' WHEN clk(conv_integer(zeroloopback_clkval_ir)) = '1' ELSE '0';
zeroloopback_aclr_ir <= '1' WHEN (aclr(conv_integer(zeroloopback_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zeroloopback_sload_ir <= '1' WHEN ena(conv_integer(zeroloopback_clkval_ir)) = '1' ELSE '0';
zeroloopback_bypass_register_ir <= '1' WHEN (zeroloopback_clock = "none") ELSE '0';
zeroloopback_in <= zeroloopback;
zeroloopback_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => zeroloopback_in,
clk => zeroloopback_clk_ir,
aclr => zeroloopback_aclr_ir,
sload => zeroloopback_sload_ir,
bypass_register => zeroloopback_bypass_register_ir,
dataout => zeroloopback_in_reg
);
--Instantiate the zeroacc input Register
zeroacc_clkval_ir <= "0000" WHEN ((zeroacc_clock = "0") or (zeroacc_clock = "none"))
ELSE "0001" WHEN (zeroacc_clock = "1")
ELSE "0010" WHEN (zeroacc_clock = "2")
ELSE "0011" WHEN (zeroacc_clock = "3")
ELSE "0000" ;
zeroacc_aclrval_ir <= "0000" WHEN ((zeroacc_clear = "0") or (zeroacc_clear = "none"))
ELSE "0001" WHEN (zeroacc_clear = "1")
ELSE "0010" WHEN (zeroacc_clear = "2")
ELSE "0011" WHEN (zeroacc_clear = "3")
ELSE "0000" ;
zeroacc_clk_ir <= '1' WHEN clk(conv_integer(zeroacc_clkval_ir)) = '1' ELSE '0';
zeroacc_aclr_ir <= '1' WHEN (aclr(conv_integer(zeroacc_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zeroacc_sload_ir <= '1' WHEN ena(conv_integer(zeroacc_clkval_ir)) = '1' ELSE '0';
zeroacc_bypass_register_ir <= '1' WHEN (zeroacc_clock = "none") ELSE '0';
zeroacc_in <= zeroacc;
zeroacc_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => zeroacc_in,
clk => zeroacc_clk_ir,
aclr => zeroacc_aclr_ir,
sload => zeroacc_sload_ir,
bypass_register => zeroacc_bypass_register_ir,
dataout => zeroacc_in_reg
);
--Instantiate the signa input Register
signa_clkval_ir <= "0000" WHEN ((signa_clock = "0") or (signa_clock = "none"))
ELSE "0001" WHEN (signa_clock = "1")
ELSE "0010" WHEN (signa_clock = "2")
ELSE "0011" WHEN (signa_clock = "3")
ELSE "0000" ;
signa_aclrval_ir <= "0000" WHEN ((signa_clear = "0") or (signa_clear = "none"))
ELSE "0001" WHEN (signa_clear = "1")
ELSE "0010" WHEN (signa_clear = "2")
ELSE "0011" WHEN (signa_clear = "3")
ELSE "0000" ;
signa_clk_ir <= '1' WHEN clk(conv_integer(signa_clkval_ir)) = '1' ELSE '0';
signa_aclr_ir <= '1' WHEN (aclr(conv_integer(signa_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
signa_sload_ir <= '1' WHEN ena(conv_integer(signa_clkval_ir)) = '1' ELSE '0';
signa_bypass_register_ir <= '1' WHEN (signa_clock = "none") ELSE '0';
signa_in <= signa;
signa_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => signa_in,
clk => signa_clk_ir,
aclr => signa_aclr_ir,
sload => signa_sload_ir,
bypass_register => signa_bypass_register_ir,
dataout => signa_in_reg
);
--Instantiate the signb input Register
signb_clkval_ir <= "0000" WHEN ((signb_clock = "0") or (signb_clock = "none"))
ELSE "0001" WHEN (signb_clock = "1")
ELSE "0010" WHEN (signb_clock = "2")
ELSE "0011" WHEN (signb_clock = "3")
ELSE "0000" ;
signb_aclrval_ir <= "0000" WHEN ((signb_clear = "0") or (signb_clear = "none"))
ELSE "0001" WHEN (signb_clear = "1")
ELSE "0010" WHEN (signb_clear = "2")
ELSE "0011" WHEN (signb_clear = "3")
ELSE "0000" ;
signb_clk_ir <= '1' WHEN clk(conv_integer(signb_clkval_ir)) = '1' ELSE '0';
signb_aclr_ir <= '1' WHEN (aclr(conv_integer(signb_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
signb_sload_ir <= '1' WHEN ena(conv_integer(signb_clkval_ir)) = '1' ELSE '0';
signb_bypass_register_ir <= '1' WHEN (signb_clock = "none") ELSE '0';
signb_in <= signb;
signb_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => signb_in,
clk => signb_clk_ir,
aclr => signb_aclr_ir,
sload => signb_sload_ir,
bypass_register => signb_bypass_register_ir,
dataout => signb_in_reg
);
--Instantiate the rotate input Register
rotate_clkval_ir <= "0000" WHEN ((rotate_clock = "0") or (rotate_clock = "none"))
ELSE "0001" WHEN (rotate_clock = "1")
ELSE "0010" WHEN (rotate_clock = "2")
ELSE "0011" WHEN (rotate_clock = "3")
ELSE "0000" ;
rotate_aclrval_ir <= "0000" WHEN ((rotate_clear = "0") or (rotate_clear = "none"))
ELSE "0001" WHEN (rotate_clear = "1")
ELSE "0010" WHEN (rotate_clear = "2")
ELSE "0011" WHEN (rotate_clear = "3")
ELSE "0000" ;
rotate_clk_ir <= '1' WHEN clk(conv_integer(rotate_clkval_ir)) = '1' ELSE '0';
rotate_aclr_ir <= '1' WHEN (aclr(conv_integer(rotate_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
rotate_sload_ir <= '1' WHEN ena(conv_integer(rotate_clkval_ir)) = '1' ELSE '0';
rotate_bypass_register_ir <= '1' WHEN (rotate_clock = "none") ELSE '0';
rotate_in <= rotate;
rotate_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => rotate_in,
clk => rotate_clk_ir,
aclr => rotate_aclr_ir,
sload => rotate_sload_ir,
bypass_register => rotate_bypass_register_ir,
dataout => rotate_in_reg
);
--Instantiate the shiftright input Register
shiftright_clkval_ir <= "0000" WHEN ((shiftright_clock = "0") or (shiftright_clock = "none"))
ELSE "0001" WHEN (shiftright_clock = "1")
ELSE "0010" WHEN (shiftright_clock = "2")
ELSE "0011" WHEN (shiftright_clock = "3")
ELSE "0000" ;
shiftright_aclrval_ir <= "0000" WHEN ((shiftright_clear = "0") or (shiftright_clear = "none"))
ELSE "0001" WHEN (shiftright_clear = "1")
ELSE "0010" WHEN (shiftright_clear = "2")
ELSE "0011" WHEN (shiftright_clear = "3")
ELSE "0000" ;
shiftright_clk_ir <= '1' WHEN clk(conv_integer(shiftright_clkval_ir)) = '1' ELSE '0';
shiftright_aclr_ir <= '1' WHEN (aclr(conv_integer(shiftright_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
shiftright_sload_ir <= '1' WHEN ena(conv_integer(shiftright_clkval_ir)) = '1' ELSE '0';
shiftright_bypass_register_ir <= '1' WHEN (shiftright_clock = "none") ELSE '0';
shiftright_in <= shiftright;
shiftright_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => shiftright_in,
clk => shiftright_clk_ir,
aclr => shiftright_aclr_ir,
sload => shiftright_sload_ir,
bypass_register => shiftright_bypass_register_ir,
dataout => shiftright_in_reg
);
--Instantiate the round input Register
round_clkval_ir <= "0000" WHEN ((round_clock = "0") or (round_clock = "none"))
ELSE "0001" WHEN (round_clock = "1")
ELSE "0010" WHEN (round_clock = "2")
ELSE "0011" WHEN (round_clock = "3")
ELSE "0000" ;
round_aclrval_ir <= "0000" WHEN ((round_clear = "0") or (round_clear = "none"))
ELSE "0001" WHEN (round_clear = "1")
ELSE "0010" WHEN (round_clear = "2")
ELSE "0011" WHEN (round_clear = "3")
ELSE "0000" ;
round_clk_ir <= '1' WHEN clk(conv_integer(round_clkval_ir)) = '1' ELSE '0';
round_aclr_ir <= '1' WHEN (aclr(conv_integer(round_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
round_sload_ir <= '1' WHEN ena(conv_integer(round_clkval_ir)) = '1' ELSE '0';
round_bypass_register_ir <= '1' WHEN (round_clock = "none") ELSE '0';
round_in <= round;
round_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => round_in,
clk => round_clk_ir,
aclr => round_aclr_ir,
sload => round_sload_ir,
bypass_register => round_bypass_register_ir,
dataout => round_in_reg
);
--Instantiate the saturate input Register
saturate_clkval_ir <= "0000" WHEN ((saturate_clock = "0") or (saturate_clock = "none"))
ELSE "0001" WHEN (saturate_clock = "1")
ELSE "0010" WHEN (saturate_clock = "2")
ELSE "0011" WHEN (saturate_clock = "3")
ELSE "0000" ;
saturate_aclrval_ir <= "0000" WHEN ((saturate_clear = "0") or (saturate_clear = "none"))
ELSE "0001" WHEN (saturate_clear = "1")
ELSE "0010" WHEN (saturate_clear = "2")
ELSE "0011" WHEN (saturate_clear = "3")
ELSE "0000" ;
saturate_clk_ir <= '1' WHEN clk(conv_integer(saturate_clkval_ir)) = '1' ELSE '0';
saturate_aclr_ir <= '1' WHEN (aclr(conv_integer(saturate_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
saturate_sload_ir <= '1' WHEN ena(conv_integer(saturate_clkval_ir)) = '1' ELSE '0';
saturate_bypass_register_ir <= '1' WHEN (saturate_clock = "none") ELSE '0';
saturate_in <= saturate;
saturate_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => saturate_in,
clk => saturate_clk_ir,
aclr => saturate_aclr_ir,
sload => saturate_sload_ir,
bypass_register => saturate_bypass_register_ir,
dataout => saturate_in_reg
);
--Instantiate the roundchainout input Register
roundchainout_clkval_ir <= "0000" WHEN ((roundchainout_clock = "0") or (roundchainout_clock = "none"))
ELSE "0001" WHEN (roundchainout_clock = "1")
ELSE "0010" WHEN (roundchainout_clock = "2")
ELSE "0011" WHEN (roundchainout_clock = "3")
ELSE "0000" ;
roundchainout_aclrval_ir <= "0000" WHEN ((roundchainout_clear = "0") or (roundchainout_clear = "none"))
ELSE "0001" WHEN (roundchainout_clear = "1")
ELSE "0010" WHEN (roundchainout_clear = "2")
ELSE "0011" WHEN (roundchainout_clear = "3")
ELSE "0000" ;
roundchainout_clk_ir <= '1' WHEN clk(conv_integer(roundchainout_clkval_ir)) = '1' ELSE '0';
roundchainout_aclr_ir <= '1' WHEN (aclr(conv_integer(roundchainout_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
roundchainout_sload_ir <= '1' WHEN ena(conv_integer(roundchainout_clkval_ir)) = '1' ELSE '0';
roundchainout_bypass_register_ir <= '1' WHEN (roundchainout_clock = "none") ELSE '0';
roundchainout_in <= roundchainout;
roundchainout_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => roundchainout_in,
clk => roundchainout_clk_ir,
aclr => roundchainout_aclr_ir,
sload => roundchainout_sload_ir,
bypass_register => roundchainout_bypass_register_ir,
dataout => roundchainout_in_reg
);
--Instantiate the saturatechainout input Register
saturatechainout_clkval_ir <= "0000" WHEN ((saturatechainout_clock = "0") or (saturatechainout_clock = "none"))
ELSE "0001" WHEN (saturatechainout_clock = "1")
ELSE "0010" WHEN (saturatechainout_clock = "2")
ELSE "0011" WHEN (saturatechainout_clock = "3")
ELSE "0000" ;
saturatechainout_aclrval_ir <= "0000" WHEN ((saturatechainout_clear = "0") or (saturatechainout_clear = "none"))
ELSE "0001" WHEN (saturatechainout_clear = "1")
ELSE "0010" WHEN (saturatechainout_clear = "2")
ELSE "0011" WHEN (saturatechainout_clear = "3")
ELSE "0000" ;
saturatechainout_clk_ir <= '1' WHEN clk(conv_integer(saturatechainout_clkval_ir)) = '1' ELSE '0';
saturatechainout_aclr_ir <= '1' WHEN (aclr(conv_integer(saturatechainout_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
saturatechainout_sload_ir <= '1' WHEN ena(conv_integer(saturatechainout_clkval_ir)) = '1' ELSE '0';
saturatechainout_bypass_register_ir <= '1' WHEN (saturatechainout_clock = "none") ELSE '0';
saturatechainout_in <= saturatechainout;
saturatechainout_input_register : arriaiigz_mac_bit_register
PORT MAP (
datain => saturatechainout_in,
clk => saturatechainout_clk_ir,
aclr => saturatechainout_aclr_ir,
sload => saturatechainout_sload_ir,
bypass_register => saturatechainout_bypass_register_ir,
dataout => saturatechainout_in_reg
);
--Instantiate the First level adder interface and sign extension block
sign <= signa_in_reg OR signb_in_reg ;
fsa_interface : arriaiigz_fsa_isse
GENERIC MAP (
chainin_width => chainin_width,
dataa_width => dataa_width,
datab_width => datab_width,
datac_width => datac_width,
datad_width => datad_width,
operation_mode => operation_mode,
multa_signa_internally_grounded => multa_signa_internally_grounded,
multa_signb_internally_grounded => multa_signb_internally_grounded,
multb_signa_internally_grounded => multb_signa_internally_grounded,
multb_signb_internally_grounded => multb_signb_internally_grounded,
multc_signa_internally_grounded => multc_signa_internally_grounded,
multc_signb_internally_grounded => multc_signb_internally_grounded,
multd_signa_internally_grounded => multd_signa_internally_grounded,
multd_signb_internally_grounded => multd_signb_internally_grounded
)
PORT MAP (
dataa => dataa,
datab => datab,
datac => datac,
datad => datad,
chainin => chainin,
signa => signa_in_reg,
signb => signb_in_reg,
dataa_out => dataa_fsa_in,
datab_out => datab_fsa_in,
datac_out => datac_fsa_in,
datad_out => datad_fsa_in,
chainin_out => chainin_coa_in,
operation => operation
);
--Instantiate First Stage Adder/Subtractor Unit0
fsaunit0 : arriaiigz_first_stage_add_sub
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width,
fsa_mode => first_adder0_mode
)
PORT MAP (
dataa => dataa_fsa_in,
datab => datab_fsa_in,
sign => sign,
operation => operation,
dataout => dataout_fsa0
);
--Instantiate First Stage Adder/Subtractor Unit1
fsaunit1 : arriaiigz_first_stage_add_sub
GENERIC MAP (
dataa_width => datac_width,
datab_width => datad_width,
fsa_mode => first_adder1_mode
)
PORT MAP (
dataa => datac_fsa_in,
datab => datad_fsa_in,
sign => sign,
operation => operation,
dataout => dataout_fsa1
);
--Instantiate the zeroloopback pipeline Register
zeroloopback_clkval_pip <= "0000" WHEN ((zeroloopback_pipeline_clock = "0") or (zeroloopback_pipeline_clock = "none"))
ELSE "0001" WHEN (zeroloopback_pipeline_clock = "1")
ELSE "0010" WHEN (zeroloopback_pipeline_clock = "2")
ELSE "0011" WHEN (zeroloopback_pipeline_clock = "3")
ELSE "0000" ;
zeroloopback_aclrval_pip <= "0000" WHEN ((zeroloopback_pipeline_clear = "0") or (zeroloopback_pipeline_clear = "none"))
ELSE "0001" WHEN (zeroloopback_pipeline_clear = "1")
ELSE "0010" WHEN (zeroloopback_pipeline_clear = "2")
ELSE "0011" WHEN (zeroloopback_pipeline_clear = "3")
ELSE "0000" ;
zeroloopback_clk_pip <= '1' WHEN clk(conv_integer(zeroloopback_clkval_pip)) = '1' ELSE '0';
zeroloopback_aclr_pip <= '1' WHEN (aclr(conv_integer(zeroloopback_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zeroloopback_sload_pip <= '1' WHEN ena(conv_integer(zeroloopback_clkval_pip)) = '1' ELSE '0';
zeroloopback_bypass_register_pip <= '1' WHEN (zeroloopback_pipeline_clock = "none") ELSE '0';
zeroloopback_pipeline_register : arriaiigz_mac_bit_register
PORT MAP (
datain => zeroloopback_in_reg,
clk => zeroloopback_clk_pip,
aclr => zeroloopback_aclr_pip,
sload => zeroloopback_sload_pip,
bypass_register => zeroloopback_bypass_register_pip,
dataout => zeroloopback_pip_reg
);
--Instantiate the zeroacc pipeline Register
zeroacc_clkval_pip <= "0000" WHEN ((zeroacc_pipeline_clock = "0") or (zeroacc_pipeline_clock = "none"))
ELSE "0001" WHEN (zeroacc_pipeline_clock = "1")
ELSE "0010" WHEN (zeroacc_pipeline_clock = "2")
ELSE "0011" WHEN (zeroacc_pipeline_clock = "3")
ELSE "0000" ;
zeroacc_aclrval_pip <= "0000" WHEN ((zeroacc_pipeline_clear = "0") or (zeroacc_pipeline_clear = "none"))
ELSE "0001" WHEN (zeroacc_pipeline_clear = "1")
ELSE "0010" WHEN (zeroacc_pipeline_clear = "2")
ELSE "0011" WHEN (zeroacc_pipeline_clear = "3")
ELSE "0000" ;
zeroacc_clk_pip <= '1' WHEN clk(conv_integer(zeroacc_clkval_pip)) = '1' ELSE '0';
zeroacc_aclr_pip <= '1' WHEN (aclr(conv_integer(zeroacc_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zeroacc_sload_pip <= '1' WHEN ena(conv_integer(zeroacc_clkval_pip)) = '1' ELSE '0';
zeroacc_bypass_register_pip <= '1' WHEN (zeroacc_pipeline_clock = "none") ELSE '0';
zeroacc_pipeline_register : arriaiigz_mac_bit_register
PORT MAP (
datain => zeroacc_in_reg,
clk => zeroacc_clk_pip,
aclr => zeroacc_aclr_pip,
sload => zeroacc_sload_pip,
bypass_register => zeroacc_bypass_register_pip,
dataout => zeroacc_pip_reg
);
--Instantiate the signa pipeline Register
signa_clkval_pip <= "0000" WHEN ((signa_pipeline_clock = "0") or (signa_pipeline_clock = "none"))
ELSE "0001" WHEN (signa_pipeline_clock = "1")
ELSE "0010" WHEN (signa_pipeline_clock = "2")
ELSE "0011" WHEN (signa_pipeline_clock = "3")
ELSE "0000" ;
signa_aclrval_pip <= "0000" WHEN ((signa_pipeline_clear = "0") or (signa_pipeline_clear = "none"))
ELSE "0001" WHEN (signa_pipeline_clear = "1")
ELSE "0010" WHEN (signa_pipeline_clear = "2")
ELSE "0011" WHEN (signa_pipeline_clear = "3")
ELSE "0000" ;
signa_clk_pip <= '1' WHEN clk(conv_integer(signa_clkval_pip)) = '1' ELSE '0';
signa_aclr_pip <= '1' WHEN (aclr(conv_integer(signa_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
signa_sload_pip <= '1' WHEN ena(conv_integer(signa_clkval_pip)) = '1' ELSE '0';
signa_bypass_register_pip <= '1' WHEN (signa_pipeline_clock = "none") ELSE '0';
signa_pipeline_register : arriaiigz_mac_bit_register
PORT MAP (
datain => signa_in_reg,
clk => signa_clk_pip,
aclr => signa_aclr_pip,
sload => signa_sload_pip,
bypass_register => signa_bypass_register_pip,
dataout => signa_pip_reg
);
--Instantiate the signb pipeline Register
signb_clkval_pip <= "0000" WHEN ((signb_pipeline_clock = "0") or (signb_pipeline_clock = "none"))
ELSE "0001" WHEN (signb_pipeline_clock = "1")
ELSE "0010" WHEN (signb_pipeline_clock = "2")
ELSE "0011" WHEN (signb_pipeline_clock = "3")
ELSE "0000" ;
signb_aclrval_pip <= "0000" WHEN ((signb_pipeline_clear = "0") or (signb_pipeline_clear = "none"))
ELSE "0001" WHEN (signb_pipeline_clear = "1")
ELSE "0010" WHEN (signb_pipeline_clear = "2")
ELSE "0011" WHEN (signb_pipeline_clear = "3")
ELSE "0000" ;
signb_clk_pip <= '1' WHEN clk(conv_integer(signb_clkval_pip)) = '1' ELSE '0';
signb_aclr_pip <= '1' WHEN (aclr(conv_integer(signb_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
signb_sload_pip <= '1' WHEN ena(conv_integer(signb_clkval_pip)) = '1' ELSE '0';
signb_bypass_register_pip <= '1' WHEN (signb_pipeline_clock = "none") ELSE '0';
signb_pipeline_register : arriaiigz_mac_bit_register
PORT MAP (
datain => signb_in_reg,
clk => signb_clk_pip,
aclr => signb_aclr_pip,
sload => signb_sload_pip,
bypass_register => signb_bypass_register_pip,
dataout => signb_pip_reg
);
--Instantiate the rotate pipeline Register
rotate_clkval_pip <= "0000" WHEN ((rotate_pipeline_clock = "0") or (rotate_pipeline_clock = "none"))
ELSE "0001" WHEN (rotate_pipeline_clock = "1")
ELSE "0010" WHEN (rotate_pipeline_clock = "2")
ELSE "0011" WHEN (rotate_pipeline_clock = "3")
ELSE "0000" ;
rotate_aclrval_pip <= "0000" WHEN ((rotate_pipeline_clear = "0") or (rotate_pipeline_clear = "none"))
ELSE "0001" WHEN (rotate_pipeline_clear = "1")
ELSE "0010" WHEN (rotate_pipeline_clear = "2")
ELSE "0011" WHEN (rotate_pipeline_clear = "3")
ELSE "0000" ;
rotate_clk_pip <= '1' WHEN clk(conv_integer(rotate_clkval_pip)) = '1' ELSE '0';
rotate_aclr_pip <= '1' WHEN (aclr(conv_integer(rotate_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
rotate_sload_pip <= '1' WHEN ena(conv_integer(rotate_clkval_pip)) = '1' ELSE '0';
rotate_bypass_register_pip <= '1' WHEN (rotate_pipeline_clock = "none") ELSE '0';
rotate_pipeline_register : arriaiigz_mac_bit_register
PORT MAP (
datain => rotate_in_reg,
clk => rotate_clk_pip,
aclr => rotate_aclr_pip,
sload => rotate_sload_pip,
bypass_register => rotate_bypass_register_pip,
dataout => rotate_pip_reg
);
--Instantiate the shiftright pipeline Register
shiftright_clkval_pip <= "0000" WHEN ((shiftright_pipeline_clock = "0") or (shiftright_pipeline_clock = "none"))
ELSE "0001" WHEN (shiftright_pipeline_clock = "1")
ELSE "0010" WHEN (shiftright_pipeline_clock = "2")
ELSE "0011" WHEN (shiftright_pipeline_clock = "3")
ELSE "0000" ;
shiftright_aclrval_pip <= "0000" WHEN ((shiftright_pipeline_clear = "0") or (shiftright_pipeline_clear = "none"))
ELSE "0001" WHEN (shiftright_pipeline_clear = "1")
ELSE "0010" WHEN (shiftright_pipeline_clear = "2")
ELSE "0011" WHEN (shiftright_pipeline_clear = "3")
ELSE "0000" ;
shiftright_clk_pip <= '1' WHEN clk(conv_integer(shiftright_clkval_pip)) = '1' ELSE '0';
shiftright_aclr_pip <= '1' WHEN (aclr(conv_integer(shiftright_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
shiftright_sload_pip <= '1' WHEN ena(conv_integer(shiftright_clkval_pip)) = '1' ELSE '0';
shiftright_bypass_register_pip <= '1' WHEN (shiftright_pipeline_clock = "none") ELSE '0';
shiftright_pipeline_register : arriaiigz_mac_bit_register
PORT MAP (
datain => shiftright_in_reg,
clk => shiftright_clk_pip,
aclr => shiftright_aclr_pip,
sload => shiftright_sload_pip,
bypass_register => shiftright_bypass_register_pip,
dataout => shiftright_pip_reg
);
--Instantiate the round pipeline Register
round_clkval_pip <= "0000" WHEN ((round_pipeline_clock = "0") or (round_pipeline_clock = "none"))
ELSE "0001" WHEN (round_pipeline_clock = "1")
ELSE "0010" WHEN (round_pipeline_clock = "2")
ELSE "0011" WHEN (round_pipeline_clock = "3")
ELSE "0000" ;
round_aclrval_pip <= "0000" WHEN ((round_pipeline_clear = "0") or (round_pipeline_clear = "none"))
ELSE "0001" WHEN (round_pipeline_clear = "1")
ELSE "0010" WHEN (round_pipeline_clear = "2")
ELSE "0011" WHEN (round_pipeline_clear = "3")
ELSE "0000" ;
round_clk_pip <= '1' WHEN clk(conv_integer(round_clkval_pip)) = '1' ELSE '0';
round_aclr_pip <= '1' WHEN (aclr(conv_integer(round_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
round_sload_pip <= '1' WHEN ena(conv_integer(round_clkval_pip)) = '1' ELSE '0';
round_bypass_register_pip <= '1' WHEN (round_pipeline_clock = "none") ELSE '0';
round_pipeline_register : arriaiigz_mac_bit_register
PORT MAP (
datain => round_in_reg,
clk => round_clk_pip,
aclr => round_aclr_pip,
sload => round_sload_pip,
bypass_register => round_bypass_register_pip,
dataout => round_pip_reg
);
--Instantiate the saturate pipeline Register
saturate_clkval_pip <= "0000" WHEN ((saturate_pipeline_clock = "0") or (saturate_pipeline_clock = "none"))
ELSE "0001" WHEN (saturate_pipeline_clock = "1")
ELSE "0010" WHEN (saturate_pipeline_clock = "2")
ELSE "0011" WHEN (saturate_pipeline_clock = "3")
ELSE "0000" ;
saturate_aclrval_pip <= "0000" WHEN ((saturate_pipeline_clear = "0") or (saturate_pipeline_clear = "none"))
ELSE "0001" WHEN (saturate_pipeline_clear = "1")
ELSE "0010" WHEN (saturate_pipeline_clear = "2")
ELSE "0011" WHEN (saturate_pipeline_clear = "3")
ELSE "0000" ;
saturate_clk_pip <= '1' WHEN clk(conv_integer(saturate_clkval_pip)) = '1' ELSE '0';
saturate_aclr_pip <= '1' WHEN (aclr(conv_integer(saturate_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
saturate_sload_pip <= '1' WHEN ena(conv_integer(saturate_clkval_pip)) = '1' ELSE '0';
saturate_bypass_register_pip <= '1' WHEN (saturate_pipeline_clock = "none") ELSE '0';
saturate_pipeline_register : arriaiigz_mac_bit_register
PORT MAP (
datain => saturate_in_reg,
clk => saturate_clk_pip,
aclr => saturate_aclr_pip,
sload => saturate_sload_pip,
bypass_register => saturate_bypass_register_pip,
dataout => saturate_pip_reg
);
--Instantiate the roundchainout pipeline Register
roundchainout_clkval_pip <= "0000" WHEN ((roundchainout_pipeline_clock = "0") or (roundchainout_pipeline_clock = "none"))
ELSE "0001" WHEN (roundchainout_pipeline_clock = "1")
ELSE "0010" WHEN (roundchainout_pipeline_clock = "2")
ELSE "0011" WHEN (roundchainout_pipeline_clock = "3")
ELSE "0000" ;
roundchainout_aclrval_pip <= "0000" WHEN ((roundchainout_pipeline_clear = "0") or (roundchainout_pipeline_clear = "none"))
ELSE "0001" WHEN (roundchainout_pipeline_clear = "1")
ELSE "0010" WHEN (roundchainout_pipeline_clear = "2")
ELSE "0011" WHEN (roundchainout_pipeline_clear = "3")
ELSE "0000" ;
roundchainout_clk_pip <= '1' WHEN clk(conv_integer(roundchainout_clkval_pip)) = '1' ELSE '0';
roundchainout_aclr_pip <= '1' WHEN (aclr(conv_integer(roundchainout_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
roundchainout_sload_pip <= '1' WHEN ena(conv_integer(roundchainout_clkval_pip)) = '1' ELSE '0';
roundchainout_bypass_register_pip <= '1' WHEN (roundchainout_pipeline_clock = "none") ELSE '0';
roundchainout_pipeline_register : arriaiigz_mac_bit_register
PORT MAP (
datain => roundchainout_in_reg,
clk => roundchainout_clk_pip,
aclr => roundchainout_aclr_pip,
sload => roundchainout_sload_pip,
bypass_register => roundchainout_bypass_register_pip,
dataout => roundchainout_pip_reg
);
--Instantiate the saturatechainout pipeline Register
saturatechainout_clkval_pip <= "0000" WHEN ((saturatechainout_pipeline_clock = "0") or (saturatechainout_pipeline_clock = "none"))
ELSE "0001" WHEN (saturatechainout_pipeline_clock = "1")
ELSE "0010" WHEN (saturatechainout_pipeline_clock = "2")
ELSE "0011" WHEN (saturatechainout_pipeline_clock = "3")
ELSE "0000" ;
saturatechainout_aclrval_pip <= "0000" WHEN ((saturatechainout_pipeline_clear = "0") or (saturatechainout_pipeline_clear = "none"))
ELSE "0001" WHEN (saturatechainout_pipeline_clear = "1")
ELSE "0010" WHEN (saturatechainout_pipeline_clear = "2")
ELSE "0011" WHEN (saturatechainout_pipeline_clear = "3")
ELSE "0000" ;
saturatechainout_clk_pip <= '1' WHEN clk(conv_integer(saturatechainout_clkval_pip)) = '1' ELSE '0';
saturatechainout_aclr_pip <= '1' WHEN (aclr(conv_integer(saturatechainout_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
saturatechainout_sload_pip <= '1' WHEN ena(conv_integer(saturatechainout_clkval_pip)) = '1' ELSE '0';
saturatechainout_bypass_register_pip <= '1' WHEN (saturatechainout_pipeline_clock = "none") ELSE '0';
saturatechainout_pipeline_register : arriaiigz_mac_bit_register
PORT MAP (
datain => saturatechainout_in_reg,
clk => saturatechainout_clk_pip,
aclr => saturatechainout_aclr_pip,
sload => saturatechainout_sload_pip,
bypass_register => saturatechainout_bypass_register_pip,
dataout => saturatechainout_pip_reg
);
-- Instantiate fsa0 dataout pipline register
fsa_pip_datain1 <= dataa_fsa_in WHEN (operation_mode = "output_only") ELSE dataout_fsa0;
fsa0_clkval_pip <= "0000" WHEN ((first_adder0_clock = "0") or (first_adder0_clock = "none"))
ELSE "0001" WHEN (first_adder0_clock = "1")
ELSE "0010" WHEN (first_adder0_clock = "2")
ELSE "0011" WHEN (first_adder0_clock = "3")
ELSE "0000" ;
fsa0_aclrval_pip <= "0000" WHEN ((first_adder0_clear = "0") or (first_adder0_clear = "none"))
ELSE "0001" WHEN (first_adder0_clear = "1")
ELSE "0010" WHEN (first_adder0_clear = "2")
ELSE "0011" WHEN (first_adder0_clear = "3")
ELSE "0000" ;
fsa0_clk_pip <= '1' WHEN clk(conv_integer(fsa0_clkval_pip)) = '1' ELSE '0';
fsa0_aclr_pip <= '1' WHEN (aclr(conv_integer(fsa0_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
fsa0_sload_pip <= '1' WHEN ena(conv_integer(fsa0_clkval_pip)) = '1' ELSE '0';
fsa0_bypass_register_pip <= '1' WHEN (first_adder0_clock = "none") ELSE '0';
fsa0_pipeline_register : arriaiigz_mac_register
GENERIC MAP (
data_width => 72
)
PORT MAP (
datain => fsa_pip_datain1,
clk => fsa0_clk_pip,
aclr => fsa0_aclr_pip,
sload => fsa0_sload_pip,
bypass_register => fsa0_bypass_register_pip,
dataout => fsa0_pip_reg
);
-- Instantiate fsa1 dataout pipline register
fsa1_clkval_pip <= "0000" WHEN ((first_adder1_clock = "0") or (first_adder1_clock = "none"))
ELSE "0001" WHEN (first_adder1_clock = "1")
ELSE "0010" WHEN (first_adder1_clock = "2")
ELSE "0011" WHEN (first_adder1_clock = "3")
ELSE "0000" ;
fsa1_aclrval_pip <= "0000" WHEN ((first_adder1_clear = "0") or (first_adder1_clear = "none"))
ELSE "0001" WHEN (first_adder1_clear = "1")
ELSE "0010" WHEN (first_adder1_clear = "2")
ELSE "0011" WHEN (first_adder1_clear = "3")
ELSE "0000" ;
fsa1_clk_pip <= '1' WHEN clk(conv_integer(fsa1_clkval_pip)) = '1' ELSE '0';
fsa1_aclr_pip <= '1' WHEN (aclr(conv_integer(fsa1_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
fsa1_sload_pip <= '1' WHEN ena(conv_integer(fsa1_clkval_pip)) = '1' ELSE '0';
fsa1_bypass_register_pip <= '1' WHEN (first_adder1_clock = "none") ELSE '0';
fsa1_pipeline_register : arriaiigz_mac_register
GENERIC MAP (
data_width => 72
)
PORT MAP (
datain => dataout_fsa1,
clk => fsa1_clk_pip,
aclr => fsa1_aclr_pip,
sload => fsa1_sload_pip,
bypass_register => fsa1_bypass_register_pip,
dataout => fsa1_pip_reg
);
--Instantiate the second level adder/accumulator block
ssa_accum_in <= rs_dataout_out_reg WHEN (NOT zeroacc_pip_reg) = '1' ELSE (others => '0');
ssa_sign <= signa_pip_reg OR signb_pip_reg ;
ssa_unit : arriaiigz_second_stage_add_accum
GENERIC MAP (
dataa_width => dataa_width + 1,
datab_width => datac_width + 1,
ssa_mode => acc_adder_operation
)
PORT MAP (
dataa => fsa0_pip_reg,
datab => fsa1_pip_reg,
accumin => ssa_accum_in,
sign => ssa_sign,
operation => operation,
dataout => ssa_dataout,
overflow => ssa_overflow
);
-- Instantiate round and saturation block
rs_datain <= fsa0_pip_reg when ((operation_mode = "output_only") or (operation_mode = "one_level_adder")or(operation_mode = "loopback"))
ELSE ssa_dataout ;
ssa_datain_width <= CONV_STD_LOGIC_VECTOR(dataa_width + 8,8) when ((operation_mode = "accumulator") or(operation_mode = "accumulator_chain_out") or(operation_mode = "two_level_adder_chain_out"))
ELSE CONV_STD_LOGIC_VECTOR(dataa_width +2,8) when(operation_mode = "two_level_adder")
ELSE CONV_STD_LOGIC_VECTOR(dataa_width + datab_width,8) when ((operation_mode = "shift" ) or (operation_mode = "36_bit_multiply" ))
ELSE CONV_STD_LOGIC_VECTOR(dataa_width + 8,8) when ((operation_mode = "double" ))
ELSE CONV_STD_LOGIC_VECTOR(dataa_width,8);
rs_block : arriaiigz_round_saturate_block
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width,
operation_mode => operation_mode,
round_mode => round_mode,
saturate_mode => saturate_mode,
saturate_width => saturate_width,
round_width => round_width
)
PORT MAP (
datain => rs_datain,
round => round_pip_reg,
saturate => saturate_pip_reg,
signa => signa_pip_reg,
signb => signb_pip_reg,
datain_width => ssa_datain_width,
dataout => rs_dataout,
saturationoverflow => rs_saturation_overflow
);
--Instantiate the zeroloopback output Register
zeroloopback_clkval_or <= "0000" WHEN ((zeroloopback_output_clock = "0") or (zeroloopback_output_clock = "none"))
ELSE "0001" WHEN (zeroloopback_output_clock = "1")
ELSE "0010" WHEN (zeroloopback_output_clock = "2")
ELSE "0011" WHEN (zeroloopback_output_clock = "3")
ELSE "0000" ;
zeroloopback_aclrval_or <= "0000" WHEN ((zeroloopback_output_clear = "0") or (zeroloopback_output_clear = "none"))
ELSE "0001" WHEN (zeroloopback_output_clear = "1")
ELSE "0010" WHEN (zeroloopback_output_clear = "2")
ELSE "0011" WHEN (zeroloopback_output_clear = "3")
ELSE "0000" ;
zeroloopback_clk_or <= '1' WHEN clk(conv_integer(zeroloopback_clkval_or)) = '1' ELSE '0';
zeroloopback_aclr_or <= '1' WHEN (aclr(conv_integer(zeroloopback_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zeroloopback_sload_or <= '1' WHEN ena(conv_integer(zeroloopback_clkval_or)) = '1' ELSE '0';
zeroloopback_bypass_register_or <= '1' WHEN (zeroloopback_output_clock = "none") ELSE '0';
zeroloopback_output_register : arriaiigz_mac_bit_register
PORT MAP (
datain => zeroloopback_pip_reg,
clk => zeroloopback_clk_or,
aclr => zeroloopback_aclr_or,
sload => zeroloopback_sload_or,
bypass_register => zeroloopback_bypass_register_or,
dataout => zeroloopback_out_reg
);
--Instantiate the zerochainout output Register
zerochainout_clkval_or <= "0000" WHEN ((zerochainout_output_clock = "0") or (zerochainout_output_clock = "none"))
ELSE "0001" WHEN (zerochainout_output_clock = "1")
ELSE "0010" WHEN (zerochainout_output_clock = "2")
ELSE "0011" WHEN (zerochainout_output_clock = "3")
ELSE "0000" ;
zerochainout_aclrval_or <= "0000" WHEN ((zerochainout_output_clear = "0") or (zerochainout_output_clear = "none"))
ELSE "0001" WHEN (zerochainout_output_clear = "1")
ELSE "0010" WHEN (zerochainout_output_clear = "2")
ELSE "0011" WHEN (zerochainout_output_clear = "3")
ELSE "0000" ;
zerochainout_clk_or <= '1' WHEN clk(conv_integer(zerochainout_clkval_or)) = '1' ELSE '0';
zerochainout_aclr_or <= '1' WHEN (aclr(conv_integer(zerochainout_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zerochainout_sload_or <= '1' WHEN ena(conv_integer(zerochainout_clkval_or)) = '1' ELSE '0';
zerochainout_bypass_register_or <= '1' WHEN (zerochainout_output_clock = "none") ELSE '0';
zerochainout_output_register : arriaiigz_mac_bit_register
PORT MAP (
datain => zerochainout,
clk => zerochainout_clk_or,
aclr => zerochainout_aclr_or,
sload => zerochainout_sload_or,
bypass_register => zerochainout_bypass_register_or,
dataout => zerochainout_out_reg
);
-- Instantiate Round_Saturate dataout output register
rs_dataout_clkval_or_co <= "0000" WHEN ((second_adder_clock = "0") or (second_adder_clock = "none"))
ELSE "0001" WHEN (second_adder_clock = "1")
ELSE "0010" WHEN (second_adder_clock = "2")
ELSE "0011" WHEN (second_adder_clock = "3")
ELSE "0000" ;
rs_dataout_aclrval_or_co <= "0000" WHEN ((second_adder_clear = "0") or (second_adder_clear = "none"))
ELSE "0001" WHEN (second_adder_clear = "1")
ELSE "0010" WHEN (second_adder_clear = "2")
ELSE "0011" WHEN (second_adder_clear = "3")
ELSE "0000" ;
rs_dataout_clkval_or_o <= "0000" WHEN ((output_clock = "0") or (output_clock = "none"))
ELSE "0001" WHEN (output_clock = "1")
ELSE "0010" WHEN (output_clock = "2")
ELSE "0011" WHEN (output_clock = "3")
ELSE "0000" ;
rs_dataout_aclrval_or_o <= "0000" WHEN ((output_clear = "0") or (output_clear = "none"))
ELSE "0001" WHEN (output_clear = "1")
ELSE "0010" WHEN (output_clear = "2")
ELSE "0011" WHEN (output_clear = "3")
ELSE "0000" ;
rs_dataout_aclrval_or <= rs_dataout_aclrval_or_co WHEN ((operation_mode = "two_level_adder_chain_out") or (operation_mode = "accumulator_chain_out" ))
ELSE rs_dataout_aclrval_or_o;
rs_dataout_clkval_or <= rs_dataout_clkval_or_co WHEN ((operation_mode = "two_level_adder_chain_out") or (operation_mode = "accumulator_chain_out" ))
ELSE rs_dataout_clkval_or_o;
rs_dataout_bypass_register_or_co <= '1' WHEN (second_adder_clock = "none") ELSE '0';
rs_dataout_bypass_register_or_o <= '1' WHEN (output_clock = "none") ELSE '0';
rs_dataout_clk_or <= '1' WHEN clk(conv_integer(rs_dataout_clkval_or)) = '1' ELSE '0';
rs_dataout_aclr_or <= '1' WHEN (aclr(conv_integer(rs_dataout_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
rs_dataout_sload_or <= '1' WHEN ena(conv_integer(rs_dataout_clkval_or)) = '1' ELSE '0';
rs_dataout_bypass_register_or <= rs_dataout_bypass_register_or_co WHEN ((operation_mode = "two_level_adder_chain_out") or (operation_mode = "accumulator_chain_out" ))
ELSE rs_dataout_bypass_register_or_o;
rs_dataout_in <= ssa_dataout WHEN ((operation_mode = "36_bit_multiply") OR (operation_mode = "shift")) ELSE rs_dataout_of;
rs_dataout_output_register : arriaiigz_mac_register
GENERIC MAP (
data_width => 72
)
PORT MAP (
datain => rs_dataout_in,
clk => rs_dataout_clk_or,
aclr => rs_dataout_aclr_or,
sload => rs_dataout_sload_or,
bypass_register => rs_dataout_bypass_register_or,
dataout => rs_dataout_out_reg
);
-- Instantiate Round_Saturate saturation_overflow output register
rs_saturation_overflow_in <= rs_saturation_overflow WHEN (saturate_pip_reg = '1') ELSE ssa_overflow;
rs_saturation_overflow_output_register : arriaiigz_mac_bit_register
PORT MAP (
datain => rs_saturation_overflow_in,
clk => rs_dataout_clk_or,
aclr => rs_dataout_aclr_or,
sload => rs_dataout_sload_or,
bypass_register => rs_dataout_bypass_register_or,
dataout => rs_saturation_overflow_out_reg
);
--Instantiate the rotate output Register
rotate_clkval_or <= "0000" WHEN ((rotate_output_clock = "0") or (rotate_output_clock = "none"))
ELSE "0001" WHEN (rotate_output_clock = "1")
ELSE "0010" WHEN (rotate_output_clock = "2")
ELSE "0011" WHEN (rotate_output_clock = "3")
ELSE "0000" ;
rotate_aclrval_or <= "0000" WHEN ((rotate_output_clear = "0") or (rotate_output_clear = "none"))
ELSE "0001" WHEN (rotate_output_clear = "1")
ELSE "0010" WHEN (rotate_output_clear = "2")
ELSE "0011" WHEN (rotate_output_clear = "3")
ELSE "0000" ;
rotate_clk_or <= '1' WHEN clk(conv_integer(rotate_clkval_or)) = '1' ELSE '0';
rotate_aclr_or <= '1' WHEN (aclr(conv_integer(rotate_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
rotate_sload_or <= '1' WHEN ena(conv_integer(rotate_clkval_or)) = '1' ELSE '0';
rotate_bypass_register_or <= '1' WHEN (rotate_output_clock = "none") ELSE '0';
rotate_output_register : arriaiigz_mac_bit_register
PORT MAP (
datain => rotate_pip_reg,
clk => rotate_clk_or,
aclr => rotate_aclr_or,
sload => rotate_sload_or,
bypass_register => rotate_bypass_register_or,
dataout => rotate_out_reg
);
--Instantiate the shiftright output Register
shiftright_output_register : arriaiigz_mac_bit_register
PORT MAP (
datain => shiftright_pip_reg,
clk => shiftright_clk_or,
aclr => shiftright_aclr_or,
sload => shiftright_sload_or,
bypass_register => shiftright_bypass_register_or,
dataout => shiftright_out_reg
);
shiftright_clkval_or <= "0000" WHEN ((shiftright_output_clock = "0") or (shiftright_output_clock = "none"))
ELSE "0001" WHEN (shiftright_output_clock = "1")
ELSE "0010" WHEN (shiftright_output_clock = "2")
ELSE "0011" WHEN (shiftright_output_clock = "3")
ELSE "0000" ;
shiftright_aclrval_or <= "0000" WHEN ((shiftright_output_clear = "0") or (shiftright_output_clear = "none"))
ELSE "0001" WHEN (shiftright_output_clear = "1")
ELSE "0010" WHEN (shiftright_output_clear = "2")
ELSE "0011" WHEN (shiftright_output_clear = "3")
ELSE "0000" ;
shiftright_clk_or <= '1' WHEN clk(conv_integer(shiftright_clkval_or)) = '1' ELSE '0';
shiftright_aclr_or <= '1' WHEN (aclr(conv_integer(shiftright_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
shiftright_sload_or <= '1' WHEN ena(conv_integer(shiftright_clkval_or)) = '1' ELSE '0';
shiftright_bypass_register_or <= '1' WHEN (shiftright_output_clock = "none") ELSE '0';
--Instantiate the roundchainout output Register
roundchainout_clkval_or <= "0000" WHEN ((roundchainout_output_clock = "0") or (roundchainout_output_clock = "none"))
ELSE "0001" WHEN (roundchainout_output_clock = "1")
ELSE "0010" WHEN (roundchainout_output_clock = "2")
ELSE "0011" WHEN (roundchainout_output_clock = "3")
ELSE "0000" ;
roundchainout_aclrval_or <= "0000" WHEN ((roundchainout_output_clear = "0") or (roundchainout_output_clear = "none"))
ELSE "0001" WHEN (roundchainout_output_clear = "1")
ELSE "0010" WHEN (roundchainout_output_clear = "2")
ELSE "0011" WHEN (roundchainout_output_clear = "3")
ELSE "0000" ;
roundchainout_clk_or <= '1' WHEN clk(conv_integer(roundchainout_clkval_or)) = '1' ELSE '0';
roundchainout_aclr_or <= '1' WHEN (aclr(conv_integer(roundchainout_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
roundchainout_sload_or <= '1' WHEN ena(conv_integer(roundchainout_clkval_or)) = '1' ELSE '0';
roundchainout_bypass_register_or <= '1' WHEN (roundchainout_output_clock = "none") ELSE '0';
roundchainout_output_register : arriaiigz_mac_bit_register
PORT MAP (
datain => roundchainout_pip_reg,
clk => roundchainout_clk_or,
aclr => roundchainout_aclr_or,
sload => roundchainout_sload_or,
bypass_register => roundchainout_bypass_register_or,
dataout => roundchainout_out_reg
);
--Instantiate the saturatechainout output Register
saturatechainout_clkval_or <= "0000" WHEN ((saturatechainout_output_clock = "0") or (saturatechainout_output_clock = "none"))
ELSE "0001" WHEN (saturatechainout_output_clock = "1")
ELSE "0010" WHEN (saturatechainout_output_clock = "2")
ELSE "0011" WHEN (saturatechainout_output_clock = "3")
ELSE "0000" ;
saturatechainout_aclrval_or <= "0000" WHEN ((saturatechainout_output_clear = "0") or (saturatechainout_output_clear = "none"))
ELSE "0001" WHEN (saturatechainout_output_clear = "1")
ELSE "0010" WHEN (saturatechainout_output_clear = "2")
ELSE "0011" WHEN (saturatechainout_output_clear = "3")
ELSE "0000" ;
saturatechainout_clk_or <= '1' WHEN clk(conv_integer(saturatechainout_clkval_or)) = '1' ELSE '0';
saturatechainout_aclr_or <= '1' WHEN (aclr(conv_integer(saturatechainout_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
saturatechainout_sload_or <= '1' WHEN ena(conv_integer(saturatechainout_clkval_or)) = '1' ELSE '0';
saturatechainout_bypass_register_or <= '1' WHEN (saturatechainout_output_clock = "none") ELSE '0';
saturatechainout_output_register : arriaiigz_mac_bit_register
PORT MAP (
datain => saturatechainout_pip_reg,
clk => saturatechainout_clk_or,
aclr => saturatechainout_aclr_or,
sload => saturatechainout_sload_or,
bypass_register => saturatechainout_bypass_register_or,
dataout => saturatechainout_out_reg
);
--Instantiate the Carry chainout Adder
chainout_adder : arriaiigz_carry_chain_adder
PORT MAP (
dataa => rs_dataout_out_reg,
datab => chainin_coa_in,
dataout => coa_dataout
);
--Instantiate the carry chainout adder RS Block
coa_rs_block : arriaiigz_round_saturate_block
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width,
operation_mode => operation_mode,
round_mode => round_chain_out_mode,
saturate_mode => saturate_chain_out_mode,
saturate_width => saturate_chain_out_width,
round_width => round_chain_out_width
)
PORT MAP (
datain => coa_dataout,
round => roundchainout_out_reg,
saturate => saturatechainout_out_reg,
signa => signa_pip_reg,
signb => signb_pip_reg,
datain_width => ssa_datain_width,
dataout => coa_rs_dataout,
saturationoverflow => coa_rs_saturation_overflow
);
--Instantiate the rs_saturation_overflow output register (after COA)
coa_reg_clkval_or <= "0000" WHEN ((output_clock = "0") or (output_clock = "none"))
ELSE "0001" WHEN (output_clock = "1")
ELSE "0010" WHEN (output_clock = "2")
ELSE "0011" WHEN (output_clock = "3")
ELSE "0000" ;
coa_reg_aclrval_or <= "0000" WHEN ((output_clear = "0") or (output_clear = "none"))
ELSE "0001" WHEN (output_clear = "1")
ELSE "0010" WHEN (output_clear = "2")
ELSE "0011" WHEN (output_clear = "3")
ELSE "0000" ;
coa_reg_clk_or <= '1' WHEN clk(conv_integer(coa_reg_clkval_or)) = '1' ELSE '0';
coa_reg_aclr_or <= '1' WHEN (aclr(conv_integer(coa_reg_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
coa_reg_sload_or <= '1' WHEN ena(conv_integer(coa_reg_clkval_or)) = '1' ELSE '0';
coa_reg_bypass_register_or <= '1' WHEN (output_clock = "none") ELSE '0';
coa_rs_saturation_overflow_register : arriaiigz_mac_bit_register
PORT MAP (
datain => rs_saturation_overflow_out_reg,
clk => coa_reg_clk_or,
aclr => coa_reg_aclr_or,
sload => coa_reg_sload_or,
bypass_register => '1',
dataout => coa_rs_saturation_overflow_out_reg
);
--Instantiate the rs_saturationchainout_overflow output register
coa_rs_saturationchainout_overflow_register : arriaiigz_mac_bit_register
PORT MAP (
datain => coa_rs_saturation_overflow,
clk => coa_reg_clk_or,
aclr => coa_reg_aclr_or,
sload => coa_reg_sload_or,
bypass_register => coa_reg_bypass_register_or,
dataout => coa_rs_saturationchainout_overflow_out_reg
);
-- Instantiate the coa_rs_dataout output register
coa_rs_dataout_register : arriaiigz_mac_register
GENERIC MAP (
data_width => 72
)
PORT MAP (
datain => coa_rs_dataout,
clk => coa_reg_clk_or,
aclr => coa_reg_aclr_or,
sload => coa_reg_sload_or,
bypass_register => coa_reg_bypass_register_or,
dataout => coa_rs_dataout_out_reg
);
--Instantiate the shift/Rotate Unit
shift_rot_unit : arriaiigz_rotate_shift_block
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width
)
PORT MAP (
datain => rs_dataout_out_reg,
rotate => rotate_out_reg,
shiftright => shiftright_out_reg,
signa => signa_pip_reg,
signb => signb_pip_reg,
dataout => dataout_shift_rot
);
--Assign the dataout depENDing on the mode of operation
dataout_tmp <= coa_rs_dataout_out_reg when((operation_mode = "accumulator_chain_out")or(operation_mode = "two_level_adder_chain_out"))
ELSE dataout_shift_rot when (operation_mode = "shift")
ELSE rs_dataout_out_reg;
--Assign the loopbackout for loopback mode
loopbackout_tmp <= rs_dataout_out_reg when((operation_mode = "loopback") and (zeroloopback_out_reg = '0'))
ELSE (others => '0');
--Assign the saturation overflow output
saturation_overflow_tmp <= rs_saturation_overflow_out_reg when((operation_mode = "accumulator") or(operation_mode = "two_level_adder"))
ELSE coa_rs_saturation_overflow_out_reg when((operation_mode = "accumulator_chain_out")or(operation_mode = "two_level_adder_chain_out"))
ELSE '0';
--Assign the saturationchainout overflow output
saturationchainout_overflow_tmp <= coa_rs_saturationchainout_overflow_out_reg when((operation_mode = "accumulator_chain_out") or(operation_mode = "two_level_adder_chain_out"))
ELSE '0';
dataout <= (others => '0') WHEN (((operation_mode = "accumulator_chain_out")or(operation_mode = "two_level_adder_chain_out")) and (zerochainout_out_reg = '1'))
ELSE dataout_tmp;
loopbackout <= loopbackout_tmp(35 downto 18);
overflow <= saturation_overflow_tmp;
saturatechainoutoverflow <= saturationchainout_overflow_tmp;
END arch;
----------------------------------------------------------------------------
-- Module Name : arriaiigz_io_pad
-- Description : Simulation model for arriaiigz IO pad
----------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
ENTITY arriaiigz_io_pad IS
GENERIC (
lpm_type : string := "arriaiigz_io_pad");
PORT (
--INPUT PORTS
padin : IN std_logic := '0'; -- Input Pad
--OUTPUT PORTS
padout : OUT std_logic); -- Output Pad
END arriaiigz_io_pad;
ARCHITECTURE arch OF arriaiigz_io_pad IS
BEGIN
padout <= padin;
END arch;
--///////////////////////////////////////////////////////////////////////////
--
-- Entity Name : arriaiigz_mn_cntr
--
-- Description : Timing simulation model for the M and N counter. This is a
-- common model for the input counter and the loop feedback
-- counter of the ARRIAIIGZ PLL.
--
--///////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
ENTITY arriaiigz_mn_cntr is
PORT( clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial_value : IN integer := 1;
modulus : IN integer := 1;
time_delay : IN integer := 0
);
END arriaiigz_mn_cntr;
ARCHITECTURE behave of arriaiigz_mn_cntr is
begin
process (clk, reset)
variable count : integer := 1;
variable first_rising_edge : boolean := true;
variable tmp_cout : std_logic;
begin
if (reset = '1') then
count := 1;
tmp_cout := '0';
first_rising_edge := true;
elsif (clk'event) then
if (clk = '1' and first_rising_edge) then
first_rising_edge := false;
tmp_cout := clk;
elsif (not first_rising_edge) then
if (count < modulus) then
count := count + 1;
else
count := 1;
tmp_cout := not tmp_cout;
end if;
end if;
end if;
cout <= transport tmp_cout after time_delay * 1 ps;
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : arriaiigz_scale_cntr
--
-- Description : Timing simulation model for the output scale-down counters.
-- This is a common model for the C0, C1, C2, C3, C4 and C5
-- output counters of the ARRIAIIGZ PLL.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
ENTITY arriaiigz_scale_cntr is
PORT( clk : IN std_logic;
reset : IN std_logic := '0';
initial : IN integer := 1;
high : IN integer := 1;
low : IN integer := 1;
mode : IN string := "bypass";
ph_tap : IN integer := 0;
cout : OUT std_logic
);
END arriaiigz_scale_cntr;
ARCHITECTURE behave of arriaiigz_scale_cntr is
begin
process (clk, reset)
variable tmp_cout : std_logic := '0';
variable count : integer := 1;
variable output_shift_count : integer := 1;
variable first_rising_edge : boolean := false;
begin
if (reset = '1') then
count := 1;
output_shift_count := 1;
tmp_cout := '0';
first_rising_edge := false;
elsif (clk'event) then
if (mode = " off") then
tmp_cout := '0';
elsif (mode = "bypass") then
tmp_cout := clk;
first_rising_edge := true;
elsif (not first_rising_edge) then
if (clk = '1') then
if (output_shift_count = initial) then
tmp_cout := clk;
first_rising_edge := true;
else
output_shift_count := output_shift_count + 1;
end if;
end if;
elsif (output_shift_count < initial) then
if (clk = '1') then
output_shift_count := output_shift_count + 1;
end if;
else
count := count + 1;
if (mode = " even" and (count = (high*2) + 1)) then
tmp_cout := '0';
elsif (mode = " odd" and (count = high*2)) then
tmp_cout := '0';
elsif (count = (high + low)*2 + 1) then
tmp_cout := '1';
count := 1; -- reset count
end if;
end if;
end if;
cout <= transport tmp_cout;
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : arriaiigz_pll_reg
--
-- Description : Simulation model for a simple DFF.
-- This is required for the generation of the bit slip-signals.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
ENTITY arriaiigz_pll_reg is
PORT( clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
end arriaiigz_pll_reg;
ARCHITECTURE behave of arriaiigz_pll_reg is
begin
process (clk, prn, clrn)
variable q_reg : std_logic := '0';
begin
if (prn = '0') then
q_reg := '1';
elsif (clrn = '0') then
q_reg := '0';
elsif (clk'event and clk = '1' and (ena = '1')) then
q_reg := D;
end if;
Q <= q_reg;
end process;
end behave;
--///////////////////////////////////////////////////////////////////////////
--
-- Entity Name : arriaiigz_pll
--
-- Description : Timing simulation model for the ARRIAIIGZ PLL.
-- In the functional mode, it is also the model for the altpll
-- megafunction.
--
-- Limitations : Does not support Spread Spectrum and Bandwidth.
--
-- Outputs : Up to 10 output clocks, each defined by its own set of
-- parameters. Locked output (active high) indicates when the
-- PLL locks. clkbad and activeclock are used for
-- clock switchover to indicate which input clock has gone
-- bad, when the clock switchover initiates and which input
-- clock is being used as the reference, respectively.
-- scandataout is the data output of the serial scan chain.
--
--///////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE STD.TEXTIO.all;
USE work.arriaiigz_atom_pack.all;
USE work.arriaiigz_pllpack.all;
USE work.arriaiigz_mn_cntr;
USE work.arriaiigz_scale_cntr;
USE work.arriaiigz_dffe;
USE work.arriaiigz_pll_reg;
-- New Features : The list below outlines key new features in ARRIAIIGZ:
-- 1. Dynamic Phase Reconfiguration
-- 2. Dynamic PLL Reconfiguration (different protocol)
-- 3. More output counters
ENTITY arriaiigz_pll is
GENERIC (
operation_mode : string := "normal";
pll_type : string := "auto"; -- AUTO/FAST/ENHANCED/LEFT_RIGHT/TOP_BOTTOM
compensate_clock : string := "clock0";
inclk0_input_frequency : integer := 0;
inclk1_input_frequency : integer := 0;
self_reset_on_loss_lock : string := "off";
switch_over_type : string := "auto";
switch_over_counter : integer := 1;
enable_switch_over_counter : string := "off";
dpa_multiply_by : integer := 0;
dpa_divide_by : integer := 0;
dpa_divider : integer := 0;
bandwidth : integer := 0;
bandwidth_type : string := "auto";
use_dc_coupling : string := "false";
lock_c : integer := 4;
sim_gate_lock_device_behavior : string := "off";
lock_high : integer := 0;
lock_low : integer := 0;
lock_window_ui : string := "0.05";
lock_window : time := 5 ps;
test_bypass_lock_detect : string := "off";
clk0_output_frequency : integer := 0;
clk0_multiply_by : integer := 0;
clk0_divide_by : integer := 0;
clk0_phase_shift : string := "0";
clk0_duty_cycle : integer := 50;
clk1_output_frequency : integer := 0;
clk1_multiply_by : integer := 0;
clk1_divide_by : integer := 0;
clk1_phase_shift : string := "0";
clk1_duty_cycle : integer := 50;
clk2_output_frequency : integer := 0;
clk2_multiply_by : integer := 0;
clk2_divide_by : integer := 0;
clk2_phase_shift : string := "0";
clk2_duty_cycle : integer := 50;
clk3_output_frequency : integer := 0;
clk3_multiply_by : integer := 0;
clk3_divide_by : integer := 0;
clk3_phase_shift : string := "0";
clk3_duty_cycle : integer := 50;
clk4_output_frequency : integer := 0;
clk4_multiply_by : integer := 0;
clk4_divide_by : integer := 0;
clk4_phase_shift : string := "0";
clk4_duty_cycle : integer := 50;
clk5_output_frequency : integer := 0;
clk5_multiply_by : integer := 0;
clk5_divide_by : integer := 0;
clk5_phase_shift : string := "0";
clk5_duty_cycle : integer := 50;
clk6_output_frequency : integer := 0;
clk6_multiply_by : integer := 0;
clk6_divide_by : integer := 0;
clk6_phase_shift : string := "0";
clk6_duty_cycle : integer := 50;
clk7_output_frequency : integer := 0;
clk7_multiply_by : integer := 0;
clk7_divide_by : integer := 0;
clk7_phase_shift : string := "0";
clk7_duty_cycle : integer := 50;
clk8_output_frequency : integer := 0;
clk8_multiply_by : integer := 0;
clk8_divide_by : integer := 0;
clk8_phase_shift : string := "0";
clk8_duty_cycle : integer := 50;
clk9_output_frequency : integer := 0;
clk9_multiply_by : integer := 0;
clk9_divide_by : integer := 0;
clk9_phase_shift : string := "0";
clk9_duty_cycle : integer := 50;
pfd_min : integer := 0;
pfd_max : integer := 0;
vco_min : integer := 0;
vco_max : integer := 0;
vco_center : integer := 0;
-- ADVANCED USER PARAMETERS
m_initial : integer := 1;
m : integer := 0;
n : integer := 1;
c0_high : integer := 1;
c0_low : integer := 1;
c0_initial : integer := 1;
c0_mode : string := "bypass";
c0_ph : integer := 0;
c1_high : integer := 1;
c1_low : integer := 1;
c1_initial : integer := 1;
c1_mode : string := "bypass";
c1_ph : integer := 0;
c2_high : integer := 1;
c2_low : integer := 1;
c2_initial : integer := 1;
c2_mode : string := "bypass";
c2_ph : integer := 0;
c3_high : integer := 1;
c3_low : integer := 1;
c3_initial : integer := 1;
c3_mode : string := "bypass";
c3_ph : integer := 0;
c4_high : integer := 1;
c4_low : integer := 1;
c4_initial : integer := 1;
c4_mode : string := "bypass";
c4_ph : integer := 0;
c5_high : integer := 1;
c5_low : integer := 1;
c5_initial : integer := 1;
c5_mode : string := "bypass";
c5_ph : integer := 0;
c6_high : integer := 1;
c6_low : integer := 1;
c6_initial : integer := 1;
c6_mode : string := "bypass";
c6_ph : integer := 0;
c7_high : integer := 1;
c7_low : integer := 1;
c7_initial : integer := 1;
c7_mode : string := "bypass";
c7_ph : integer := 0;
c8_high : integer := 1;
c8_low : integer := 1;
c8_initial : integer := 1;
c8_mode : string := "bypass";
c8_ph : integer := 0;
c9_high : integer := 1;
c9_low : integer := 1;
c9_initial : integer := 1;
c9_mode : string := "bypass";
c9_ph : integer := 0;
m_ph : integer := 0;
clk0_counter : string := "unused";
clk1_counter : string := "unused";
clk2_counter : string := "unused";
clk3_counter : string := "unused";
clk4_counter : string := "unused";
clk5_counter : string := "unused";
clk6_counter : string := "unused";
clk7_counter : string := "unused";
clk8_counter : string := "unused";
clk9_counter : string := "unused";
c1_use_casc_in : string := "off";
c2_use_casc_in : string := "off";
c3_use_casc_in : string := "off";
c4_use_casc_in : string := "off";
c5_use_casc_in : string := "off";
c6_use_casc_in : string := "off";
c7_use_casc_in : string := "off";
c8_use_casc_in : string := "off";
c9_use_casc_in : string := "off";
m_test_source : integer := -1;
c0_test_source : integer := -1;
c1_test_source : integer := -1;
c2_test_source : integer := -1;
c3_test_source : integer := -1;
c4_test_source : integer := -1;
c5_test_source : integer := -1;
c6_test_source : integer := -1;
c7_test_source : integer := -1;
c8_test_source : integer := -1;
c9_test_source : integer := -1;
vco_multiply_by : integer := 0;
vco_divide_by : integer := 0;
vco_post_scale : integer := 1;
vco_frequency_control : string := "auto";
vco_phase_shift_step : integer := 0;
charge_pump_current : integer := 10;
loop_filter_r : string := " 1.0";
loop_filter_c : integer := 0;
pll_compensation_delay : integer := 0;
simulation_type : string := "functional";
lpm_type : string := "arriaiigz_pll";
clk0_use_even_counter_mode : string := "off";
clk1_use_even_counter_mode : string := "off";
clk2_use_even_counter_mode : string := "off";
clk3_use_even_counter_mode : string := "off";
clk4_use_even_counter_mode : string := "off";
clk5_use_even_counter_mode : string := "off";
clk6_use_even_counter_mode : string := "off";
clk7_use_even_counter_mode : string := "off";
clk8_use_even_counter_mode : string := "off";
clk9_use_even_counter_mode : string := "off";
clk0_use_even_counter_value : string := "off";
clk1_use_even_counter_value : string := "off";
clk2_use_even_counter_value : string := "off";
clk3_use_even_counter_value : string := "off";
clk4_use_even_counter_value : string := "off";
clk5_use_even_counter_value : string := "off";
clk6_use_even_counter_value : string := "off";
clk7_use_even_counter_value : string := "off";
clk8_use_even_counter_value : string := "off";
clk9_use_even_counter_value : string := "off";
-- Test only
init_block_reset_a_count : integer := 1;
init_block_reset_b_count : integer := 1;
charge_pump_current_bits : integer := 0;
lock_window_ui_bits : integer := 0;
loop_filter_c_bits : integer := 0;
loop_filter_r_bits : integer := 0;
test_counter_c0_delay_chain_bits : integer := 0;
test_counter_c1_delay_chain_bits : integer := 0;
test_counter_c2_delay_chain_bits : integer := 0;
test_counter_c3_delay_chain_bits : integer := 0;
test_counter_c4_delay_chain_bits : integer := 0;
test_counter_c5_delay_chain_bits : integer := 0;
test_counter_c6_delay_chain_bits : integer := 0;
test_counter_c7_delay_chain_bits : integer := 0;
test_counter_c8_delay_chain_bits : integer := 0;
test_counter_c9_delay_chain_bits : integer := 0;
test_counter_m_delay_chain_bits : integer := 0;
test_counter_n_delay_chain_bits : integer := 0;
test_feedback_comp_delay_chain_bits : integer := 0;
test_input_comp_delay_chain_bits : integer := 0;
test_volt_reg_output_mode_bits : integer := 0;
test_volt_reg_output_voltage_bits : integer := 0;
test_volt_reg_test_mode : string := "false";
vco_range_detector_high_bits : integer := -1;
vco_range_detector_low_bits : integer := -1;
scan_chain_mif_file : string := "";
dpa_output_clock_phase_shift : integer := 0;
test_counter_c3_sclk_delay_chain_bits : integer := -1;
test_counter_c4_sclk_delay_chain_bits : integer := -1;
test_counter_c5_lden_delay_chain_bits : integer := -1;
test_counter_c6_lden_delay_chain_bits : integer := -1;
auto_settings : string := "true";
-- Simulation only generics
family_name : string := "ARRIAIIGZ";
-- VITAL generics
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := true;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_pfdena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_fbin : VitalDelayType01 := DefPropDelay01;
tipd_scanclk : VitalDelayType01 := DefPropDelay01;
tipd_scanclkena : VitalDelayType01 := DefPropDelay01;
tipd_scandata : VitalDelayType01 := DefPropDelay01;
tipd_configupdate : VitalDelayType01 := DefPropDelay01;
tipd_clkswitch : VitalDelayType01 := DefPropDelay01;
tipd_phaseupdown : VitalDelayType01 := DefPropDelay01;
tipd_phasecounterselect : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_phasestep : VitalDelayType01 := DefPropDelay01;
tsetup_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
use_vco_bypass : string := "false"
);
PORT
(
inclk : in std_logic_vector(1 downto 0);
fbin : in std_logic := '0';
fbout : out std_logic;
clkswitch : in std_logic := '0';
areset : in std_logic := '0';
pfdena : in std_logic := '1';
scandata : in std_logic := '0';
scanclk : in std_logic := '0';
scanclkena : in std_logic := '1';
configupdate : in std_logic := '0';
clk : out std_logic_vector(9 downto 0);
phasecounterselect : in std_logic_vector(3 downto 0) := "0000";
phaseupdown : in std_logic := '0';
phasestep : in std_logic := '0';
clkbad : out std_logic_vector(1 downto 0);
activeclock : out std_logic;
locked : out std_logic;
scandataout : out std_logic;
scandone : out std_logic;
phasedone : out std_logic;
vcooverrange : out std_logic;
vcounderrange : out std_logic
);
END arriaiigz_pll;
ARCHITECTURE vital_pll of arriaiigz_pll is
function get_vco_min_no_division(i_vco_post_scale : INTEGER) return INTEGER is
begin
if (i_vco_post_scale = 1) then
return vco_min * 2;
else
return vco_min;
end if;
end;
function get_vco_max_no_division(i_vco_post_scale : INTEGER) return INTEGER is
begin
if (i_vco_post_scale = 1) then
return vco_max * 2;
else
return vco_max;
end if;
end;
TYPE int_array is ARRAY(NATURAL RANGE <>) of integer;
TYPE str_array is ARRAY(NATURAL RANGE <>) of string(1 to 6);
TYPE str_array1 is ARRAY(NATURAL RANGE <>) of string(1 to 9);
TYPE std_logic_array is ARRAY(NATURAL RANGE <>) of std_logic;
constant VCO_MIN_NO_DIVISION : integer := get_vco_min_no_division(vco_post_scale);
constant VCO_MAX_NO_DIVISION : integer := get_vco_max_no_division(vco_post_scale);
-- internal advanced parameter signals
signal i_vco_min : integer := vco_min;
signal i_vco_max : integer := vco_max;
signal i_vco_center : integer;
signal i_pfd_min : integer;
signal i_pfd_max : integer;
signal c_ph_val : int_array(0 to 9) := (OTHERS => 0);
signal c_ph_val_tmp : int_array(0 to 9) := (OTHERS => 0);
signal c_high_val : int_array(0 to 9) := (OTHERS => 1);
signal c_low_val : int_array(0 to 9) := (OTHERS => 1);
signal c_initial_val : int_array(0 to 9) := (OTHERS => 1);
signal c_mode_val : str_array(0 to 9);
signal clk_num : str_array(0 to 9);
-- old values
signal c_high_val_old : int_array(0 to 9) := (OTHERS => 1);
signal c_low_val_old : int_array(0 to 9) := (OTHERS => 1);
signal c_ph_val_old : int_array(0 to 9) := (OTHERS => 0);
signal c_mode_val_old : str_array(0 to 9);
-- hold registers
signal c_high_val_hold : int_array(0 to 9) := (OTHERS => 1);
signal c_low_val_hold : int_array(0 to 9) := (OTHERS => 1);
signal c_ph_val_hold : int_array(0 to 9) := (OTHERS => 0);
signal c_mode_val_hold : str_array(0 to 9);
-- temp registers
signal sig_c_ph_val_tmp : int_array(0 to 9) := (OTHERS => 0);
signal c_ph_val_orig : int_array(0 to 9) := (OTHERS => 0);
signal i_clk9_counter : integer := 9;
signal i_clk8_counter : integer := 8;
signal i_clk7_counter : integer := 7;
signal i_clk6_counter : integer := 6;
signal i_clk5_counter : integer := 5;
signal real_lock_high : integer := 0;
signal i_clk4_counter : integer := 4;
signal i_clk3_counter : integer := 3;
signal i_clk2_counter : integer := 2;
signal i_clk1_counter : integer := 1;
signal i_clk0_counter : integer := 0;
signal i_charge_pump_current : integer;
signal i_loop_filter_r : integer;
-- end internal advanced parameter signals
-- CONSTANTS
CONSTANT SCAN_CHAIN : integer := 144;
CONSTANT GPP_SCAN_CHAIN : integer := 234;
CONSTANT FAST_SCAN_CHAIN : integer := 180;
CONSTANT cntrs : str_array(9 downto 0) := (" C9", " C8", " C7", " C6", " C5", " C4", " C3", " C2", " C1", " C0");
CONSTANT ss_cntrs : str_array(0 to 3) := (" M", " M2", " N", " N2");
CONSTANT loop_filter_c_arr : int_array(0 to 3) := (0,0,0,0);
CONSTANT fpll_loop_filter_c_arr : int_array(0 to 3) := (0,0,0,0);
CONSTANT charge_pump_curr_arr : int_array(0 to 15) := (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0);
CONSTANT num_phase_taps : integer := 8;
-- signals
signal vcc : std_logic := '1';
signal fbclk : std_logic;
signal refclk : std_logic;
signal vco_over : std_logic := '0';
signal vco_under : std_logic := '1';
signal pll_locked : boolean := false;
signal c_clk : std_logic_array(0 to 9);
signal vco_out : std_logic_vector(7 downto 0) := (OTHERS => '0');
-- signals to assign values to counter params
signal m_val : integer := 1;
signal n_val : integer := 1;
signal m_ph_val : integer := 0;
signal m_ph_initial : integer := 0;
signal m_ph_val_tmp : integer := 0;
signal m_initial_val : integer := m_initial;
signal m_mode_val : string(1 to 6) := " ";
signal n_mode_val : string(1 to 6) := " ";
signal lfc_val : integer := 0;
signal vco_cur : integer := vco_post_scale;
signal cp_curr_val : integer := 0;
signal lfr_val : string(1 to 2) := " ";
signal cp_curr_old_bit_setting : integer := charge_pump_current_bits;
signal cp_curr_val_bit_setting : std_logic_vector(2 downto 0) := (OTHERS => '0');
signal lfr_old_bit_setting : integer := loop_filter_r_bits;
signal lfr_val_bit_setting : std_logic_vector(4 downto 0) := (OTHERS => '0');
signal lfc_old_bit_setting : integer := loop_filter_c_bits;
signal lfc_val_bit_setting : std_logic_vector(1 downto 0) := (OTHERS => '0');
signal pll_reconfig_display_full_setting : boolean := FALSE; -- display full setting, change to true
-- old values
signal m_val_old : integer := 1;
signal n_val_old : integer := 1;
signal m_mode_val_old : string(1 to 6) := " ";
signal n_mode_val_old : string(1 to 6) := " ";
signal m_ph_val_old : integer := 0;
signal lfc_old : integer := 0;
signal vco_old : integer := 0;
signal cp_curr_old : integer := 0;
signal lfr_old : string(1 to 2) := " ";
signal num_output_cntrs : integer := 10;
signal scanclk_period : time := 1 ps;
signal scan_data : std_logic_vector(0 to 233) := (OTHERS => '0');
signal clk_pfd : std_logic_vector(0 to 9);
signal clk0_tmp : std_logic;
signal clk1_tmp : std_logic;
signal clk2_tmp : std_logic;
signal clk3_tmp : std_logic;
signal clk4_tmp : std_logic;
signal clk5_tmp : std_logic;
signal clk6_tmp : std_logic;
signal clk7_tmp : std_logic;
signal clk8_tmp : std_logic;
signal clk9_tmp : std_logic;
signal update_conf_latches : std_logic := '0';
signal update_conf_latches_reg : std_logic := '0';
signal clkin : std_logic := '0';
signal gate_locked : std_logic := '0';
signal pfd_locked : std_logic := '0';
signal lock : std_logic := '0';
signal about_to_lock : boolean := false;
signal reconfig_err : boolean := false;
signal inclk_c0 : std_logic;
signal inclk_c1 : std_logic;
signal inclk_c2 : std_logic;
signal inclk_c3 : std_logic;
signal inclk_c4 : std_logic;
signal inclk_c5 : std_logic;
signal inclk_c6 : std_logic;
signal inclk_c7 : std_logic;
signal inclk_c8 : std_logic;
signal inclk_c9 : std_logic;
signal inclk_m : std_logic;
signal devpor : std_logic;
signal devclrn : std_logic;
signal inclk0_ipd : std_logic;
signal inclk1_ipd : std_logic;
signal pfdena_ipd : std_logic;
signal areset_ipd : std_logic;
signal fbin_ipd : std_logic;
signal scanclk_ipd : std_logic;
signal scanclkena_ipd, scanclkena_reg : std_logic;
signal scandata_ipd : std_logic;
signal clkswitch_ipd : std_logic;
signal phasecounterselect_ipd : std_logic_vector(3 downto 0);
signal phaseupdown_ipd : std_logic;
signal phasestep_ipd : std_logic;
signal configupdate_ipd : std_logic;
-- registered signals
signal sig_offset : time := 0 ps;
signal sig_refclk_time : time := 0 ps;
signal sig_fbclk_period : time := 0 ps;
signal sig_vco_period_was_phase_adjusted : boolean := false;
signal sig_phase_adjust_was_scheduled : boolean := false;
signal sig_stop_vco : std_logic := '0';
signal sig_m_times_vco_period : time := 0 ps;
signal sig_new_m_times_vco_period : time := 0 ps;
signal sig_got_refclk_posedge : boolean := false;
signal sig_got_fbclk_posedge : boolean := false;
signal sig_got_second_refclk : boolean := false;
signal m_delay : integer := 0;
signal n_delay : integer := 0;
signal inclk1_tmp : std_logic := '0';
signal reset_low : std_logic := '0';
-- Phase Reconfig
SIGNAL phasecounterselect_reg : std_logic_vector(3 DOWNTO 0);
SIGNAL phaseupdown_reg : std_logic := '0';
SIGNAL phasestep_reg : std_logic := '0';
SIGNAL phasestep_high_count : integer := 0;
SIGNAL update_phase : std_logic := '0';
signal scandataout_tmp : std_logic := '0';
signal scandata_in : std_logic := '0';
signal scandata_out : std_logic := '0';
signal scandone_tmp : std_logic := '1';
signal initiate_reconfig : std_logic := '0';
signal sig_refclk_period : time := (inclk0_input_frequency * 1 ps) * n;
signal schedule_vco : std_logic := '0';
signal areset_ena_sig : std_logic := '0';
signal pll_in_test_mode : boolean := false;
signal pll_has_just_been_reconfigured : boolean := false;
signal inclk_c_from_vco : std_logic_array(0 to 9);
signal inclk_m_from_vco : std_logic;
SIGNAL inclk0_period : time := 0 ps;
SIGNAL last_inclk0_period : time := 0 ps;
SIGNAL last_inclk0_edge : time := 0 ps;
SIGNAL first_inclk0_edge_detect : STD_LOGIC := '0';
SIGNAL inclk1_period : time := 0 ps;
SIGNAL last_inclk1_period : time := 0 ps;
SIGNAL last_inclk1_edge : time := 0 ps;
SIGNAL first_inclk1_edge_detect : STD_LOGIC := '0';
COMPONENT arriaiigz_mn_cntr
PORT (
clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial_value : IN integer := 1;
modulus : IN integer := 1;
time_delay : IN integer := 0
);
END COMPONENT;
COMPONENT arriaiigz_scale_cntr
PORT (
clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial : IN integer := 1;
high : IN integer := 1;
low : IN integer := 1;
mode : IN string := "bypass";
ph_tap : IN integer := 0
);
END COMPONENT;
COMPONENT arriaiigz_dffe
GENERIC(
TimingChecksOn: Boolean := true;
InstancePath: STRING := "*";
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
tpd_PRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLK_Q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_ENA_Q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_D : VitalDelayType01 := DefPropDelay01;
tipd_CLRN : VitalDelayType01 := DefPropDelay01;
tipd_PRN : VitalDelayType01 := DefPropDelay01;
tipd_CLK : VitalDelayType01 := DefPropDelay01;
tipd_ENA : VitalDelayType01 := DefPropDelay01);
PORT(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC := '1';
CLRN : in STD_LOGIC := '1';
PRN : in STD_LOGIC := '1';
CLK : in STD_LOGIC := '0';
ENA : in STD_LOGIC := '1');
END COMPONENT;
COMPONENT arriaiigz_pll_reg
PORT(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC := '1';
CLRN : in STD_LOGIC := '1';
PRN : in STD_LOGIC := '1';
CLK : in STD_LOGIC := '0';
ENA : in STD_LOGIC := '1');
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (inclk0_ipd, inclk(0), tipd_inclk(0));
VitalWireDelay (inclk1_ipd, inclk(1), tipd_inclk(1));
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (fbin_ipd, fbin, tipd_fbin);
VitalWireDelay (pfdena_ipd, pfdena, tipd_pfdena);
VitalWireDelay (scanclk_ipd, scanclk, tipd_scanclk);
VitalWireDelay (scanclkena_ipd, scanclkena, tipd_scanclkena);
VitalWireDelay (scandata_ipd, scandata, tipd_scandata);
VitalWireDelay (configupdate_ipd, configupdate, tipd_configupdate);
VitalWireDelay (clkswitch_ipd, clkswitch, tipd_clkswitch);
VitalWireDelay (phaseupdown_ipd, phaseupdown, tipd_phaseupdown);
VitalWireDelay (phasestep_ipd, phasestep, tipd_phasestep);
VitalWireDelay (phasecounterselect_ipd(0), phasecounterselect(0), tipd_phasecounterselect(0));
VitalWireDelay (phasecounterselect_ipd(1), phasecounterselect(1), tipd_phasecounterselect(1));
VitalWireDelay (phasecounterselect_ipd(2), phasecounterselect(2), tipd_phasecounterselect(2));
VitalWireDelay (phasecounterselect_ipd(3), phasecounterselect(3), tipd_phasecounterselect(3));
end block;
inclk_m <= fbclk when m_test_source = 0 else
refclk when m_test_source = 1 else
inclk_m_from_vco;
areset_ena_sig <= areset_ipd or sig_stop_vco;
pll_in_test_mode <= true when (m_test_source /= -1 or c0_test_source /= -1 or
c1_test_source /= -1 or c2_test_source /= -1 or
c3_test_source /= -1 or c4_test_source /= -1 or
c5_test_source /= -1 or c6_test_source /= -1 or
c7_test_source /= -1 or c8_test_source /= -1 or
c9_test_source /= -1)
else
false;
real_lock_high <= lock_high WHEN (sim_gate_lock_device_behavior = "on") ELSE 0;
m1 : arriaiigz_mn_cntr
port map ( clk => inclk_m,
reset => areset_ena_sig,
cout => fbclk,
initial_value => m_initial_val,
modulus => m_val,
time_delay => m_delay
);
-- add delta delay to inclk1 to ensure inclk0 and inclk1 are processed
-- in different simulation deltas.
inclk1_tmp <= inclk1_ipd;
-- Calculate the inclk0 period
PROCESS
VARIABLE inclk0_period_tmp : time := 0 ps;
BEGIN
WAIT UNTIL (inclk0_ipd'EVENT AND inclk0_ipd = '1');
IF (first_inclk0_edge_detect = '0') THEN
first_inclk0_edge_detect <= '1';
ELSE
last_inclk0_period <= inclk0_period;
inclk0_period_tmp := NOW - last_inclk0_edge;
END IF;
last_inclk0_edge <= NOW;
inclk0_period <= inclk0_period_tmp;
END PROCESS;
-- Calculate the inclk1 period
PROCESS
VARIABLE inclk1_period_tmp : time := 0 ps;
BEGIN
WAIT UNTIL (inclk1_ipd'EVENT AND inclk1_ipd = '1');
IF (first_inclk1_edge_detect = '0') THEN
first_inclk1_edge_detect <= '1';
ELSE
last_inclk1_period <= inclk1_period;
inclk1_period_tmp := NOW - last_inclk1_edge;
END IF;
last_inclk1_edge <= NOW;
inclk1_period <= inclk1_period_tmp;
END PROCESS;
process (inclk0_ipd, inclk1_tmp, clkswitch_ipd)
variable input_value : std_logic := '0';
variable current_clock : integer := 0;
variable clk0_count, clk1_count : integer := 0;
variable clk0_is_bad, clk1_is_bad : std_logic := '0';
variable primary_clk_is_bad : boolean := false;
variable current_clk_is_bad : boolean := false;
variable got_curr_clk_falling_edge_after_clkswitch : boolean := false;
variable switch_over_count : integer := 0;
variable active_clock : std_logic := '0';
variable external_switch : boolean := false;
variable diff_percent_period : integer := 0;
variable buf : line;
variable switch_clock : boolean := false;
begin
if (now = 0 ps) then
if (switch_over_type = "manual" and clkswitch_ipd = '1') then
current_clock := 1;
active_clock := '1';
end if;
end if;
if (clkswitch_ipd'event and clkswitch_ipd = '1' and switch_over_type = "auto") then
external_switch := true;
elsif (switch_over_type = "manual") then
if (clkswitch_ipd'event and clkswitch_ipd = '1') then
switch_clock := true;
elsif (clkswitch_ipd'event and clkswitch_ipd = '0') then
switch_clock := false;
end if;
end if;
if (switch_clock = true) then
if (inclk0_ipd'event or inclk1_tmp'event) then
if (current_clock = 0) then
current_clock := 1;
active_clock := '1';
clkin <= transport inclk1_tmp;
elsif (current_clock = 1) then
current_clock := 0;
active_clock := '0';
clkin <= transport inclk0_ipd;
end if;
switch_clock := false;
end if;
end if;
-- save the current inclk event value
if (inclk0_ipd'event) then
input_value := inclk0_ipd;
elsif (inclk1_tmp'event) then
input_value := inclk1_tmp;
end if;
-- check if either input clk is bad
if (inclk0_ipd'event and inclk0_ipd = '1') then
clk0_count := clk0_count + 1;
clk0_is_bad := '0';
clk1_count := 0;
if (clk0_count > 2) then
-- no event on other clk for 2 cycles
clk1_is_bad := '1';
if (current_clock = 1) then
current_clk_is_bad := true;
end if;
end if;
end if;
if (inclk1_tmp'event and inclk1_tmp = '1') then
clk1_count := clk1_count + 1;
clk1_is_bad := '0';
clk0_count := 0;
if (clk1_count > 2) then
-- no event on other clk for 2 cycles
clk0_is_bad := '1';
if (current_clock = 0) then
current_clk_is_bad := true;
end if;
end if;
end if;
-- check if the bad clk is the primary clock
if (clk0_is_bad = '1') then
primary_clk_is_bad := true;
else
primary_clk_is_bad := false;
end if;
-- actual switching
if (inclk0_ipd'event and current_clock = 0) then
if (external_switch) then
if (not got_curr_clk_falling_edge_after_clkswitch) then
if (inclk0_ipd = '0') then
got_curr_clk_falling_edge_after_clkswitch := true;
end if;
clkin <= transport inclk0_ipd;
end if;
else
clkin <= transport inclk0_ipd;
end if;
elsif (inclk1_tmp'event and current_clock = 1) then
if (external_switch) then
if (not got_curr_clk_falling_edge_after_clkswitch) then
if (inclk1_tmp = '0') then
got_curr_clk_falling_edge_after_clkswitch := true;
end if;
clkin <= transport inclk1_tmp;
end if;
else
clkin <= transport inclk1_tmp;
end if;
else
if (input_value = '1' and enable_switch_over_counter = "on" and primary_clk_is_bad) then
switch_over_count := switch_over_count + 1;
end if;
if ((input_value = '0')) then
if (external_switch and (got_curr_clk_falling_edge_after_clkswitch or current_clk_is_bad)) or (primary_clk_is_bad and clkswitch_ipd /= '1' and (enable_switch_over_counter = "off" or switch_over_count = switch_over_counter)) then
got_curr_clk_falling_edge_after_clkswitch := false;
if (areset_ipd = '0') then
if ((inclk0_period > inclk1_period) and (inclk1_period /= 0 ps)) then
diff_percent_period := (( inclk0_period - inclk1_period ) * 100) / inclk1_period;
elsif (inclk0_period /= 0 ps) then
diff_percent_period := (( inclk1_period - inclk0_period ) * 100) / inclk0_period;
end if;
if((diff_percent_period > 20)and ( switch_over_type = "auto")) then
WRITE(buf,string'("Warning : The input clock frequencies specified for the specified PLL are too far apart for auto-switch-over feature to work properly. Please make sure that the clock frequencies are 20 percent apart for correct functionality."));
writeline(output, buf);
end if;
end if;
if (current_clock = 0) then
current_clock := 1;
else
current_clock := 0;
end if;
active_clock := not active_clock;
switch_over_count := 0;
external_switch := false;
current_clk_is_bad := false;
else
if(switch_over_type = "auto") then
if(current_clock = 0 and clk0_is_bad = '1' and clk1_is_bad = '0' ) then
current_clock := 1;
active_clock := not active_clock;
end if;
if(current_clock = 1 and clk0_is_bad = '0' and clk1_is_bad = '1' ) then
current_clock := 0;
active_clock := not active_clock;
end if;
end if;
end if;
end if;
end if;
-- schedule outputs
clkbad(0) <= clk0_is_bad;
clkbad(1) <= clk1_is_bad;
activeclock <= active_clock;
end process;
n1 : arriaiigz_mn_cntr
port map (
clk => clkin,
reset => areset_ipd,
cout => refclk,
initial_value => n_val,
modulus => n_val);
inclk_c0 <= refclk when c0_test_source = 1 else
fbclk when c0_test_source = 0 else
inclk_c_from_vco(0);
c0 : arriaiigz_scale_cntr
port map (
clk => inclk_c0,
reset => areset_ena_sig,
cout => c_clk(0),
initial => c_initial_val(0),
high => c_high_val(0),
low => c_low_val(0),
mode => c_mode_val(0),
ph_tap => c_ph_val(0));
inclk_c1 <= refclk when c1_test_source = 1 else
fbclk when c1_test_source = 0 else
c_clk(0) when c1_use_casc_in = "on" else
inclk_c_from_vco(1);
c1 : arriaiigz_scale_cntr
port map (
clk => inclk_c1,
reset => areset_ena_sig,
cout => c_clk(1),
initial => c_initial_val(1),
high => c_high_val(1),
low => c_low_val(1),
mode => c_mode_val(1),
ph_tap => c_ph_val(1));
inclk_c2 <= refclk when c2_test_source = 1 else
fbclk when c2_test_source = 0 else
c_clk(1) when c2_use_casc_in = "on" else
inclk_c_from_vco(2);
c2 : arriaiigz_scale_cntr
port map (
clk => inclk_c2,
reset => areset_ena_sig,
cout => c_clk(2),
initial => c_initial_val(2),
high => c_high_val(2),
low => c_low_val(2),
mode => c_mode_val(2),
ph_tap => c_ph_val(2));
inclk_c3 <= refclk when c3_test_source = 1 else
fbclk when c3_test_source = 0 else
c_clk(2) when c3_use_casc_in = "on" else
inclk_c_from_vco(3);
c3 : arriaiigz_scale_cntr
port map (
clk => inclk_c3,
reset => areset_ena_sig,
cout => c_clk(3),
initial => c_initial_val(3),
high => c_high_val(3),
low => c_low_val(3),
mode => c_mode_val(3),
ph_tap => c_ph_val(3));
inclk_c4 <= refclk when c4_test_source = 1 else
fbclk when c4_test_source = 0 else
c_clk(3) when (c4_use_casc_in = "on") else
inclk_c_from_vco(4);
c4 : arriaiigz_scale_cntr
port map (
clk => inclk_c4,
reset => areset_ena_sig,
cout => c_clk(4),
initial => c_initial_val(4),
high => c_high_val(4),
low => c_low_val(4),
mode => c_mode_val(4),
ph_tap => c_ph_val(4));
inclk_c5 <= refclk when c5_test_source = 1 else
fbclk when c5_test_source = 0 else
c_clk(4) when c5_use_casc_in = "on" else
inclk_c_from_vco(5);
c5 : arriaiigz_scale_cntr
port map (
clk => inclk_c5,
reset => areset_ena_sig,
cout => c_clk(5),
initial => c_initial_val(5),
high => c_high_val(5),
low => c_low_val(5),
mode => c_mode_val(5),
ph_tap => c_ph_val(5));
inclk_c6 <= refclk when c6_test_source = 1 else
fbclk when c6_test_source = 0 else
c_clk(5) when c6_use_casc_in = "on" else
inclk_c_from_vco(6);
c6 : arriaiigz_scale_cntr
port map (
clk => inclk_c6,
reset => areset_ena_sig,
cout => c_clk(6),
initial => c_initial_val(6),
high => c_high_val(6),
low => c_low_val(6),
mode => c_mode_val(6),
ph_tap => c_ph_val(6));
inclk_c7 <= refclk when c7_test_source = 1 else
fbclk when c7_test_source = 0 else
c_clk(6) when c7_use_casc_in = "on" else
inclk_c_from_vco(7);
c7 : arriaiigz_scale_cntr
port map (
clk => inclk_c7,
reset => areset_ena_sig,
cout => c_clk(7),
initial => c_initial_val(7),
high => c_high_val(7),
low => c_low_val(7),
mode => c_mode_val(7),
ph_tap => c_ph_val(7));
inclk_c8 <= refclk when c8_test_source = 1 else
fbclk when c8_test_source = 0 else
c_clk(7) when c8_use_casc_in = "on" else
inclk_c_from_vco(8);
c8 : arriaiigz_scale_cntr
port map (
clk => inclk_c8,
reset => areset_ena_sig,
cout => c_clk(8),
initial => c_initial_val(8),
high => c_high_val(8),
low => c_low_val(8),
mode => c_mode_val(8),
ph_tap => c_ph_val(8));
inclk_c9 <= refclk when c9_test_source = 1 else
fbclk when c9_test_source = 0 else
c_clk(8) when c9_use_casc_in = "on" else
inclk_c_from_vco(9);
c9 : arriaiigz_scale_cntr
port map (
clk => inclk_c9,
reset => areset_ena_sig,
cout => c_clk(9),
initial => c_initial_val(9),
high => c_high_val(9),
low => c_low_val(9),
mode => c_mode_val(9),
ph_tap => c_ph_val(9));
process(scandone_tmp, lock)
begin
if (scandone_tmp'event and (scandone_tmp = '1')) then
pll_has_just_been_reconfigured <= true;
elsif (lock'event and (lock = '1')) then
pll_has_just_been_reconfigured <= false;
end if;
end process;
process(inclk_c0, inclk_c1, areset_ipd, sig_stop_vco)
variable c0_got_first_rising_edge : boolean := false;
variable c0_count : integer := 2;
variable c0_initial_count : integer := 1;
variable c0_tmp, c1_tmp : std_logic := '0';
variable c1_got_first_rising_edge : boolean := false;
variable c1_count : integer := 2;
variable c1_initial_count : integer := 1;
begin
if (areset_ipd = '1' or sig_stop_vco = '1') then
c0_count := 2;
c1_count := 2;
c0_initial_count := 1;
c1_initial_count := 1;
c0_got_first_rising_edge := false;
c1_got_first_rising_edge := false;
else
if (not c0_got_first_rising_edge) then
if (inclk_c0'event and inclk_c0 = '1') then
if (c0_initial_count = c_initial_val(0)) then
c0_got_first_rising_edge := true;
else
c0_initial_count := c0_initial_count + 1;
end if;
end if;
elsif (inclk_c0'event) then
c0_count := c0_count + 1;
if (c0_count = (c_high_val(0) + c_low_val(0)) * 2) then
c0_count := 1;
end if;
end if;
if (inclk_c0'event and inclk_c0 = '0') then
if (c0_count = 1) then
c0_tmp := '1';
c0_got_first_rising_edge := false;
else
c0_tmp := '0';
end if;
end if;
if (not c1_got_first_rising_edge) then
if (inclk_c1'event and inclk_c1 = '1') then
if (c1_initial_count = c_initial_val(1)) then
c1_got_first_rising_edge := true;
else
c1_initial_count := c1_initial_count + 1;
end if;
end if;
elsif (inclk_c1'event) then
c1_count := c1_count + 1;
if (c1_count = (c_high_val(1) + c_low_val(1)) * 2) then
c1_count := 1;
end if;
end if;
if (inclk_c1'event and inclk_c1 = '0') then
if (c1_count = 1) then
c1_tmp := '1';
c1_got_first_rising_edge := false;
else
c1_tmp := '0';
end if;
end if;
end if;
end process;
locked <= pfd_locked WHEN (test_bypass_lock_detect = "on") ELSE
lock;
process (scandone_tmp)
variable buf : line;
begin
if (scandone_tmp'event and scandone_tmp = '1') then
if (reconfig_err = false) then
ASSERT false REPORT "PLL Reprogramming completed with the following values (Values in parantheses indicate values before reprogramming) :" severity note;
write (buf, string'(" N modulus = "));
write (buf, n_val);
write (buf, string'(" ( "));
write (buf, n_val_old);
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" M modulus = "));
write (buf, m_val);
write (buf, string'(" ( "));
write (buf, m_val_old);
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" M ph_tap = "));
write (buf, m_ph_val);
write (buf, string'(" ( "));
write (buf, m_ph_val_old);
write (buf, string'(" )"));
writeline (output, buf);
for i in 0 to (num_output_cntrs-1) loop
write (buf, clk_num(i));
write (buf, string'(" : "));
write (buf, cntrs(i));
write (buf, string'(" : high = "));
write (buf, c_high_val(i));
write (buf, string'(" ("));
write (buf, c_high_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , low = "));
write (buf, c_low_val(i));
write (buf, string'(" ("));
write (buf, c_low_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , mode = "));
write (buf, c_mode_val(i));
write (buf, string'(" ("));
write (buf, c_mode_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , phase tap = "));
write (buf, c_ph_val(i));
write (buf, string'(" ("));
write (buf, c_ph_val_old(i));
write (buf, string'(") "));
writeline(output, buf);
end loop;
IF (pll_reconfig_display_full_setting) THEN
write (buf, string'(" Charge Pump Current (uA) = "));
write (buf, cp_curr_val);
write (buf, string'(" ( "));
write (buf, cp_curr_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Capacitor (pF) = "));
write (buf, lfc_val);
write (buf, string'(" ( "));
write (buf, lfc_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Resistor (Kohm) = "));
write (buf, lfr_val);
write (buf, string'(" ( "));
write (buf, lfr_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" VCO_Post_Scale = "));
write (buf, vco_cur);
write (buf, string'(" ( "));
write (buf, vco_old);
write (buf, string'(" ) "));
writeline (output, buf);
ELSE
write (buf, string'(" Charge Pump Current (bit setting) = "));
write (buf, alt_conv_integer(cp_curr_val_bit_setting));
write (buf, string'(" ( "));
write (buf, cp_curr_old_bit_setting);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Capacitor (bit setting) = "));
write (buf, alt_conv_integer(lfc_val_bit_setting));
write (buf, string'(" ( "));
write (buf, lfc_old_bit_setting);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Resistor (bit setting) = "));
write (buf, alt_conv_integer(lfr_val_bit_setting));
write (buf, string'(" ( "));
write (buf, lfr_old_bit_setting);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" VCO_Post_Scale = "));
write (buf, vco_cur);
write (buf, string'(" ( "));
write (buf, vco_old);
write (buf, string'(" ) "));
writeline (output, buf);
END IF;
cp_curr_old_bit_setting <= alt_conv_integer(cp_curr_val_bit_setting);
lfc_old_bit_setting <= alt_conv_integer(lfc_val_bit_setting);
lfr_old_bit_setting <= alt_conv_integer(lfr_val_bit_setting);
else ASSERT false REPORT "Errors were encountered during PLL reprogramming. Please refer to error/warning messages above." severity warning;
end if;
end if;
end process;
update_conf_latches <= configupdate_ipd;
process (scandone_tmp,areset_ipd,update_conf_latches, c_clk(0), c_clk(1), c_clk(2), c_clk(3), c_clk(4), c_clk(5), c_clk(6), c_clk(7), c_clk(8), c_clk(9), vco_out, fbclk, scanclk_ipd)
variable init : boolean := true;
variable low, high : std_logic_vector(7 downto 0);
variable low_fast, high_fast : std_logic_vector(3 downto 0);
variable mode : string(1 to 6) := "bypass";
variable is_error : boolean := false;
variable m_tmp, n_tmp : std_logic_vector(8 downto 0);
variable lfr_val_tmp : string(1 to 2) := " ";
variable c_high_val_tmp,c_hval : int_array(0 to 9) := (OTHERS => 1);
variable c_low_val_tmp,c_lval : int_array(0 to 9) := (OTHERS => 1);
variable c_mode_val_tmp : str_array(0 to 9);
variable m_val_tmp : integer := 0;
variable c0_rising_edge_transfer_done : boolean := false;
variable c1_rising_edge_transfer_done : boolean := false;
variable c2_rising_edge_transfer_done : boolean := false;
variable c3_rising_edge_transfer_done : boolean := false;
variable c4_rising_edge_transfer_done : boolean := false;
variable c5_rising_edge_transfer_done : boolean := false;
variable c6_rising_edge_transfer_done : boolean := false;
variable c7_rising_edge_transfer_done : boolean := false;
variable c8_rising_edge_transfer_done : boolean := false;
variable c9_rising_edge_transfer_done : boolean := false;
-- variables for scaling of multiply_by and divide_by values
variable i_clk0_mult_by : integer := 1;
variable i_clk0_div_by : integer := 1;
variable i_clk1_mult_by : integer := 1;
variable i_clk1_div_by : integer := 1;
variable i_clk2_mult_by : integer := 1;
variable i_clk2_div_by : integer := 1;
variable i_clk3_mult_by : integer := 1;
variable i_clk3_div_by : integer := 1;
variable i_clk4_mult_by : integer := 1;
variable i_clk4_div_by : integer := 1;
variable i_clk5_mult_by : integer := 1;
variable i_clk5_div_by : integer := 1;
variable i_clk6_mult_by : integer := 1;
variable i_clk6_div_by : integer := 1;
variable i_clk7_mult_by : integer := 1;
variable i_clk7_div_by : integer := 1;
variable i_clk8_mult_by : integer := 1;
variable i_clk8_div_by : integer := 1;
variable i_clk9_mult_by : integer := 1;
variable i_clk9_div_by : integer := 1;
variable max_d_value : integer := 1;
variable new_multiplier : integer := 1;
-- internal variables for storing the phase shift number.(used in lvds mode only)
variable i_clk0_phase_shift : integer := 1;
variable i_clk1_phase_shift : integer := 1;
variable i_clk2_phase_shift : integer := 1;
-- user to advanced variables
variable max_neg_abs : integer := 0;
variable i_m_initial : integer;
variable i_m : integer := 1;
variable i_n : integer := 1;
variable i_c_high : int_array(0 to 9);
variable i_c_low : int_array(0 to 9);
variable i_c_initial : int_array(0 to 9);
variable i_c_ph : int_array(0 to 9);
variable i_c_mode : str_array(0 to 9);
variable i_m_ph : integer;
variable output_count : integer;
variable new_divisor : integer;
variable clk0_cntr : string(1 to 6) := " c0";
variable clk1_cntr : string(1 to 6) := " c1";
variable clk2_cntr : string(1 to 6) := " c2";
variable clk3_cntr : string(1 to 6) := " c3";
variable clk4_cntr : string(1 to 6) := " c4";
variable clk5_cntr : string(1 to 6) := " c5";
variable clk6_cntr : string(1 to 6) := " c6";
variable clk7_cntr : string(1 to 6) := " c7";
variable clk8_cntr : string(1 to 6) := " c8";
variable clk9_cntr : string(1 to 6) := " c9";
variable fbk_cntr : string(1 to 2);
variable fbk_cntr_index : integer;
variable start_bit : integer;
variable quiet_time : time := 0 ps;
variable slowest_clk_old : time := 0 ps;
variable slowest_clk_new : time := 0 ps;
variable i : integer := 0;
variable j : integer := 0;
variable scanread_active_edge : time := 0 ps;
variable got_first_scanclk : boolean := false;
variable scanclk_last_rising_edge : time := 0 ps;
variable current_scan_data : std_logic_vector(0 to 233) := (OTHERS => '0');
variable index : integer := 0;
variable Tviol_scandata_scanclk : std_ulogic := '0';
variable TimingData_scandata_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_scanclkena_scanclk : std_ulogic := '0';
variable TimingData_scanclkena_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable scan_chain_length : integer := GPP_SCAN_CHAIN;
variable tmp_rem : integer := 0;
variable scanclk_cycles : integer := 0;
variable lfc_tmp : std_logic_vector(1 downto 0);
variable lfr_tmp : std_logic_vector(5 downto 0);
variable lfr_int : integer := 0;
variable n_hi,n_lo,m_hi,m_lo : std_logic_vector(7 downto 0);
variable buf : line;
variable buf_scan_data : STD_LOGIC_VECTOR(0 TO 1) := (OTHERS => '0');
variable buf_scan_data_2 : STD_LOGIC_VECTOR(0 TO 2) := (OTHERS => '0');
function slowest_clk (
C0 : integer; C0_mode : string(1 to 6);
C1 : integer; C1_mode : string(1 to 6);
C2 : integer; C2_mode : string(1 to 6);
C3 : integer; C3_mode : string(1 to 6);
C4 : integer; C4_mode : string(1 to 6);
C5 : integer; C5_mode : string(1 to 6);
C6 : integer; C6_mode : string(1 to 6);
C7 : integer; C7_mode : string(1 to 6);
C8 : integer; C8_mode : string(1 to 6);
C9 : integer; C9_mode : string(1 to 6);
refclk : time; m_mod : integer) return time is
variable max_modulus : integer := 1;
variable q_period : time := 0 ps;
variable refclk_int : integer := 0;
begin
if (C0_mode /= "bypass" and C0_mode /= " off") then
max_modulus := C0;
end if;
if (C1 > max_modulus and C1_mode /= "bypass" and C1_mode /= " off") then
max_modulus := C1;
end if;
if (C2 > max_modulus and C2_mode /= "bypass" and C2_mode /= " off") then
max_modulus := C2;
end if;
if (C3 > max_modulus and C3_mode /= "bypass" and C3_mode /= " off") then
max_modulus := C3;
end if;
if (C4 > max_modulus and C4_mode /= "bypass" and C4_mode /= " off") then
max_modulus := C4;
end if;
if (C5 > max_modulus and C5_mode /= "bypass" and C5_mode /= " off") then
max_modulus := C5;
end if;
if (C6 > max_modulus and C6_mode /= "bypass" and C6_mode /= " off") then
max_modulus := C6;
end if;
if (C7 > max_modulus and C7_mode /= "bypass" and C7_mode /= " off") then
max_modulus := C7;
end if;
if (C8 > max_modulus and C8_mode /= "bypass" and C8_mode /= " off") then
max_modulus := C8;
end if;
if (C9 > max_modulus and C9_mode /= "bypass" and C9_mode /= " off") then
max_modulus := C9;
end if;
refclk_int := refclk / 1 ps;
if (m_mod /= 0) then
q_period := (refclk_int * max_modulus / m_mod) * 1 ps;
end if;
return (2*q_period);
end slowest_clk;
function int2bin (arg : integer; size : integer) return std_logic_vector is
variable int_val : integer := arg;
variable result : std_logic_vector(size-1 downto 0);
begin
for i in 0 to result'left loop
if ((int_val mod 2) = 0) then
result(i) := '0';
else
result(i) := '1';
end if;
int_val := int_val/2;
end loop;
return result;
end int2bin;
function extract_cntr_string (arg:string) return string is
variable str : string(1 to 6) := " c0";
begin
if (arg = "c0") then
str := " c0";
elsif (arg = "c1") then
str := " c1";
elsif (arg = "c2") then
str := " c2";
elsif (arg = "c3") then
str := " c3";
elsif (arg = "c4") then
str := " c4";
elsif (arg = "c5") then
str := " c5";
elsif (arg = "c6") then
str := " c6";
elsif (arg = "c7") then
str := " c7";
elsif (arg = "c8") then
str := " c8";
elsif (arg = "c9") then
str := " c9";
else str := " c0";
end if;
return str;
end extract_cntr_string;
function extract_cntr_index (arg:string) return integer is
variable index : integer := 0;
begin
if (arg(6) = '0') then
index := 0;
elsif (arg(6) = '1') then
index := 1;
elsif (arg(6) = '2') then
index := 2;
elsif (arg(6) = '3') then
index := 3;
elsif (arg(6) = '4') then
index := 4;
elsif (arg(6) = '5') then
index := 5;
elsif (arg(6) = '6') then
index := 6;
elsif (arg(6) = '7') then
index := 7;
elsif (arg(6) = '8') then
index := 8;
else index := 9;
end if;
return index;
end extract_cntr_index;
function output_cntr_num (arg:string) return string is
variable str : string(1 to 6) := "unused";
begin
if (arg = "c0") then
str := " clk0";
elsif (arg = "c1") then
str := " clk1";
elsif (arg = "c2") then
str := " clk2";
elsif (arg = "c3") then
str := " clk3";
elsif (arg = "c4") then
str := " clk4";
elsif (arg = "c5") then
str := " clk5";
elsif (arg = "c6") then
str := " clk6";
elsif (arg = "c7") then
str := " clk7";
elsif (arg = "c8") then
str := " clk8";
elsif (arg = "c9") then
str := " clk9";
else str := "unused";
end if;
return str;
end output_cntr_num;
begin
IF (areset_ipd'EVENT AND areset_ipd = '1') then
c_ph_val <= i_c_ph;
END IF;
if (init) then
if (m = 0) then
clk9_cntr := " c9";
clk8_cntr := " c8";
clk7_cntr := " c7";
clk6_cntr := " c6";
clk5_cntr := " c5";
clk4_cntr := " c4";
clk3_cntr := " c3";
clk2_cntr := " c2";
clk1_cntr := " c1";
clk0_cntr := " c0";
else
clk9_cntr := extract_cntr_string(clk9_counter);
clk8_cntr := extract_cntr_string(clk8_counter);
clk7_cntr := extract_cntr_string(clk7_counter);
clk6_cntr := extract_cntr_string(clk6_counter);
clk5_cntr := extract_cntr_string(clk5_counter);
clk4_cntr := extract_cntr_string(clk4_counter);
clk3_cntr := extract_cntr_string(clk3_counter);
clk2_cntr := extract_cntr_string(clk2_counter);
clk1_cntr := extract_cntr_string(clk1_counter);
clk0_cntr := extract_cntr_string(clk0_counter);
end if;
clk_num(9) <= output_cntr_num(clk9_counter);
clk_num(8) <= output_cntr_num(clk8_counter);
clk_num(7) <= output_cntr_num(clk7_counter);
clk_num(6) <= output_cntr_num(clk6_counter);
clk_num(5) <= output_cntr_num(clk5_counter);
clk_num(4) <= output_cntr_num(clk4_counter);
clk_num(3) <= output_cntr_num(clk3_counter);
clk_num(2) <= output_cntr_num(clk2_counter);
clk_num(1) <= output_cntr_num(clk1_counter);
clk_num(0) <= output_cntr_num(clk0_counter);
i_clk0_counter <= extract_cntr_index(clk0_cntr);
i_clk1_counter <= extract_cntr_index(clk1_cntr);
i_clk2_counter <= extract_cntr_index(clk2_cntr);
i_clk3_counter <= extract_cntr_index(clk3_cntr);
i_clk4_counter <= extract_cntr_index(clk4_cntr);
i_clk5_counter <= extract_cntr_index(clk5_cntr);
i_clk6_counter <= extract_cntr_index(clk6_cntr);
i_clk7_counter <= extract_cntr_index(clk7_cntr);
i_clk8_counter <= extract_cntr_index(clk8_cntr);
i_clk9_counter <= extract_cntr_index(clk9_cntr);
if (m = 0) then -- convert user parameters to advanced
-- set the limit of the divide_by value that can be returned by
-- the following function.
max_d_value := 500;
-- scale down the multiply_by and divide_by values provided by the design
-- before attempting to use them in the calculations below
find_simple_integer_fraction(clk0_multiply_by, clk0_divide_by,
max_d_value, i_clk0_mult_by, i_clk0_div_by);
find_simple_integer_fraction(clk1_multiply_by, clk1_divide_by,
max_d_value, i_clk1_mult_by, i_clk1_div_by);
find_simple_integer_fraction(clk2_multiply_by, clk2_divide_by,
max_d_value, i_clk2_mult_by, i_clk2_div_by);
find_simple_integer_fraction(clk3_multiply_by, clk3_divide_by,
max_d_value, i_clk3_mult_by, i_clk3_div_by);
find_simple_integer_fraction(clk4_multiply_by, clk4_divide_by,
max_d_value, i_clk4_mult_by, i_clk4_div_by);
find_simple_integer_fraction(clk5_multiply_by, clk5_divide_by,
max_d_value, i_clk5_mult_by, i_clk5_div_by);
find_simple_integer_fraction(clk6_multiply_by, clk6_divide_by,
max_d_value, i_clk6_mult_by, i_clk6_div_by);
find_simple_integer_fraction(clk7_multiply_by, clk7_divide_by,
max_d_value, i_clk7_mult_by, i_clk7_div_by);
find_simple_integer_fraction(clk8_multiply_by, clk8_divide_by,
max_d_value, i_clk8_mult_by, i_clk8_div_by);
find_simple_integer_fraction(clk9_multiply_by, clk9_divide_by,
max_d_value, i_clk9_mult_by, i_clk9_div_by);
if (vco_frequency_control = "manual_phase") then
find_m_and_n_4_manual_phase(inclk0_input_frequency, vco_phase_shift_step,
i_clk0_mult_by, i_clk1_mult_by,
i_clk2_mult_by, i_clk3_mult_by,
i_clk4_mult_by,
i_clk5_mult_by,i_clk6_mult_by,
i_clk7_mult_by,i_clk8_mult_by,i_clk9_mult_by,
i_clk0_div_by, i_clk1_div_by,
i_clk2_div_by, i_clk3_div_by,
i_clk4_div_by,
i_clk5_div_by,i_clk6_div_by,
i_clk7_div_by,i_clk8_div_by,i_clk9_div_by,
clk0_counter, clk1_counter,
clk2_counter, clk3_counter,
clk4_counter,
clk5_counter,clk6_counter,
clk7_counter,clk8_counter,clk9_counter,
i_m, i_n);
elsif (((pll_type = "fast") or (pll_type = "lvds") OR (pll_type = "left_right")) and ((vco_multiply_by /= 0) and (vco_divide_by /= 0))) then
i_n := vco_divide_by;
i_m := vco_multiply_by;
else
i_n := 1;
if (((pll_type = "fast") or (pll_type = "left_right")) and (compensate_clock = "lvdsclk")) then
i_m := i_clk0_mult_by;
else
i_m := lcm (i_clk0_mult_by, i_clk1_mult_by,
i_clk2_mult_by, i_clk3_mult_by,
i_clk4_mult_by,
i_clk5_mult_by,i_clk6_mult_by,
i_clk7_mult_by,i_clk8_mult_by,i_clk9_mult_by,
inclk0_input_frequency);
end if;
end if;
if (pll_type = "flvds") then
-- Need to readjust phase shift values when the clock multiply value has been readjusted.
new_multiplier := clk0_multiply_by / i_clk0_mult_by;
i_clk0_phase_shift := str2int(clk0_phase_shift) * new_multiplier;
i_clk1_phase_shift := str2int(clk1_phase_shift) * new_multiplier;
i_clk2_phase_shift := str2int(clk2_phase_shift) * new_multiplier;
else
i_clk0_phase_shift := str2int(clk0_phase_shift);
i_clk1_phase_shift := str2int(clk1_phase_shift);
i_clk2_phase_shift := str2int(clk2_phase_shift);
end if;
max_neg_abs := maxnegabs(i_clk0_phase_shift,
i_clk1_phase_shift,
i_clk2_phase_shift,
str2int(clk3_phase_shift),
str2int(clk4_phase_shift),
str2int(clk5_phase_shift),
str2int(clk6_phase_shift),
str2int(clk7_phase_shift),
str2int(clk8_phase_shift),
str2int(clk9_phase_shift)
);
i_m_ph := counter_ph(get_phase_degree(max_neg_abs,inclk0_input_frequency), i_m, i_n);
i_c_ph(0) := counter_ph(get_phase_degree(ph_adjust(i_clk0_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(1) := counter_ph(get_phase_degree(ph_adjust(i_clk1_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(2) := counter_ph(get_phase_degree(ph_adjust(i_clk2_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(3) := counter_ph(get_phase_degree(ph_adjust(str2int(clk3_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(4) := counter_ph(get_phase_degree(ph_adjust(str2int(clk4_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(5) := counter_ph(get_phase_degree(ph_adjust(str2int(clk5_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(6) := counter_ph(get_phase_degree(ph_adjust(str2int(clk6_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(7) := counter_ph(get_phase_degree(ph_adjust(str2int(clk7_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(8) := counter_ph(get_phase_degree(ph_adjust(str2int(clk8_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(9) := counter_ph(get_phase_degree(ph_adjust(str2int(clk9_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_high(0) := counter_high(output_counter_value(i_clk0_div_by,
i_clk0_mult_by, i_m, i_n), clk0_duty_cycle);
i_c_high(1) := counter_high(output_counter_value(i_clk1_div_by,
i_clk1_mult_by, i_m, i_n), clk1_duty_cycle);
i_c_high(2) := counter_high(output_counter_value(i_clk2_div_by,
i_clk2_mult_by, i_m, i_n), clk2_duty_cycle);
i_c_high(3) := counter_high(output_counter_value(i_clk3_div_by,
i_clk3_mult_by, i_m, i_n), clk3_duty_cycle);
i_c_high(4) := counter_high(output_counter_value(i_clk4_div_by,
i_clk4_mult_by, i_m, i_n), clk4_duty_cycle);
i_c_high(5) := counter_high(output_counter_value(i_clk5_div_by,
i_clk5_mult_by, i_m, i_n), clk5_duty_cycle);
i_c_high(6) := counter_high(output_counter_value(i_clk6_div_by,
i_clk6_mult_by, i_m, i_n), clk6_duty_cycle);
i_c_high(7) := counter_high(output_counter_value(i_clk7_div_by,
i_clk7_mult_by, i_m, i_n), clk7_duty_cycle);
i_c_high(8) := counter_high(output_counter_value(i_clk8_div_by,
i_clk8_mult_by, i_m, i_n), clk8_duty_cycle);
i_c_high(9) := counter_high(output_counter_value(i_clk9_div_by,
i_clk9_mult_by, i_m, i_n), clk9_duty_cycle);
i_c_low(0) := counter_low(output_counter_value(i_clk0_div_by,
i_clk0_mult_by, i_m, i_n), clk0_duty_cycle);
i_c_low(1) := counter_low(output_counter_value(i_clk1_div_by,
i_clk1_mult_by, i_m, i_n), clk1_duty_cycle);
i_c_low(2) := counter_low(output_counter_value(i_clk2_div_by,
i_clk2_mult_by, i_m, i_n), clk2_duty_cycle);
i_c_low(3) := counter_low(output_counter_value(i_clk3_div_by,
i_clk3_mult_by, i_m, i_n), clk3_duty_cycle);
i_c_low(4) := counter_low(output_counter_value(i_clk4_div_by,
i_clk4_mult_by, i_m, i_n), clk4_duty_cycle);
i_c_low(5) := counter_low(output_counter_value(i_clk5_div_by,
i_clk5_mult_by, i_m, i_n), clk5_duty_cycle);
i_c_low(6) := counter_low(output_counter_value(i_clk6_div_by,
i_clk6_mult_by, i_m, i_n), clk6_duty_cycle);
i_c_low(7) := counter_low(output_counter_value(i_clk7_div_by,
i_clk7_mult_by, i_m, i_n), clk7_duty_cycle);
i_c_low(8) := counter_low(output_counter_value(i_clk8_div_by,
i_clk8_mult_by, i_m, i_n), clk8_duty_cycle);
i_c_low(9) := counter_low(output_counter_value(i_clk9_div_by,
i_clk9_mult_by, i_m, i_n), clk9_duty_cycle);
i_m_initial := counter_initial(get_phase_degree(max_neg_abs, inclk0_input_frequency), i_m,i_n);
i_c_initial(0) := counter_initial(get_phase_degree(ph_adjust(i_clk0_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(1) := counter_initial(get_phase_degree(ph_adjust(i_clk1_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(2) := counter_initial(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(3) := counter_initial(get_phase_degree(ph_adjust(str2int(clk3_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(4) := counter_initial(get_phase_degree(ph_adjust(str2int(clk4_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(5) := counter_initial(get_phase_degree(ph_adjust(str2int(clk5_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(6) := counter_initial(get_phase_degree(ph_adjust(str2int(clk6_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(7) := counter_initial(get_phase_degree(ph_adjust(str2int(clk7_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(8) := counter_initial(get_phase_degree(ph_adjust(str2int(clk8_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(9) := counter_initial(get_phase_degree(ph_adjust(str2int(clk9_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_mode(0) := counter_mode(clk0_duty_cycle, output_counter_value(i_clk0_div_by, i_clk0_mult_by, i_m, i_n));
i_c_mode(1) := counter_mode(clk1_duty_cycle, output_counter_value(i_clk1_div_by, i_clk1_mult_by, i_m, i_n));
i_c_mode(2) := counter_mode(clk2_duty_cycle, output_counter_value(i_clk2_div_by, i_clk2_mult_by, i_m, i_n));
i_c_mode(3) := counter_mode(clk3_duty_cycle, output_counter_value(i_clk3_div_by, i_clk3_mult_by, i_m, i_n));
i_c_mode(4) := counter_mode(clk4_duty_cycle, output_counter_value(i_clk4_div_by, i_clk4_mult_by, i_m, i_n));
i_c_mode(5) := counter_mode(clk5_duty_cycle, output_counter_value(i_clk5_div_by, i_clk5_mult_by, i_m, i_n));
i_c_mode(6) := counter_mode(clk6_duty_cycle, output_counter_value(i_clk6_div_by, i_clk6_mult_by, i_m, i_n));
i_c_mode(7) := counter_mode(clk7_duty_cycle, output_counter_value(i_clk7_div_by, i_clk7_mult_by, i_m, i_n));
i_c_mode(8) := counter_mode(clk8_duty_cycle, output_counter_value(i_clk8_div_by, i_clk8_mult_by, i_m, i_n));
i_c_mode(9) := counter_mode(clk9_duty_cycle, output_counter_value(i_clk9_div_by, i_clk9_mult_by, i_m, i_n));
else -- m /= 0
i_n := n;
i_m := m;
i_m_initial := m_initial;
i_m_ph := m_ph;
i_c_ph(0) := c0_ph;
i_c_ph(1) := c1_ph;
i_c_ph(2) := c2_ph;
i_c_ph(3) := c3_ph;
i_c_ph(4) := c4_ph;
i_c_ph(5) := c5_ph;
i_c_ph(6) := c6_ph;
i_c_ph(7) := c7_ph;
i_c_ph(8) := c8_ph;
i_c_ph(9) := c9_ph;
i_c_high(0) := c0_high;
i_c_high(1) := c1_high;
i_c_high(2) := c2_high;
i_c_high(3) := c3_high;
i_c_high(4) := c4_high;
i_c_high(5) := c5_high;
i_c_high(6) := c6_high;
i_c_high(7) := c7_high;
i_c_high(8) := c8_high;
i_c_high(9) := c9_high;
i_c_low(0) := c0_low;
i_c_low(1) := c1_low;
i_c_low(2) := c2_low;
i_c_low(3) := c3_low;
i_c_low(4) := c4_low;
i_c_low(5) := c5_low;
i_c_low(6) := c6_low;
i_c_low(7) := c7_low;
i_c_low(8) := c8_low;
i_c_low(9) := c9_low;
i_c_initial(0) := c0_initial;
i_c_initial(1) := c1_initial;
i_c_initial(2) := c2_initial;
i_c_initial(3) := c3_initial;
i_c_initial(4) := c4_initial;
i_c_initial(5) := c5_initial;
i_c_initial(6) := c6_initial;
i_c_initial(7) := c7_initial;
i_c_initial(8) := c8_initial;
i_c_initial(9) := c9_initial;
i_c_mode(0) := translate_string(c0_mode);
i_c_mode(1) := translate_string(c1_mode);
i_c_mode(2) := translate_string(c2_mode);
i_c_mode(3) := translate_string(c3_mode);
i_c_mode(4) := translate_string(c4_mode);
i_c_mode(5) := translate_string(c5_mode);
i_c_mode(6) := translate_string(c6_mode);
i_c_mode(7) := translate_string(c7_mode);
i_c_mode(8) := translate_string(c8_mode);
i_c_mode(9) := translate_string(c9_mode);
end if; -- user to advanced conversion.
m_initial_val <= i_m_initial;
n_val <= i_n;
m_val <= i_m;
if (i_m = 1) then
m_mode_val <= "bypass";
else
m_mode_val <= " ";
end if;
if (i_n = 1) then
n_mode_val <= "bypass";
else
n_mode_val <= " ";
end if;
m_ph_val <= i_m_ph;
m_ph_initial <= i_m_ph;
m_val_tmp := i_m;
for i in 0 to 9 loop
if (i_c_mode(i) = "bypass") then
if (pll_type = "fast" or pll_type = "lvds" OR (pll_type = "left_right")) then
i_c_high(i) := 16;
i_c_low(i) := 16;
else
i_c_high(i) := 256;
i_c_low(i) := 256;
end if;
end if;
c_ph_val(i) <= i_c_ph(i);
c_initial_val(i) <= i_c_initial(i);
c_high_val(i) <= i_c_high(i);
c_low_val(i) <= i_c_low(i);
c_mode_val(i) <= i_c_mode(i);
c_high_val_tmp(i) := i_c_high(i);
c_hval(i) := i_c_high(i);
c_low_val_tmp(i) := i_c_low(i);
c_lval(i) := i_c_low(i);
c_mode_val_tmp(i) := i_c_mode(i);
c_ph_val_orig(i) <= i_c_ph(i);
c_high_val_hold(i) <= i_c_high(i);
c_low_val_hold(i) <= i_c_low(i);
c_mode_val_hold(i) <= i_c_mode(i);
end loop;
if (pll_type = "fast" OR (pll_type = "left_right")) then
scan_chain_length := FAST_SCAN_CHAIN;
else
scan_chain_length := GPP_SCAN_CHAIN;
end if;
if (pll_type = "fast" or pll_type = "lvds" OR (pll_type = "left_right")) then
num_output_cntrs <= 7;
else
num_output_cntrs <= 10;
end if;
init := false;
elsif (scandone_tmp'EVENT AND scandone_tmp = '1') then
c0_rising_edge_transfer_done := false;
c1_rising_edge_transfer_done := false;
c2_rising_edge_transfer_done := false;
c3_rising_edge_transfer_done := false;
c4_rising_edge_transfer_done := false;
c5_rising_edge_transfer_done := false;
c6_rising_edge_transfer_done := false;
c7_rising_edge_transfer_done := false;
c8_rising_edge_transfer_done := false;
c9_rising_edge_transfer_done := false;
update_conf_latches_reg <= '0';
elsif (update_conf_latches'event and update_conf_latches = '1') then
initiate_reconfig <= '1';
elsif (areset_ipd'event AND areset_ipd = '1') then
if (scandone_tmp = '0') then scandone_tmp <= '1' AFTER scanclk_period; end if;
elsif (scanclk_ipd'event and scanclk_ipd = '1') then
IF (initiate_reconfig = '1') THEN
initiate_reconfig <= '0';
ASSERT false REPORT "PLL Reprogramming Initiated" severity note;
update_conf_latches_reg <= update_conf_latches;
reconfig_err <= false;
scandone_tmp <= '0';
cp_curr_old <= cp_curr_val;
lfc_old <= lfc_val;
lfr_old <= lfr_val;
vco_old <= vco_cur;
-- LF unused : bit 0,1
-- LF Capacitance : bits 2,3 : all values are legal
buf_scan_data := scan_data(2 TO 3);
IF ((pll_type = "fast") OR (pll_type = "lvds") OR (pll_type = "left_right")) THEN
lfc_val <= fpll_loop_filter_c_arr(alt_conv_integer(buf_scan_data));
ELSE
lfc_val <= loop_filter_c_arr(alt_conv_integer(buf_scan_data));
END IF;
-- LF Resistance : bits 4-8
-- valid values - 00000,00100,10000,10100,11000,11011,11100,11110
IF (scan_data(4 TO 8) = "00000") THEN
lfr_val <= "20";
ELSIF (scan_data(4 TO 8) = "00100") THEN
lfr_val <= "16";
ELSIF (scan_data(4 TO 8) = "10000") THEN
lfr_val <= "12";
ELSIF (scan_data(4 TO 8) = "10100") THEN
lfr_val <= "08";
ELSIF (scan_data(4 TO 8) = "11000") THEN
lfr_val <= "06";
ELSIF (scan_data(4 TO 8) = "11011") THEN
lfr_val <= "04";
ELSIF (scan_data(4 TO 8) = "11100") THEN
lfr_val <= "02";
ELSE
lfr_val <= "01";
END IF;
-- VCO post scale assignment
if (scan_data(9) = '1') then -- vco_post_scale = 1
i_vco_max <= VCO_MAX_NO_DIVISION/2;
i_vco_min <= VCO_MIN_NO_DIVISION/2;
vco_cur <= 1;
else
i_vco_max <= vco_max;
i_vco_min <= vco_min;
vco_cur <= 2;
end if;
-- CP
-- Bit 9 : CRBYPASS
-- Bit 10-14 : unused
-- Bits 15-17 : all values are legal
buf_scan_data_2 := scan_data(15 TO 17);
cp_curr_val <= charge_pump_curr_arr(alt_conv_integer(buf_scan_data_2));
-- save old values for display info.
cp_curr_val_bit_setting <= scan_data(15 TO 17);
lfc_val_bit_setting <= scan_data(2 TO 3);
lfr_val_bit_setting <= scan_data(4 TO 8);
m_val_old <= m_val;
n_val_old <= n_val;
m_mode_val_old <= m_mode_val;
n_mode_val_old <= n_mode_val;
WHILE (i < num_output_cntrs) LOOP
c_high_val_old(i) <= c_high_val(i);
c_low_val_old(i) <= c_low_val(i);
c_mode_val_old(i) <= c_mode_val(i);
i := i + 1;
END LOOP;
-- M counter
-- 1. Mode - bypass (bit 18)
IF (scan_data(18) = '1') THEN
m_mode_val <= "bypass";
-- 3. Mode - odd/even (bit 27)
ELSIF (scan_data(27) = '1') THEN
m_mode_val <= " odd";
ELSE
m_mode_val <= " even";
END IF;
-- 2. High (bit 19-26)
m_hi := scan_data(19 TO 26);
-- 4. Low (bit 28-35)
m_lo := scan_data(28 TO 35);
-- N counter
-- 1. Mode - bypass (bit 36)
IF (scan_data(36) = '1') THEN
n_mode_val <= "bypass";
-- 3. Mode - odd/even (bit 45)
ELSIF (scan_data(45) = '1') THEN
n_mode_val <= " odd";
ELSE
n_mode_val <= " even";
END IF;
-- 2. High (bit 37-44)
n_hi := scan_data(37 TO 44);
-- 4. Low (bit 46-53)
n_lo := scan_data(46 TO 53);
-- C counters (start bit 54) bit 1:mode(bypass),bit 2-9:high,bit 10:mode(odd/even),bit 11-18:low
i := 0;
WHILE (i < num_output_cntrs) LOOP
-- 1. Mode - bypass
IF (scan_data(54 + i * 18 + 0) = '1') THEN
c_mode_val_tmp(i) := "bypass";
-- 3. Mode - odd/even
ELSIF (scan_data(54 + i * 18 + 9) = '1') THEN
c_mode_val_tmp(i) := " odd";
ELSE
c_mode_val_tmp(i) := " even";
END IF;
-- 2. Hi
high := scan_data(54 + i * 18 + 1 TO 54 + i * 18 + 8);
c_hval(i) := alt_conv_integer(high);
IF (c_hval(i) /= 0) THEN
c_high_val_tmp(i) := c_hval(i);
ELSE
c_high_val_tmp(i) := alt_conv_integer("000000001");
END IF;
-- 4. Low
low := scan_data(54 + i * 18 + 10 TO 54 + i * 18 + 17);
c_lval(i) := alt_conv_integer(low);
IF (c_lval(i) /= 0) THEN
c_low_val_tmp(i) := c_lval(i);
ELSE
c_low_val_tmp(i) := alt_conv_integer("000000001");
END IF;
i := i + 1;
END LOOP;
-- Legality Checks
-- M counter value
IF(scan_data(18) /= '1') THEN
IF ((m_hi /= m_lo) and (scan_data(27) /= '1')) THEN
reconfig_err <= TRUE;
WRITE(buf,string'("Warning : The M counter of the " & family_name & " Fast PLL should be configured for 50%% duty cycle only. In this case the HIGH and LOW moduli programmed will result in a duty cycle other than 50%%, which is illegal. Reconfiguration may not work"));
writeline(output, buf);
ELSIF (m_hi /= "00000000") THEN
m_val_tmp := alt_conv_integer(m_hi) + alt_conv_integer(m_lo);
ELSE
m_val_tmp := alt_conv_integer("000000001");
END IF;
ELSE
m_val_tmp := alt_conv_integer("10000000");
END IF;
-- N counter value
IF(scan_data(36) /= '1') THEN
IF ((n_hi /= n_lo)and (scan_data(45) /= '1')) THEN
reconfig_err <= TRUE;
WRITE(buf,string'("Warning : The N counter of the " & family_name & " Fast PLL should be configured for 50%% duty cycle only. In this case the HIGH and LOW moduli programmed will result in a duty cycle other than 50%%, which is illegal. Reconfiguration may not work"));
writeline(output, buf);
ELSIF (n_hi /= "00000000") THEN
n_val <= alt_conv_integer(n_hi) + alt_conv_integer(n_lo);
ELSE
n_val <= alt_conv_integer("000000001");
END IF;
ELSE
n_val <= alt_conv_integer("10000000");
END IF;
-- TODO : Give warnings/errors in the following cases?
-- 1. Illegal counter values (error)
-- 2. Change of mode (warning)
-- 3. Only 50% duty cycle allowed for M counter (odd mode - hi-lo=1,even - hi-lo=0)
END IF;
end if;
if (fbclk'event and fbclk = '1') then
m_val <= m_val_tmp;
end if;
if (update_conf_latches_reg = '1') then
if (scanclk_ipd'event and scanclk_ipd = '1') then
c0_rising_edge_transfer_done := true;
c_high_val(0) <= c_high_val_tmp(0);
c_mode_val(0) <= c_mode_val_tmp(0);
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c1_rising_edge_transfer_done := true;
c_high_val(1) <= c_high_val_tmp(1);
c_mode_val(1) <= c_mode_val_tmp(1);
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c2_rising_edge_transfer_done := true;
c_high_val(2) <= c_high_val_tmp(2);
c_mode_val(2) <= c_mode_val_tmp(2);
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(3) <= c_high_val_tmp(3);
c_mode_val(3) <= c_mode_val_tmp(3);
c3_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(4) <= c_high_val_tmp(4);
c_mode_val(4) <= c_mode_val_tmp(4);
c4_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(5) <= c_high_val_tmp(5);
c_mode_val(5) <= c_mode_val_tmp(5);
c5_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(6) <= c_high_val_tmp(6);
c_mode_val(6) <= c_mode_val_tmp(6);
c6_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(7) <= c_high_val_tmp(7);
c_mode_val(7) <= c_mode_val_tmp(7);
c7_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(8) <= c_high_val_tmp(8);
c_mode_val(8) <= c_mode_val_tmp(8);
c8_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(9) <= c_high_val_tmp(9);
c_mode_val(9) <= c_mode_val_tmp(9);
c9_rising_edge_transfer_done := true;
end if;
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c0_rising_edge_transfer_done) then
c_low_val(0) <= c_low_val_tmp(0);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c1_rising_edge_transfer_done) then
c_low_val(1) <= c_low_val_tmp(1);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c2_rising_edge_transfer_done) then
c_low_val(2) <= c_low_val_tmp(2);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c3_rising_edge_transfer_done) then
c_low_val(3) <= c_low_val_tmp(3);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c4_rising_edge_transfer_done) then
c_low_val(4) <= c_low_val_tmp(4);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c5_rising_edge_transfer_done) then
c_low_val(5) <= c_low_val_tmp(5);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c6_rising_edge_transfer_done) then
c_low_val(6) <= c_low_val_tmp(6);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c7_rising_edge_transfer_done) then
c_low_val(7) <= c_low_val_tmp(7);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c8_rising_edge_transfer_done) then
c_low_val(8) <= c_low_val_tmp(8);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c9_rising_edge_transfer_done) then
c_low_val(9) <= c_low_val_tmp(9);
end if;
if (update_phase = '1') then
if (vco_out(0)'event and vco_out(0) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 0) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 0) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(1)'event and vco_out(1) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 1) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 1) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(2)'event and vco_out(2) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 2) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 2) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(3)'event and vco_out(3) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 3) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 3) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(4)'event and vco_out(4) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 4) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 4) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(5)'event and vco_out(5) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 5) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 5) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(6)'event and vco_out(6) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 6) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 6) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(7)'event and vco_out(7) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 7) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 7) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
end if;
if (vco_out(0)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 0) then
inclk_c_from_vco(i) <= vco_out(0);
end if;
end loop;
if (m_ph_val = 0) then
inclk_m_from_vco <= vco_out(0);
end if;
end if;
if (vco_out(1)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 1) then
inclk_c_from_vco(i) <= vco_out(1);
end if;
end loop;
if (m_ph_val = 1) then
inclk_m_from_vco <= vco_out(1);
end if;
end if;
if (vco_out(2)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 2) then
inclk_c_from_vco(i) <= vco_out(2);
end if;
end loop;
if (m_ph_val = 2) then
inclk_m_from_vco <= vco_out(2);
end if;
end if;
if (vco_out(3)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 3) then
inclk_c_from_vco(i) <= vco_out(3);
end if;
end loop;
if (m_ph_val = 3) then
inclk_m_from_vco <= vco_out(3);
end if;
end if;
if (vco_out(4)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 4) then
inclk_c_from_vco(i) <= vco_out(4);
end if;
end loop;
if (m_ph_val = 4) then
inclk_m_from_vco <= vco_out(4);
end if;
end if;
if (vco_out(5)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 5) then
inclk_c_from_vco(i) <= vco_out(5);
end if;
end loop;
if (m_ph_val = 5) then
inclk_m_from_vco <= vco_out(5);
end if;
end if;
if (vco_out(6)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 6) then
inclk_c_from_vco(i) <= vco_out(6);
end if;
end loop;
if (m_ph_val = 6) then
inclk_m_from_vco <= vco_out(6);
end if;
end if;
if (vco_out(7)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 7) then
inclk_c_from_vco(i) <= vco_out(7);
end if;
end loop;
if (m_ph_val = 7) then
inclk_m_from_vco <= vco_out(7);
end if;
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_scandata_scanclk,
TimingData => TimingData_scandata_scanclk,
TestSignal => scandata_ipd,
TestSignalName => "scandata",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scandata_scanclk_noedge_negedge,
SetupLow => tsetup_scandata_scanclk_noedge_negedge,
HoldHigh => thold_scandata_scanclk_noedge_negedge,
HoldLow => thold_scandata_scanclk_noedge_negedge,
CheckEnabled => TRUE,
RefTransition => '\',
HeaderMsg => InstancePath & "/arriaiigz_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_scanclkena_scanclk,
TimingData => TimingData_scanclkena_scanclk,
TestSignal => scanclkena_ipd,
TestSignalName => "scanclkena",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scanclkena_scanclk_noedge_negedge,
SetupLow => tsetup_scanclkena_scanclk_noedge_negedge,
HoldHigh => thold_scanclkena_scanclk_noedge_negedge,
HoldLow => thold_scanclkena_scanclk_noedge_negedge,
CheckEnabled => TRUE,
RefTransition => '\',
HeaderMsg => InstancePath & "/arriaiigz_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (scanclk_ipd'event AND scanclk_ipd = '0' AND now > 0 ps) then
scanclkena_reg <= scanclkena_ipd;
if (scanclkena_reg = '1') then
scandata_in <= scandata_ipd;
scandata_out <= scandataout_tmp;
end if;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1' and now > 0 ps) then
if (got_first_scanclk) then
scanclk_period <= now - scanclk_last_rising_edge;
else
got_first_scanclk := true;
end if;
if (scanclkena_reg = '1') then
for j in scan_chain_length - 1 downto 1 loop
scan_data(j) <= scan_data(j-1);
end loop;
scan_data(0) <= scandata_in;
end if;
scanclk_last_rising_edge := now;
end if;
end process;
-- PLL Phase Reconfiguration
PROCESS(scanclk_ipd, areset_ipd,phasestep_ipd)
VARIABLE i : INTEGER := 0;
VARIABLE c_ph : INTEGER := 0;
VARIABLE m_ph : INTEGER := 0;
VARIABLE select_counter : INTEGER := 0;
BEGIN
IF (NOW = 0 ps) THEN
m_ph_val_tmp <= m_ph_initial;
END IF;
-- Latch phase enable (same as phasestep) on neg edge of scan clock
IF (scanclk_ipd'EVENT AND scanclk_ipd = '0') THEN
phasestep_reg <= phasestep_ipd;
END IF;
IF (phasestep_ipd'EVENT and phasestep_ipd = '1') THEN
IF (update_phase = '0') THEN
phasestep_high_count <= 0; -- phase adjustments must be 1 cycle apart
-- if not, next phasestep cycle is skipped
END IF;
END IF;
-- revert counter phase tap values to POF programmed values
-- if PLL is reset
IF (areset_ipd'EVENT AND areset_ipd = '1') then
c_ph_val_tmp <= c_ph_val_orig;
m_ph_val_tmp <= m_ph_initial;
END IF;
IF (scanclk_ipd'EVENT AND scanclk_ipd = '1') THEN
IF (phasestep_reg = '1') THEN
IF (phasestep_high_count = 1) THEN
phasecounterselect_reg <= phasecounterselect_ipd;
phaseupdown_reg <= phaseupdown_ipd;
-- start reconfiguration
IF (phasecounterselect_ipd < "1100") THEN -- no counters selected
IF (phasecounterselect_ipd = "0000") THEN
i := 0;
WHILE (i < num_output_cntrs) LOOP
c_ph := c_ph_val(i);
IF (phaseupdown_ipd = '1') THEN
c_ph := (c_ph + 1) mod num_phase_taps;
ELSIF (c_ph = 0) THEN
c_ph := num_phase_taps - 1;
ELSE
c_ph := (c_ph - 1) mod num_phase_taps;
END IF;
c_ph_val_tmp(i) <= c_ph;
i := i + 1;
END LOOP;
ELSIF (phasecounterselect_ipd = "0001") THEN
m_ph := m_ph_val;
IF (phaseupdown_ipd = '1') THEN
m_ph := (m_ph + 1) mod num_phase_taps;
ELSIF (m_ph = 0) THEN
m_ph := num_phase_taps - 1;
ELSE
m_ph := (m_ph - 1) mod num_phase_taps;
END IF;
m_ph_val_tmp <= m_ph;
ELSE
select_counter := alt_conv_integer(phasecounterselect_ipd) - 2;
c_ph := c_ph_val(select_counter);
IF (phaseupdown_ipd = '1') THEN
c_ph := (c_ph + 1) mod num_phase_taps;
ELSIF (c_ph = 0) THEN
c_ph := num_phase_taps - 1;
ELSE
c_ph := (c_ph - 1) mod num_phase_taps;
END IF;
c_ph_val_tmp(select_counter) <= c_ph;
END IF;
update_phase <= '1','0' AFTER (0.5 * scanclk_period);
END IF;
END IF;
phasestep_high_count <= phasestep_high_count + 1;
END IF;
END IF;
END PROCESS;
scandataout_tmp <= scan_data(FAST_SCAN_CHAIN-2) when (pll_type = "fast" or pll_type = "lvds" or pll_type = "left_right") else scan_data(GPP_SCAN_CHAIN-2);
process (schedule_vco, areset_ipd, pfdena_ipd, refclk, fbclk)
variable sched_time : time := 0 ps;
TYPE time_array is ARRAY (0 to 7) of time;
variable init : boolean := true;
variable refclk_period : time;
variable m_times_vco_period : time;
variable new_m_times_vco_period : time;
variable phase_shift : time_array := (OTHERS => 0 ps);
variable last_phase_shift : time_array := (OTHERS => 0 ps);
variable l_index : integer := 1;
variable cycle_to_adjust : integer := 0;
variable stop_vco : boolean := false;
variable locked_tmp : std_logic := '0';
variable pll_is_locked : boolean := false;
variable cycles_pfd_low : integer := 0;
variable cycles_pfd_high : integer := 0;
variable cycles_to_lock : integer := 0;
variable cycles_to_unlock : integer := 0;
variable got_first_refclk : boolean := false;
variable got_second_refclk : boolean := false;
variable got_first_fbclk : boolean := false;
variable refclk_time : time := 0 ps;
variable fbclk_time : time := 0 ps;
variable first_fbclk_time : time := 0 ps;
variable fbclk_period : time := 0 ps;
variable first_schedule : boolean := true;
variable vco_val : std_logic := '0';
variable vco_period_was_phase_adjusted : boolean := false;
variable phase_adjust_was_scheduled : boolean := false;
variable loop_xplier : integer;
variable loop_initial : integer := 0;
variable loop_ph : integer := 0;
variable loop_time_delay : integer := 0;
variable initial_delay : time := 0 ps;
variable vco_per : time;
variable tmp_rem : integer;
variable my_rem : integer;
variable fbk_phase : integer := 0;
variable pull_back_M : integer := 0;
variable total_pull_back : integer := 0;
variable fbk_delay : integer := 0;
variable offset : time := 0 ps;
variable tmp_vco_per : integer := 0;
variable high_time : time;
variable low_time : time;
variable got_refclk_posedge : boolean := false;
variable got_fbclk_posedge : boolean := false;
variable inclk_out_of_range : boolean := false;
variable no_warn : boolean := false;
variable ext_fbk_cntr_modulus : integer := 1;
variable init_clks : boolean := true;
variable pll_is_in_reset : boolean := false;
variable buf : line;
begin
if (init) then
-- jump-start the VCO
-- add 1 ps delay to ensure all signals are updated to initial
-- values
schedule_vco <= transport not schedule_vco after 1 ps;
init := false;
end if;
if (schedule_vco'event) then
if (init_clks) then
refclk_period := inclk0_input_frequency * n_val * 1 ps;
m_times_vco_period := refclk_period;
new_m_times_vco_period := refclk_period;
init_clks := false;
end if;
sched_time := 0 ps;
for i in 0 to 7 loop
last_phase_shift(i) := phase_shift(i);
end loop;
cycle_to_adjust := 0;
l_index := 1;
m_times_vco_period := new_m_times_vco_period;
end if;
-- areset was asserted
if (areset_ipd'event and areset_ipd = '1') then
assert false report family_name & " PLL was reset" severity note;
-- reset lock parameters
pll_is_locked := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
end if;
if (areset_ipd = '1') then
pll_is_in_reset := true;
got_first_refclk := false;
got_second_refclk := false;
-- drop VCO taps to 0
for i in 0 to 7 loop
vco_out(i) <= transport '0' after 1 ps;
end loop;
end if;
if (schedule_vco'event and (areset_ipd = '1' or stop_vco)) then
-- drop VCO taps to 0
for i in 0 to 7 loop
vco_out(i) <= transport '0' after last_phase_shift(i);
phase_shift(i) := 0 ps;
last_phase_shift(i) := 0 ps;
end loop;
-- reset lock parameters
pll_is_locked := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
got_first_refclk := false;
got_second_refclk := false;
refclk_time := 0 ps;
got_first_fbclk := false;
fbclk_time := 0 ps;
first_fbclk_time := 0 ps;
fbclk_period := 0 ps;
first_schedule := true;
vco_val := '0';
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
elsif ((schedule_vco'event or areset_ipd'event) and areset_ipd = '0' and (not stop_vco) and now > 0 ps) then
-- note areset deassert time
-- note it as refclk_time to prevent false triggering
-- of stop_vco after areset
if (areset_ipd'event and areset_ipd = '0' and pll_is_in_reset) then
refclk_time := now;
locked_tmp := '0';
end if;
pll_is_in_reset := false;
-- calculate loop_xplier : this will be different from m_val
-- in external_feedback_mode
loop_xplier := m_val;
loop_initial := m_initial_val - 1;
loop_ph := m_ph_val;
-- convert initial value to delay
initial_delay := (loop_initial * m_times_vco_period)/loop_xplier;
-- convert loop ph_tap to delay
my_rem := (m_times_vco_period/1 ps) rem loop_xplier;
tmp_vco_per := (m_times_vco_period/1 ps) / loop_xplier;
if (my_rem /= 0) then
tmp_vco_per := tmp_vco_per + 1;
end if;
fbk_phase := (loop_ph * tmp_vco_per)/8;
pull_back_M := initial_delay/1 ps + fbk_phase;
total_pull_back := pull_back_M;
if (simulation_type = "timing") then
total_pull_back := total_pull_back + pll_compensation_delay;
end if;
while (total_pull_back > refclk_period/1 ps) loop
total_pull_back := total_pull_back - refclk_period/1 ps;
end loop;
if (total_pull_back > 0) then
offset := refclk_period - (total_pull_back * 1 ps);
end if;
fbk_delay := total_pull_back - fbk_phase;
if (fbk_delay < 0) then
offset := offset - (fbk_phase * 1 ps);
fbk_delay := total_pull_back;
end if;
-- assign m_delay
m_delay <= transport fbk_delay after 1 ps;
my_rem := (m_times_vco_period/1 ps) rem loop_xplier;
for i in 1 to loop_xplier loop
-- adjust cycles
tmp_vco_per := (m_times_vco_period/1 ps)/loop_xplier;
if (my_rem /= 0 and l_index <= my_rem) then
tmp_rem := (loop_xplier * l_index) rem my_rem;
cycle_to_adjust := (loop_xplier * l_index) / my_rem;
if (tmp_rem /= 0) then
cycle_to_adjust := cycle_to_adjust + 1;
end if;
end if;
if (cycle_to_adjust = i) then
tmp_vco_per := tmp_vco_per + 1;
l_index := l_index + 1;
end if;
-- calculate high and low periods
vco_per := tmp_vco_per * 1 ps;
high_time := (tmp_vco_per/2) * 1 ps;
if (tmp_vco_per rem 2 /= 0) then
high_time := high_time + 1 ps;
end if;
low_time := vco_per - high_time;
-- schedule the rising and falling edges
for j in 1 to 2 loop
vco_val := not vco_val;
if (vco_val = '0') then
sched_time := sched_time + high_time;
elsif (vco_val = '1') then
sched_time := sched_time + low_time;
end if;
-- schedule the phase taps
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
if (first_schedule) then
vco_out(k) <= transport vco_val after (sched_time + phase_shift(k));
else
vco_out(k) <= transport vco_val after (sched_time + last_phase_shift(k));
end if;
end loop;
end loop;
end loop;
-- schedule once more
if (first_schedule) then
vco_val := not vco_val;
if (vco_val = '0') then
sched_time := sched_time + high_time;
elsif (vco_val = '1') then
sched_time := sched_time + low_time;
end if;
-- schedule the phase taps
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
vco_out(k) <= transport vco_val after (sched_time + phase_shift(k));
end loop;
first_schedule := false;
end if;
schedule_vco <= transport not schedule_vco after sched_time;
if (vco_period_was_phase_adjusted) then
m_times_vco_period := refclk_period;
new_m_times_vco_period := refclk_period;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := true;
vco_per := m_times_vco_period/loop_xplier;
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
end loop;
end if;
end if;
-- Bypass lock detect
if (refclk'event and refclk = '1' and areset_ipd = '0') then
if (test_bypass_lock_detect = "on") then
if (pfdena_ipd = '1') then
cycles_pfd_low := 0;
if (pfd_locked = '0') then
if (cycles_pfd_high = lock_high) then
assert false report family_name & " PLL locked in test mode on PFD enable assertion." severity warning;
pfd_locked <= '1';
end if;
cycles_pfd_high := cycles_pfd_high + 1;
end if;
end if;
if (pfdena_ipd = '0') then
cycles_pfd_high := 0;
if (pfd_locked = '1') then
if (cycles_pfd_low = lock_low) then
assert false report family_name & " PLL lost lock in test mode on PFD enable de-assertion." severity warning;
pfd_locked <= '0';
end if;
cycles_pfd_low := cycles_pfd_low + 1;
end if;
end if;
end if;
if (refclk'event and refclk = '1' and areset_ipd = '0') then
got_refclk_posedge := true;
if (not got_first_refclk) then
got_first_refclk := true;
else
got_second_refclk := true;
refclk_period := now - refclk_time;
-- check if incoming freq. will cause VCO range to be
-- exceeded
if ( (i_vco_max /= 0 and i_vco_min /= 0 and pfdena_ipd = '1') and
(((refclk_period/1 ps)/loop_xplier > i_vco_max) or
((refclk_period/1 ps)/loop_xplier < i_vco_min)) ) then
if (pll_is_locked) then
if ((refclk_period/1 ps)/loop_xplier > i_vco_max) then
assert false report "Input clock freq. is over VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_over <= '1';
end if;
if ((refclk_period/1 ps)/loop_xplier < i_vco_min) then
assert false report "Input clock freq. is under VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_under <= '1';
end if;
if (inclk_out_of_range) then
pll_is_locked := false;
locked_tmp := '0';
cycles_to_lock := 0;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
assert false report family_name & " PLL lost lock." severity note;
end if;
elsif (not no_warn) then
if ((refclk_period/1 ps)/loop_xplier > i_vco_max) then
assert false report "Input clock freq. is over VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_over <= '1';
end if;
if ((refclk_period/1 ps)/loop_xplier < i_vco_min) then
assert false report "Input clock freq. is under VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_under <= '1';
end if;
assert false report " Input clock freq. is not within VCO range : " & family_name & " PLL may not lock. Please use the correct frequency." severity warning;
no_warn := true;
end if;
inclk_out_of_range := true;
else
vco_over <= '0';
vco_under <= '0';
inclk_out_of_range := false;
no_warn := false;
end if;
end if;
end if;
if (stop_vco) then
stop_vco := false;
schedule_vco <= not schedule_vco;
end if;
refclk_time := now;
else
got_refclk_posedge := false;
end if;
-- Update M counter value on feedback clock edge
if (fbclk'event and fbclk = '1') then
got_fbclk_posedge := true;
if (not got_first_fbclk) then
got_first_fbclk := true;
else
fbclk_period := now - fbclk_time;
end if;
-- need refclk_period here, so initialized to proper value above
if ( ( (now - refclk_time > 1.5 * refclk_period) and pfdena_ipd = '1' and pll_is_locked) or
( (now - refclk_time > 5 * refclk_period) and pfdena_ipd = '1' and pll_has_just_been_reconfigured = false) or
( (now - refclk_time > 50 * refclk_period) and pfdena_ipd = '1' and pll_has_just_been_reconfigured = true) ) then
stop_vco := true;
-- reset
got_first_refclk := false;
got_first_fbclk := false;
got_second_refclk := false;
if (pll_is_locked) then
pll_is_locked := false;
locked_tmp := '0';
assert false report family_name & " PLL lost lock due to loss of input clock or the input clock is not detected within the allowed time frame." severity note;
if ((i_vco_max = 0) and (i_vco_min = 0)) then
assert false report "Please run timing simulation to check whether the input clock is operating within the supported VCO range or not." severity note;
end if;
end if;
cycles_to_lock := 0;
cycles_to_unlock := 0;
first_schedule := true;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
end if;
fbclk_time := now;
else
got_fbclk_posedge := false;
end if;
if ((got_refclk_posedge or got_fbclk_posedge) and got_second_refclk and pfdena_ipd = '1' and (not inclk_out_of_range)) then
-- now we know actual incoming period
if ( abs(fbclk_time - refclk_time) <= 5 ps or
(got_first_fbclk and abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5 ps)) then
-- considered in phase
if (cycles_to_lock = real_lock_high) then
if (not pll_is_locked) then
assert false report family_name & " PLL locked to incoming clock" severity note;
end if;
pll_is_locked := true;
locked_tmp := '1';
cycles_to_unlock := 0;
end if;
-- increment lock counter only if second part of above
-- time check is NOT true
if (not(abs(refclk_period - abs(fbclk_time - refclk_time)) <= lock_window)) then
cycles_to_lock := cycles_to_lock + 1;
end if;
-- adjust m_times_vco_period
new_m_times_vco_period := refclk_period;
else
-- if locked, begin unlock
if (pll_is_locked) then
cycles_to_unlock := cycles_to_unlock + 1;
if (cycles_to_unlock = lock_low) then
pll_is_locked := false;
locked_tmp := '0';
cycles_to_lock := 0;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
assert false report family_name & " PLL lost lock." severity note;
got_first_refclk := false;
got_first_fbclk := false;
got_second_refclk := false;
end if;
end if;
if ( abs(refclk_period - fbclk_period) <= 2 ps ) then
-- frequency is still good
if (now = fbclk_time and (not phase_adjust_was_scheduled)) then
if ( abs(fbclk_time - refclk_time) > refclk_period/2) then
new_m_times_vco_period := m_times_vco_period + (refclk_period - abs(fbclk_time - refclk_time));
vco_period_was_phase_adjusted := true;
else
new_m_times_vco_period := m_times_vco_period - abs(fbclk_time - refclk_time);
vco_period_was_phase_adjusted := true;
end if;
end if;
else
phase_adjust_was_scheduled := false;
new_m_times_vco_period := refclk_period;
end if;
end if;
end if;
if (pfdena_ipd = '0') then
if (pll_is_locked) then
locked_tmp := 'X';
end if;
pll_is_locked := false;
cycles_to_lock := 0;
end if;
-- give message only at time of deassertion
if (pfdena_ipd'event and pfdena_ipd = '0') then
assert false report "PFDENA deasserted." severity note;
elsif (pfdena_ipd'event and pfdena_ipd = '1') then
got_first_refclk := false;
got_second_refclk := false;
refclk_time := now;
end if;
if (reconfig_err) then
lock <= '0';
else
lock <= locked_tmp;
end if;
-- signal to calculate quiet_time
sig_refclk_period <= refclk_period;
if (stop_vco = true) then
sig_stop_vco <= '1';
else
sig_stop_vco <= '0';
end if;
pll_locked <= pll_is_locked;
end process;
clk0_tmp <= c_clk(i_clk0_counter);
clk_pfd(0) <= clk0_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(0) <= clk_pfd(0) WHEN (test_bypass_lock_detect = "on") ELSE
clk0_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else
'X';
clk1_tmp <= c_clk(i_clk1_counter);
clk_pfd(1) <= clk1_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(1) <= clk_pfd(1) WHEN (test_bypass_lock_detect = "on") ELSE
clk1_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk2_tmp <= c_clk(i_clk2_counter);
clk_pfd(2) <= clk2_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(2) <= clk_pfd(2) WHEN (test_bypass_lock_detect = "on") ELSE
clk2_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk3_tmp <= c_clk(i_clk3_counter);
clk_pfd(3) <= clk3_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(3) <= clk_pfd(3) WHEN (test_bypass_lock_detect = "on") ELSE
clk3_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk4_tmp <= c_clk(i_clk4_counter);
clk_pfd(4) <= clk4_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(4) <= clk_pfd(4) WHEN (test_bypass_lock_detect = "on") ELSE
clk4_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk5_tmp <= c_clk(i_clk5_counter);
clk_pfd(5) <= clk5_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(5) <= clk_pfd(5) WHEN (test_bypass_lock_detect = "on") ELSE
clk5_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk6_tmp <= c_clk(i_clk6_counter);
clk_pfd(6) <= clk6_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(6) <= clk_pfd(6) WHEN (test_bypass_lock_detect = "on") ELSE
clk6_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk7_tmp <= c_clk(i_clk7_counter);
clk_pfd(7) <= clk7_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(7) <= clk_pfd(7) WHEN (test_bypass_lock_detect = "on") ELSE
clk7_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk8_tmp <= c_clk(i_clk8_counter);
clk_pfd(8) <= clk8_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(8) <= clk_pfd(8) WHEN (test_bypass_lock_detect = "on") ELSE
clk8_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk9_tmp <= c_clk(i_clk9_counter);
clk_pfd(9) <= clk9_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(9) <= clk_pfd(9) WHEN (test_bypass_lock_detect = "on") ELSE
clk9_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
scandataout <= scandata_out;
scandone <= NOT scandone_tmp;
phasedone <= NOT update_phase;
vcooverrange <= 'Z' WHEN (vco_range_detector_high_bits = -1) ELSE vco_over;
vcounderrange <= 'Z' WHEN (vco_range_detector_low_bits = -1) ELSE vco_under;
fbout <= fbclk;
end vital_pll;
-- END ARCHITECTURE VITAL_PLL
-------------------------------------------------------------------
--
-- Entity Name : arriaiigz_asmiblock
--
-- Description : ARRIAIIGZ ASMIBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_asmiblock is
generic (
lpm_type : string := "arriaiigz_asmiblock"
);
port (
dclkin : in std_logic := '0';
scein : in std_logic := '0';
sdoin : in std_logic := '0';
data0in : in std_logic := '0';
oe : in std_logic := '0';
dclkout : out std_logic;
sceout : out std_logic;
sdoout : out std_logic;
data0out: out std_logic
);
end arriaiigz_asmiblock;
architecture architecture_asmiblock of arriaiigz_asmiblock is
begin
end architecture_asmiblock; -- end of arriaiigz_asmiblock
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : arriaiigz_lvds_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for registering the enable inputs.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_lvds_reg is
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := True;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END arriaiigz_lvds_reg;
ARCHITECTURE vital_arriaiigz_lvds_reg of arriaiigz_lvds_reg is
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal ena_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (d_ipd, d, tipd_d);
end block;
process (clk_ipd, d_ipd, clrn, prn)
variable q_tmp : std_logic := '0';
variable q_VitalGlitchData : VitalGlitchDataType;
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
begin
------------------------
-- Timing Check Section
------------------------
if (prn = '0') then
q_tmp := '1';
elsif (clrn = '0') then
q_tmp := '0';
elsif (clk_ipd'event and clk_ipd = '1') then
if (ena_ipd = '1') then
q_tmp := d_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_arriaiigz_lvds_reg;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : arriaiigz_lvds_rx_fifo_sync_ram
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_lvds_rx_fifo_sync_ram is
PORT ( clk : IN std_logic;
datain : IN std_logic := '0';
writereset : IN std_logic := '0';
waddr : IN std_logic_vector(2 DOWNTO 0) := "000";
raddr : IN std_logic_vector(2 DOWNTO 0) := "000";
we : IN std_logic := '0';
dataout : OUT std_logic
);
END arriaiigz_lvds_rx_fifo_sync_ram;
ARCHITECTURE vital_arm_lvds_rx_fifo_sync_ram OF arriaiigz_lvds_rx_fifo_sync_ram IS
-- INTERNAL SIGNALS
signal dataout_tmp : std_logic;
signal ram_d : std_logic_vector(0 TO 5);
signal ram_q : std_logic_vector(0 TO 5);
signal data_reg : std_logic_vector(0 TO 5);
begin
dataout <= dataout_tmp;
process (clk, writereset)
variable initial : boolean := true;
begin
if (initial) then
for i in 0 to 5 loop
ram_q(i) <= '0';
end loop;
initial := false;
end if;
if (writereset = '1') then
for i in 0 to 5 loop
ram_q(i) <= '0';
end loop;
elsif (clk'event and clk = '1') then
for i in 0 to 5 loop
ram_q(i) <= ram_d(i);
end loop;
end if;
end process;
process (we, data_reg, ram_q)
begin
if (we = '1') then
ram_d <= data_reg;
else
ram_d <= ram_q;
end if;
end process;
data_reg(0) <= datain when (waddr = "000") else ram_q(0) ;
data_reg(1) <= datain when (waddr = "001") else ram_q(1) ;
data_reg(2) <= datain when (waddr = "010") else ram_q(2) ;
data_reg(3) <= datain when (waddr = "011") else ram_q(3) ;
data_reg(4) <= datain when (waddr = "100") else ram_q(4) ;
data_reg(5) <= datain when (waddr = "101") else ram_q(5) ;
process (ram_q, we, waddr, raddr)
variable initial : boolean := true;
begin
if (initial) then
dataout_tmp <= '0';
initial := false;
end if;
case raddr is
when "000" =>
dataout_tmp <= ram_q(0);
when "001" =>
dataout_tmp <= ram_q(1);
when "010" =>
dataout_tmp <= ram_q(2);
when "011" =>
dataout_tmp <= ram_q(3);
when "100" =>
dataout_tmp <= ram_q(4);
when "101" =>
dataout_tmp <= ram_q(5);
when others =>
dataout_tmp <= '0';
end case;
end process;
END vital_arm_lvds_rx_fifo_sync_ram;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : arriaiigz_lvds_rx_fifo
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
USE work.arriaiigz_lvds_rx_fifo_sync_ram;
ENTITY arriaiigz_lvds_rx_fifo is
GENERIC ( channel_width : integer := 10;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_wclk : VitalDelayType01 := DefpropDelay01;
tipd_rclk : VitalDelayType01 := DefpropDelay01;
tipd_dparst : VitalDelayType01 := DefpropDelay01;
tipd_fiforst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_rclk_dataout_posedge: VitalDelayType01 := DefPropDelay01;
tpd_dparst_dataout_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( wclk : IN std_logic:= '0';
rclk : IN std_logic:= '0';
dparst : IN std_logic := '0';
fiforst : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic
);
END arriaiigz_lvds_rx_fifo;
ARCHITECTURE vital_arm_lvds_rx_fifo of arriaiigz_lvds_rx_fifo is
-- INTERNAL SIGNALS
signal datain_in : std_logic;
signal rclk_in : std_logic;
signal dparst_in : std_logic;
signal fiforst_in : std_logic;
signal wclk_in : std_logic;
signal ram_datain : std_logic;
signal ram_dataout : std_logic;
signal wrPtr : std_logic_vector(2 DOWNTO 0);
signal rdPtr : std_logic_vector(2 DOWNTO 0);
signal rdAddr : std_logic_vector(2 DOWNTO 0);
signal ram_we : std_logic;
signal write_side_sync_reset : std_logic;
signal read_side_sync_reset : std_logic;
COMPONENT arriaiigz_lvds_rx_fifo_sync_ram
PORT ( clk : IN std_logic;
datain : IN std_logic := '0';
writereset : IN std_logic := '0';
waddr : IN std_logic_vector(2 DOWNTO 0) := "000";
raddr : IN std_logic_vector(2 DOWNTO 0) := "000";
we : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (wclk_in, wclk, tipd_wclk);
VitalWireDelay (rclk_in, rclk, tipd_rclk);
VitalWireDelay (dparst_in, dparst, tipd_dparst);
VitalWireDelay (fiforst_in, fiforst, tipd_fiforst);
VitalWireDelay (datain_in, datain, tipd_datain);
end block;
rdAddr <= rdPtr ;
s_fifo_ram : arriaiigz_lvds_rx_fifo_sync_ram
PORT MAP ( clk => wclk_in,
datain => ram_datain,
writereset => write_side_sync_reset,
waddr => wrPtr,
raddr => rdAddr,
we => ram_we,
dataout => ram_dataout
);
process (wclk_in, dparst_in)
variable initial : boolean := true;
begin
if (initial) then
wrPtr <= "000";
write_side_sync_reset <= '0';
ram_we <= '0';
ram_datain <= '0';
initial := false;
end if;
if (dparst_in = '1' or (fiforst_in = '1' and wclk_in'event and wclk_in = '1')) then
write_side_sync_reset <= '1';
ram_datain <= '0';
wrPtr <= "000";
ram_we <= '0';
elsif (dparst_in = '0' and (fiforst_in = '0' and wclk_in'event and wclk_in = '1')) then
write_side_sync_reset <= '0';
end if;
if (wclk_in'event and wclk_in = '1' and write_side_sync_reset = '0' and fiforst_in = '0' and dparst_in = '0') then
ram_datain <= datain_in;
ram_we <= '1';
case wrPtr is
when "000" => wrPtr <= "001";
when "001" => wrPtr <= "010";
when "010" => wrPtr <= "011";
when "011" => wrPtr <= "100";
when "100" => wrPtr <= "101";
when "101" => wrPtr <= "000";
when others => wrPtr <= "000";
end case;
end if;
end process;
process (rclk_in, dparst_in)
variable initial : boolean := true;
variable dataout_tmp : std_logic := '0';
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
if (initial) then
rdPtr <= "011";
read_side_sync_reset <= '0';
dataout_tmp := '0';
initial := false;
end if;
if (dparst_in = '1' or (fiforst_in = '1' and rclk_in'event and rclk_in = '1')) then
read_side_sync_reset <= '1';
rdPtr <= "011";
dataout_tmp := '0';
elsif (dparst_in = '0' and (fiforst_in = '0' and rclk_in'event and rclk_in = '1')) then
read_side_sync_reset <= '0';
end if;
if (rclk_in'event and rclk_in = '1' and read_side_sync_reset = '0' and fiforst_in = '0' and dparst_in = '0') then
case rdPtr is
when "000" => rdPtr <= "001";
when "001" => rdPtr <= "010";
when "010" => rdPtr <= "011";
when "011" => rdPtr <= "100";
when "100" => rdPtr <= "101";
when "101" => rdPtr <= "000";
when others => rdPtr <= "000";
end case;
dataout_tmp := ram_dataout;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
Outsignal => dataout,
OutsignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (1 => (rclk_in'last_event, tpd_rclk_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
END vital_arm_lvds_rx_fifo;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : arriaiigz_lvds_rx_bitslip
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
USE work.arriaiigz_lvds_reg;
ENTITY arriaiigz_lvds_rx_bitslip is
GENERIC ( channel_width : integer := 10;
bitslip_rollover : integer := 12;
x_on_bitslip : string := "on";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_bslipcntl : VitalDelayType01 := DefpropDelay01;
tipd_bsliprst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_bsliprst_bslipmax_posedge: VitalDelayType01 := DefPropDelay01;
tpd_clk0_bslipmax_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic := '0';
bslipcntl : IN std_logic := '0';
bsliprst : IN std_logic := '0';
datain : IN std_logic := '0';
bslipmax : OUT std_logic;
dataout : OUT std_logic
);
END arriaiigz_lvds_rx_bitslip;
ARCHITECTURE vital_arm_lvds_rx_bitslip OF arriaiigz_lvds_rx_bitslip IS
-- INTERNAL SIGNALS
signal clk0_in : std_logic;
signal bslipcntl_in : std_logic;
signal bsliprst_in : std_logic;
signal datain_in : std_logic;
signal slip_count : integer := 0;
signal dataout_tmp : std_logic;
signal bitslip_arr : std_logic_vector(11 DOWNTO 0) := "000000000000";
signal bslipcntl_reg : std_logic;
signal vcc : std_logic := '1';
signal slip_data : std_logic := '0';
signal start_corrupt_bits : std_logic := '0';
signal num_corrupt_bits : integer := 0;
COMPONENT arriaiigz_lvds_reg
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk0_in, clk0, tipd_clk0);
VitalWireDelay (bslipcntl_in, bslipcntl, tipd_bslipcntl);
VitalWireDelay (bsliprst_in, bsliprst, tipd_bsliprst);
VitalWireDelay (datain_in, datain, tipd_datain);
end block;
bslipcntlreg : arriaiigz_lvds_reg
PORT MAP ( d => bslipcntl_in,
clk => clk0_in,
ena => vcc,
clrn => vcc,
prn => vcc,
q => bslipcntl_reg
);
-- 4-bit slip counter and 12-bit shift register
process (bslipcntl_reg, bsliprst_in, clk0_in)
variable initial : boolean := true;
variable bslipmax_tmp : std_logic := '0';
variable bslipmax_VitalGlitchData : VitalGlitchDataType;
begin
if (bsliprst_in = '1') then
slip_count <= 0;
bslipmax_tmp := '0';
-- bitslip_arr <= (OTHERS => '0');
if (bsliprst_in'event and bsliprst_in = '1' and bsliprst_in'last_value = '0') then
ASSERT false report "Bit Slip Circuit was reset. Serial Data stream will have 0 latency" severity note;
end if;
else
if (bslipcntl_reg'event and bslipcntl_reg = '1' and bslipcntl_reg'last_value = '0') then
if (x_on_bitslip = "on") then
start_corrupt_bits <= '1';
end if;
num_corrupt_bits <= 0;
if (slip_count = bitslip_rollover) then
ASSERT false report "Rollover occurred on Bit Slip circuit. Serial data stream will have 0 latency." severity note;
slip_count <= 0;
bslipmax_tmp := '0';
else
slip_count <= slip_count + 1;
if ((slip_count + 1) = bitslip_rollover) then
ASSERT false report "The Bit Slip circuit has reached the maximum Bit Slip limit. Rollover will occur on the next slip." severity note;
bslipmax_tmp := '1';
end if;
end if;
elsif (bslipcntl_reg'event and bslipcntl_reg = '0' and bslipcntl_reg'last_value = '1') then
start_corrupt_bits <= '0';
num_corrupt_bits <= 0;
end if;
end if;
if (clk0_in'event and clk0_in = '1' and clk0_in'last_value = '0') then
bitslip_arr(0) <= datain_in;
for i in 0 to (bitslip_rollover - 1) loop
bitslip_arr(i + 1) <= bitslip_arr(i);
end loop;
if (start_corrupt_bits = '1') then
num_corrupt_bits <= num_corrupt_bits + 1;
end if;
if (num_corrupt_bits+1 = 3) then
start_corrupt_bits <= '0';
end if;
end if;
-- end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
Outsignal => bslipmax,
OutsignalName => "BSLIPMAX",
OutTemp => bslipmax_tmp,
Paths => (1 => (clk0_in'last_event, tpd_clk0_bslipmax_posedge, TRUE),
2 => (bsliprst_in'last_event, tpd_bsliprst_bslipmax_posedge, TRUE)),
GlitchData => bslipmax_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
slip_data <= bitslip_arr(slip_count);
dataoutreg : arriaiigz_lvds_reg
PORT MAP ( d => slip_data,
clk => clk0_in,
ena => vcc,
clrn => vcc,
prn => vcc,
q => dataout_tmp
);
dataout <= dataout_tmp when start_corrupt_bits = '0' else
'X' when start_corrupt_bits = '1' and num_corrupt_bits < 3 else
dataout_tmp;
END vital_arm_lvds_rx_bitslip;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : arriaiigz_lvds_rx_deser
--
-- Description : Timing simulation model for the arriaiigz LVDS RECEIVER
-- DESERIALIZER. This module receives serial data and outputs
-- parallel data word of width = channel width
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_lvds_rx_deser IS
GENERIC ( channel_width : integer := 4;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END arriaiigz_lvds_rx_deser;
ARCHITECTURE vital_arm_lvds_rx_deser OF arriaiigz_lvds_rx_deser IS
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal datain_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process (clk_ipd, devpor, devclrn)
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
variable i : integer := 0;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if (devclrn = '0' or devpor = '0') then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0') then
for i in channel_width - 1 DOWNTO 1 loop
dataout_tmp(i) := dataout_tmp(i - 1);
end loop;
dataout_tmp(0) := datain_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay (
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= TRANSPORT dataout_tmp AFTER CQDelay;
end process;
END vital_arm_lvds_rx_deser;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : arriaiigz_lvds_rx_parallel_reg
--
-- Description : Timing simulation model for the arriaiigz LVDS RECEIVER
-- PARALLEL REGISTER. The data width equals max. channel width,
-- which is 10.
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_lvds_rx_parallel_reg IS
GENERIC ( channel_width : integer := 4;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01);
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk : IN std_logic;
enable : IN std_logic := '1';
datain : IN std_logic_vector(channel_width - 1 DOWNTO 0);
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END arriaiigz_lvds_rx_parallel_reg;
ARCHITECTURE vital_arm_lvds_rx_parallel_reg OF arriaiigz_lvds_rx_parallel_reg IS
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal datain_ipd : std_logic_vector(channel_width - 1 downto 0);
signal enable_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (enable_ipd, enable, tipd_enable);
loopbits : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
end block;
VITAL: process (clk_ipd, devpor, devclrn)
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
variable i : integer := 0;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if ((devpor = '0') or (devclrn = '0')) then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1') then
if (enable_ipd = '1') then
dataout_tmp := datain_ipd;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay (
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= dataout_tmp AFTER CQDelay;
end process;
END vital_arm_lvds_rx_parallel_reg;
-------------------------------------------------------------------------------
--
-- Module Name : arriaiigz_pclk_divider
--
-- Description : Simulation model for a clock divider
-- output clock is divided by value specified
-- in the parameter clk_divide_by
--
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY arriaiigz_pclk_divider IS
GENERIC (
clk_divide_by : integer := 1);
PORT (
clkin : IN std_logic;
lloaden : OUT std_logic;
clkout : OUT std_logic);
END arriaiigz_pclk_divider;
ARCHITECTURE arch OF arriaiigz_pclk_divider IS
SIGNAL lloaden_tmp : std_logic := '0';
SIGNAL clkout_tmp : std_logic := '0';
SIGNAL cnt : std_logic_vector(4 DOWNTO 0):= (others => '0');
BEGIN
clkout <= clkin WHEN (clk_divide_by = 1) ELSE clkout_tmp;
lloaden <= lloaden_tmp;
PROCESS(clkin)
variable count : std_logic := '0';
variable start : std_logic := '0';
variable prev_load : std_logic := '0';
BEGIN
IF(clkin = '1') THEN
count := '1';
END IF;
if( count = '1') then
IF (cnt < clk_divide_by) THEN
clkout_tmp <= '0';
cnt <= cnt + "00001";
ELSE
IF (cnt = (2 * clk_divide_by - 1)) THEN
cnt <= "00000";
ELSE
clkout_tmp <= '1';
cnt <= cnt + "00001";
END IF;
END IF;
end if;
END PROCESS;
process( clkin, cnt )
begin
if( cnt =( 2*clk_divide_by -2) )then
lloaden_tmp <= '1';
else
if(cnt = 0)then
lloaden_tmp <= '0';
end if;
end if;
end process;
END arch;
-------------------------------------------------------------------------------
--
-- Module Name : arriaiigz_select_ini_phase_dpaclk
--
-- Description : Simulation model for selecting the initial phase of the dpa clock
--
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.ALL;
ENTITY arriaiigz_select_ini_phase_dpaclk IS
GENERIC(
initial_phase_select : integer := 0
);
PORT (
clkin : IN STD_LOGIC;
loaden : IN STD_LOGIC;
enable : IN STD_LOGIC;
clkout : OUT STD_LOGIC;
loadenout : OUT STD_LOGIC
);
END arriaiigz_select_ini_phase_dpaclk;
ARCHITECTURE trans OF arriaiigz_select_ini_phase_dpaclk IS
SIGNAL clk_period : time := 0 ps;
SIGNAL last_clk_period : time := 0 ps;
SIGNAL last_clkin_edge : time := 0 ps;
SIGNAL first_clkin_edge_detect : STD_LOGIC := '0';
SIGNAL clk0_tmp : STD_LOGIC;
SIGNAL clk1_tmp : STD_LOGIC;
SIGNAL clk2_tmp : STD_LOGIC;
SIGNAL clk3_tmp : STD_LOGIC;
SIGNAL clk4_tmp : STD_LOGIC;
SIGNAL clk5_tmp : STD_LOGIC;
SIGNAL clk6_tmp : STD_LOGIC;
SIGNAL clk7_tmp : STD_LOGIC;
SIGNAL loaden0_tmp : STD_LOGIC;
SIGNAL loaden1_tmp : STD_LOGIC;
SIGNAL loaden2_tmp : STD_LOGIC;
SIGNAL loaden3_tmp : STD_LOGIC;
SIGNAL loaden4_tmp : STD_LOGIC;
SIGNAL loaden5_tmp : STD_LOGIC;
SIGNAL loaden6_tmp : STD_LOGIC;
SIGNAL loaden7_tmp : STD_LOGIC;
SIGNAL clkout_tmp : STD_LOGIC;
SIGNAL loadenout_tmp : STD_LOGIC;
BEGIN
clkout_tmp <= clk1_tmp when (initial_phase_select = 1) else
clk2_tmp when (initial_phase_select = 2) else
clk3_tmp when (initial_phase_select = 3) else
clk4_tmp when (initial_phase_select = 4) else
clk5_tmp when (initial_phase_select = 5) else
clk6_tmp when (initial_phase_select = 6) else
clk7_tmp when (initial_phase_select = 7) else
clk0_tmp;
clkout <= clkout_tmp when enable = '1' else clkin;
loadenout_tmp <= loaden1_tmp when (initial_phase_select = 1) else
loaden2_tmp when (initial_phase_select = 2) else
loaden3_tmp when (initial_phase_select = 3) else
loaden4_tmp when (initial_phase_select = 4) else
loaden5_tmp when (initial_phase_select = 5) else
loaden6_tmp when (initial_phase_select = 6) else
loaden7_tmp when (initial_phase_select = 7) else
loaden0_tmp;
loadenout <= loadenout_tmp when enable = '1' else loaden;
-- Calculate the clock period
PROCESS
VARIABLE clk_period_tmp : time := 0 ps;
BEGIN
WAIT UNTIL (clkin'EVENT AND clkin = '1');
IF (first_clkin_edge_detect = '0') THEN
first_clkin_edge_detect <= '1';
ELSE
last_clk_period <= clk_period;
clk_period_tmp := NOW - last_clkin_edge;
END IF;
last_clkin_edge <= NOW;
clk_period <= clk_period_tmp;
END PROCESS;
-- Generate the phase shifted signals
PROCESS (clkin)
BEGIN
clk0_tmp <= clkin;
clk1_tmp <= TRANSPORT clkin after (clk_period * 0.125) ;
clk2_tmp <= TRANSPORT clkin after (clk_period * 0.25) ;
clk3_tmp <= TRANSPORT clkin after (clk_period * 0.375) ;
clk4_tmp <= TRANSPORT clkin after (clk_period * 0.5) ;
clk5_tmp <= TRANSPORT clkin after (clk_period * 0.625) ;
clk6_tmp <= TRANSPORT clkin after (clk_period * 0.75) ;
clk7_tmp <= TRANSPORT clkin after (clk_period * 0.875) ;
END PROCESS;
PROCESS (loaden)
BEGIN
loaden0_tmp <= clkin;
loaden1_tmp <= TRANSPORT loaden after (clk_period * 0.125) ;
loaden2_tmp <= TRANSPORT loaden after (clk_period * 0.25) ;
loaden3_tmp <= TRANSPORT loaden after (clk_period * 0.375) ;
loaden4_tmp <= TRANSPORT loaden after (clk_period * 0.5) ;
loaden5_tmp <= TRANSPORT loaden after (clk_period * 0.625) ;
loaden6_tmp <= TRANSPORT loaden after (clk_period * 0.75) ;
loaden7_tmp <= TRANSPORT loaden after (clk_period * 0.875) ;
END PROCESS;
END trans;
-------------------------------------------------------------------------------
--
-- Module Name : arriaiigz_dpa_retime_block
--
-- Description : Simulation model for generating the retimed clock,data and loaden.
-- Each of the signals has 8 different phase shifted versions.
--
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.ALL;
ENTITY arriaiigz_dpa_retime_block IS
PORT (
clkin : IN STD_LOGIC;
datain : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk0 : OUT STD_LOGIC;
clk1 : OUT STD_LOGIC;
clk2 : OUT STD_LOGIC;
clk3 : OUT STD_LOGIC;
clk4 : OUT STD_LOGIC;
clk5 : OUT STD_LOGIC;
clk6 : OUT STD_LOGIC;
clk7 : OUT STD_LOGIC;
data0 : OUT STD_LOGIC;
data1 : OUT STD_LOGIC;
data2 : OUT STD_LOGIC;
data3 : OUT STD_LOGIC;
data4 : OUT STD_LOGIC;
data5 : OUT STD_LOGIC;
data6 : OUT STD_LOGIC;
data7 : OUT STD_LOGIC;
lock : OUT STD_LOGIC
);
END arriaiigz_dpa_retime_block;
ARCHITECTURE trans OF arriaiigz_dpa_retime_block IS
SIGNAL clk_period : time := 0 ps;
SIGNAL last_clk_period : time := 0 ps;
SIGNAL last_clkin_edge : time := 0 ps;
SIGNAL first_clkin_edge_detect : STD_LOGIC := '0';
SIGNAL clk0_tmp : STD_LOGIC;
SIGNAL clk1_tmp : STD_LOGIC;
SIGNAL clk2_tmp : STD_LOGIC;
SIGNAL clk3_tmp : STD_LOGIC;
SIGNAL clk4_tmp : STD_LOGIC;
SIGNAL clk5_tmp : STD_LOGIC;
SIGNAL clk6_tmp : STD_LOGIC;
SIGNAL clk7_tmp : STD_LOGIC;
SIGNAL data0_tmp : STD_LOGIC;
SIGNAL data1_tmp : STD_LOGIC;
SIGNAL data2_tmp : STD_LOGIC;
SIGNAL data3_tmp : STD_LOGIC;
SIGNAL data4_tmp : STD_LOGIC;
SIGNAL data5_tmp : STD_LOGIC;
SIGNAL data6_tmp : STD_LOGIC;
SIGNAL data7_tmp : STD_LOGIC;
SIGNAL lock_tmp : STD_LOGIC := '0';
BEGIN
clk0 <= '0' WHEN reset = '1' ELSE clk0_tmp;
clk1 <= '0' WHEN reset = '1' ELSE clk1_tmp;
clk2 <= '0' WHEN reset = '1' ELSE clk2_tmp;
clk3 <= '0' WHEN reset = '1' ELSE clk3_tmp;
clk4 <= '0' WHEN reset = '1' ELSE clk4_tmp;
clk5 <= '0' WHEN reset = '1' ELSE clk5_tmp;
clk6 <= '0' WHEN reset = '1' ELSE clk6_tmp;
clk7 <= '0' WHEN reset = '1' ELSE clk7_tmp;
data0 <= '0' WHEN reset = '1' ELSE data0_tmp;
data1 <= '0' WHEN reset = '1' ELSE data1_tmp;
data2 <= '0' WHEN reset = '1' ELSE data2_tmp;
data3 <= '0' WHEN reset = '1' ELSE data3_tmp;
data4 <= '0' WHEN reset = '1' ELSE data4_tmp;
data5 <= '0' WHEN reset = '1' ELSE data5_tmp;
data6 <= '0' WHEN reset = '1' ELSE data6_tmp;
data7 <= '0' WHEN reset = '1' ELSE data7_tmp;
lock <= '0' WHEN reset = '1' ELSE lock_tmp;
-- Calculate the clock period
PROCESS
VARIABLE clk_period_tmp : time := 0 ps;
BEGIN
WAIT UNTIL (clkin'EVENT AND clkin = '1');
IF (first_clkin_edge_detect = '0') THEN
first_clkin_edge_detect <= '1';
ELSE
last_clk_period <= clk_period;
clk_period_tmp := NOW - last_clkin_edge;
END IF;
IF (((clk_period_tmp = last_clk_period) OR (clk_period_tmp = last_clk_period + 1 ps) OR (clk_period_tmp = last_clk_period - 1 ps)) AND (clk_period_tmp /= 0 ps ) AND (last_clk_period /= 0 ps)) THEN
lock_tmp <= '1';
ELSE
lock_tmp <= '0';
END IF;
last_clkin_edge <= NOW;
clk_period <= clk_period_tmp;
END PROCESS;
-- Generate the phase shifted signals
PROCESS (clkin)
BEGIN
clk0_tmp <= clkin;
clk1_tmp <= TRANSPORT clkin after (clk_period * 0.125) ;
clk2_tmp <= TRANSPORT clkin after (clk_period * 0.25) ;
clk3_tmp <= TRANSPORT clkin after (clk_period * 0.375) ;
clk4_tmp <= TRANSPORT clkin after (clk_period * 0.5) ;
clk5_tmp <= TRANSPORT clkin after (clk_period * 0.625) ;
clk6_tmp <= TRANSPORT clkin after (clk_period * 0.75) ;
clk7_tmp <= TRANSPORT clkin after (clk_period * 0.875) ;
END PROCESS;
PROCESS (datain)
BEGIN
data0_tmp <= datain;
data1_tmp <= TRANSPORT datain after (clk_period * 0.125) ;
data2_tmp <= TRANSPORT datain after (clk_period * 0.25) ;
data3_tmp <= TRANSPORT datain after (clk_period * 0.375) ;
data4_tmp <= TRANSPORT datain after (clk_period * 0.5) ;
data5_tmp <= TRANSPORT datain after (clk_period * 0.625) ;
data6_tmp <= TRANSPORT datain after (clk_period * 0.75) ;
data7_tmp <= TRANSPORT datain after (clk_period * 0.875) ;
END PROCESS;
END trans;
-------------------------------------------------------------------------------
--
-- Module Name : arriaiigz_dpa_block
--
-- Description : Simulation model for selecting the retimed data, clock and loaden
-- depending on the PPM varaiation and direction of shift.
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE work.arriaiigz_dpa_retime_block;
ENTITY arriaiigz_dpa_block IS
GENERIC (
net_ppm_variation : INTEGER := 0;
is_negative_ppm_drift : STRING := "off";
enable_soft_cdr_mode: STRING := "on"
);
PORT (
clkin : IN STD_LOGIC;
dpareset : IN STD_LOGIC;
dpahold : IN STD_LOGIC;
datain : IN STD_LOGIC;
clkout : OUT STD_LOGIC;
dataout : OUT STD_LOGIC;
dpalock : OUT STD_LOGIC
);
END arriaiigz_dpa_block;
ARCHITECTURE trans OF arriaiigz_dpa_block IS
COMPONENT arriaiigz_dpa_retime_block
PORT (
clkin : IN STD_LOGIC;
datain : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk0 : OUT STD_LOGIC;
clk1 : OUT STD_LOGIC;
clk2 : OUT STD_LOGIC;
clk3 : OUT STD_LOGIC;
clk4 : OUT STD_LOGIC;
clk5 : OUT STD_LOGIC;
clk6 : OUT STD_LOGIC;
clk7 : OUT STD_LOGIC;
data0 : OUT STD_LOGIC;
data1 : OUT STD_LOGIC;
data2 : OUT STD_LOGIC;
data3 : OUT STD_LOGIC;
data4 : OUT STD_LOGIC;
data5 : OUT STD_LOGIC;
data6 : OUT STD_LOGIC;
data7 : OUT STD_LOGIC;
lock : OUT STD_LOGIC
);
END COMPONENT;
SIGNAL clk0_tmp : STD_LOGIC;
SIGNAL clk1_tmp : STD_LOGIC;
SIGNAL clk2_tmp : STD_LOGIC;
SIGNAL clk3_tmp : STD_LOGIC;
SIGNAL clk4_tmp : STD_LOGIC;
SIGNAL clk5_tmp : STD_LOGIC;
SIGNAL clk6_tmp : STD_LOGIC;
SIGNAL clk7_tmp : STD_LOGIC;
SIGNAL data0_tmp : STD_LOGIC;
SIGNAL data1_tmp : STD_LOGIC;
SIGNAL data2_tmp : STD_LOGIC;
SIGNAL data3_tmp : STD_LOGIC;
SIGNAL data4_tmp : STD_LOGIC;
SIGNAL data5_tmp : STD_LOGIC;
SIGNAL data6_tmp : STD_LOGIC;
SIGNAL data7_tmp : STD_LOGIC;
SIGNAL select_xhdl1 : STD_LOGIC_VECTOR(2 DOWNTO 0) := (others => '0');
SIGNAL clkout_tmp : STD_LOGIC;
SIGNAL dataout_tmp : STD_LOGIC;
SIGNAL counter_reset_value : INTEGER ;
SIGNAL count_value : INTEGER ;
SIGNAL i : INTEGER := 0;
SIGNAL dpalock_xhdl0 : STD_LOGIC;
BEGIN
-- Drive referenced outputs
dpalock <= dpalock_xhdl0;
dataout <= dataout_tmp when (enable_soft_cdr_mode = "on") else datain;
clkout <= clkout_tmp when (enable_soft_cdr_mode = "on") else clkin;
data_clock_retime : arriaiigz_dpa_retime_block
PORT MAP (
clkin => clkin,
datain => datain,
reset => dpareset,
clk0 => clk0_tmp,
clk1 => clk1_tmp,
clk2 => clk2_tmp,
clk3 => clk3_tmp,
clk4 => clk4_tmp,
clk5 => clk5_tmp,
clk6 => clk6_tmp,
clk7 => clk7_tmp,
data0 => data0_tmp,
data1 => data1_tmp,
data2 => data2_tmp,
data3 => data3_tmp,
data4 => data4_tmp,
data5 => data5_tmp,
data6 => data6_tmp,
data7 => data7_tmp,
lock => dpalock_xhdl0
);
PROCESS (clkin, dpareset, dpahold)
variable initial : boolean := true;
variable ppm_tmp : integer;
BEGIN
if(initial) then
if(net_ppm_variation = 0) then
ppm_tmp := 1;
else
ppm_tmp := net_ppm_variation;
end if;
if(net_ppm_variation = 0) then
counter_reset_value <= 1;
count_value <= 1;
initial := false;
else
counter_reset_value <= 1000000 / (ppm_tmp * 8);
count_value <= 1000000 / (ppm_tmp * 8);
initial := false;
end if;
end if;
IF (clkin'EVENT AND clkin = '1') THEN
IF(net_ppm_variation = 0) THEN
select_xhdl1 <= "000";
ELSE
IF (dpareset = '1') THEN
i <= 0;
select_xhdl1 <= "000";
ELSE
IF (dpahold = '0') THEN
IF (i < count_value) THEN
i <= i + 1;
ELSE
select_xhdl1 <= select_xhdl1 + "001";
i <= 0;
END IF;
END IF;
END IF;
END IF;
END IF;
END PROCESS;
PROCESS (select_xhdl1, clk0_tmp, clk1_tmp, clk2_tmp, clk3_tmp, clk4_tmp, clk5_tmp, clk6_tmp, clk7_tmp,
data0_tmp, data1_tmp, data2_tmp, data3_tmp, data4_tmp, data5_tmp, data6_tmp, data7_tmp)
BEGIN
if (select_xhdl1 = "000") then
clkout_tmp <= clk0_tmp;
dataout_tmp <= data0_tmp;
elsif (select_xhdl1 = "001") then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk1_tmp;
dataout_tmp <= data1_tmp;
else
clkout_tmp <= clk7_tmp;
dataout_tmp <= data7_tmp;
end if;
elsif (select_xhdl1 = "010") then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk2_tmp;
dataout_tmp <= data2_tmp;
else
clkout_tmp <= clk6_tmp;
dataout_tmp <= data6_tmp;
end if;
elsif (select_xhdl1 = "011")then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk3_tmp;
dataout_tmp <= data3_tmp;
else
clkout_tmp <= clk5_tmp;
dataout_tmp <= data5_tmp;
end if;
elsif (select_xhdl1 = "100")then
clkout_tmp <= clk4_tmp;
dataout_tmp <= data4_tmp;
elsif (select_xhdl1 = "101")then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk5_tmp;
dataout_tmp <= data5_tmp;
else
clkout_tmp <= clk3_tmp;
dataout_tmp <= data3_tmp;
end if;
elsif (select_xhdl1 = "110") then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk6_tmp;
dataout_tmp <= data6_tmp;
else
clkout_tmp <= clk2_tmp;
dataout_tmp <= data2_tmp;
end if;
elsif (select_xhdl1 = "111")then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk7_tmp;
dataout_tmp <= data7_tmp;
else
clkout_tmp <= clk1_tmp;
dataout_tmp <= data1_tmp;
end if;
else
clkout_tmp <= clk0_tmp;
dataout_tmp <= data0_tmp;
end if;
END PROCESS;
END trans;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : arriaiigz_LVDS_RECEIVER
--
-- Description : Timing simulation model for the arriaiigz LVDS RECEIVER
-- atom. This module instantiates the following sub-modules :
-- 1) arriaiigz_lvds_rx_fifo
-- 2) arriaiigz_lvds_rx_bitslip
-- 3) DFFEs for the LOADEN signals
-- 4) arriaiigz_lvds_rx_parallel_reg
-- 5) arriaiigz_pclk_divider
-- 6) arriaiigz_select_ini_phase_dpaclk
-- 7) arriaiigz_dpa_block
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.arriaiigz_atom_pack.all;
USE work.arriaiigz_lvds_rx_bitslip;
USE work.arriaiigz_lvds_rx_fifo;
USE work.arriaiigz_lvds_rx_deser;
USE work.arriaiigz_lvds_rx_parallel_reg;
USE work.arriaiigz_lvds_reg;
USE work.arriaiigz_pclk_divider;
USE work.arriaiigz_select_ini_phase_dpaclk;
USE work.arriaiigz_dpa_block;
ENTITY arriaiigz_lvds_receiver IS
GENERIC ( channel_width : integer := 10;
data_align_rollover : integer := 2;
enable_dpa : string := "off";
lose_lock_on_one_change : string := "off";
reset_fifo_at_first_lock : string := "on";
align_to_rising_edge_only : string := "on";
use_serial_feedback_input : string := "off";
dpa_debug : string := "off";
enable_soft_cdr : string := "off";
dpa_output_clock_phase_shift : INTEGER := 0 ;
enable_dpa_initial_phase_selection : string := "off";
dpa_initial_phase_value : INTEGER := 0;
enable_dpa_align_to_rising_edge_only : string := "off";
net_ppm_variation : INTEGER := 0;
is_negative_ppm_drift : string := "off";
rx_input_path_delay_engineering_bits : INTEGER := 2;
x_on_bitslip : string := "on";
lpm_type : string := "arriaiigz_lvds_receiver";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_enable0 : VitalDelayType01 := DefpropDelay01;
tipd_dpareset : VitalDelayType01 := DefpropDelay01;
tipd_dpahold : VitalDelayType01 := DefpropDelay01;
tipd_dpaswitch : VitalDelayType01 := DefpropDelay01;
tipd_fiforeset : VitalDelayType01 := DefpropDelay01;
tipd_bitslip : VitalDelayType01 := DefpropDelay01;
tipd_bitslipreset : VitalDelayType01 := DefpropDelay01;
tipd_serialfbk : VitalDelayType01 := DefpropDelay01;
tpd_clk0_dpalock_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic;
datain : IN std_logic := '0';
enable0 : IN std_logic := '0';
dpareset : IN std_logic := '0';
dpahold : IN std_logic := '0';
dpaswitch : IN std_logic := '0';
fiforeset : IN std_logic := '0';
bitslip : IN std_logic := '0';
bitslipreset : IN std_logic := '0';
serialfbk : IN std_logic := '0';
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
dpalock : OUT std_logic:= '0';
bitslipmax : OUT std_logic;
serialdataout : OUT std_logic;
postdpaserialdataout : OUT std_logic;
divfwdclk : OUT std_logic;
dpaclkout : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END arriaiigz_lvds_receiver;
ARCHITECTURE vital_arm_lvds_receiver OF arriaiigz_lvds_receiver IS
COMPONENT arriaiigz_lvds_rx_bitslip
GENERIC ( channel_width : integer := 10;
bitslip_rollover : integer := 12;
x_on_bitslip : string := "on";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_bslipcntl : VitalDelayType01 := DefpropDelay01;
tipd_bsliprst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_bsliprst_bslipmax_posedge: VitalDelayType01 := DefPropDelay01;
tpd_clk0_bslipmax_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic := '0';
bslipcntl : IN std_logic := '0';
bsliprst : IN std_logic := '0';
datain : IN std_logic := '0';
bslipmax : OUT std_logic;
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT arriaiigz_lvds_rx_fifo
GENERIC ( channel_width : integer := 10
);
PORT ( wclk : IN std_logic := '0';
rclk : IN std_logic := '0';
fiforst : IN std_logic := '0';
dparst : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT arriaiigz_lvds_rx_deser
GENERIC ( channel_width : integer := 4
);
PORT ( clk : IN std_logic;
datain : IN std_logic;
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
COMPONENT arriaiigz_lvds_rx_parallel_reg
GENERIC ( channel_width : integer := 4
);
PORT ( clk : IN std_logic;
enable : IN std_logic := '1';
datain : IN std_logic_vector(channel_width - 1 DOWNTO 0);
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
COMPONENT arriaiigz_lvds_reg
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END COMPONENT;
COMPONENT arriaiigz_pclk_divider
GENERIC (
clk_divide_by : integer := 1);
PORT (
clkin : IN std_logic;
lloaden : OUT std_logic;
clkout : OUT std_logic);
END COMPONENT;
COMPONENT arriaiigz_select_ini_phase_dpaclk
GENERIC(
initial_phase_select : integer := 0
);
PORT (
clkin : IN STD_LOGIC;
loaden : IN STD_LOGIC;
enable : IN STD_LOGIC;
loadenout : OUT STD_LOGIC;
clkout : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT arriaiigz_dpa_block
GENERIC (
net_ppm_variation : INTEGER := 0;
is_negative_ppm_drift : STRING := "off";
enable_soft_cdr_mode: STRING := "on"
);
PORT (
clkin : IN STD_LOGIC;
dpareset : IN STD_LOGIC;
dpahold : IN STD_LOGIC;
datain : IN STD_LOGIC;
clkout : OUT STD_LOGIC;
dataout : OUT STD_LOGIC;
dpalock : OUT STD_LOGIC
);
END COMPONENT;
-- INTERNAL SIGNALS
signal bitslip_ipd : std_logic;
signal bitslipreset_ipd : std_logic;
signal clk0_ipd : std_logic;
signal datain_ipd : std_logic;
signal dpahold_ipd : std_logic;
signal dpareset_ipd : std_logic;
signal dpaswitch_ipd : std_logic;
signal enable0_ipd : std_logic;
signal fiforeset_ipd : std_logic;
signal serialfbk_ipd : std_logic;
signal fifo_wclk : std_logic;
signal fifo_rclk : std_logic;
signal fifo_datain : std_logic;
signal fifo_dataout : std_logic;
signal fifo_reset : std_logic;
signal slip_datain : std_logic;
signal slip_dataout : std_logic;
signal bitslip_reset : std_logic;
-- wire deser_dataout;
signal dpa_clk : std_logic;
signal dpa_rst : std_logic;
signal datain_reg : std_logic;
signal datain_reg_neg : std_logic;
signal datain_reg_tmp : std_logic;
signal deser_dataout : std_logic_vector(channel_width - 1 DOWNTO 0);
signal reset_fifo : std_logic;
signal gnd : std_logic := '0';
signal vcc : std_logic := '1';
signal in_reg_data : std_logic;
signal in_reg_data_dly : std_logic;
signal slip_datain_tmp : std_logic;
signal s_bitslip_clk : std_logic;
signal loaden : std_logic;
signal ini_dpa_clk : std_logic;
signal ini_dpa_load : std_logic;
signal ini_phase_select_enable : std_logic;
signal dpa_clk_shift : std_logic;
signal dpa_data_shift : std_logic;
signal lloaden : std_logic;
signal lock_tmp : std_logic;
signal divfwdclk_tmp : std_logic;
signal dpa_is_locked : std_logic;
signal dpareg0_out : std_logic;
signal dpareg1_out : std_logic;
signal xhdl_12 : std_logic;
signal rxload : std_logic;
signal clk0_tmp : std_logic;
signal clk0_tmp_neg : std_logic;
signal ini_dpa_clk_dly : std_logic;
begin
WireDelay : block
begin
VitalWireDelay (clk0_ipd, clk0, tipd_clk0);
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (enable0_ipd, enable0, tipd_enable0);
VitalWireDelay (dpareset_ipd, dpareset, tipd_dpareset);
VitalWireDelay (dpahold_ipd, dpahold, tipd_dpahold);
VitalWireDelay (dpaswitch_ipd, dpaswitch, tipd_dpaswitch);
VitalWireDelay (fiforeset_ipd, fiforeset, tipd_fiforeset);
VitalWireDelay (bitslip_ipd, bitslip, tipd_bitslip);
VitalWireDelay (bitslipreset_ipd, bitslipreset, tipd_bitslipreset);
VitalWireDelay (serialfbk_ipd, serialfbk, tipd_serialfbk);
end block;
process (clk0_ipd, dpareset_ipd,lock_tmp )
variable dpalock_VitalGlitchData : VitalGlitchDataType;
variable initial : boolean := true;
begin
if (initial) then
if (reset_fifo_at_first_lock = "on") then
reset_fifo <= '1';
else
reset_fifo <= '0';
end if;
initial := false;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => dpalock,
OutSignalName => "DPALOCK",
OutTemp => dpa_is_locked,
Paths => (1 => (clk0_ipd'last_event, tpd_clk0_dpalock_posedge, enable_dpa = "on")),
GlitchData => dpalock_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
if(lock_tmp = '1') then
reset_fifo <= '0';
else
reset_fifo <= '1';
end if;
end process;
process(in_reg_data)
begin
if(dpaswitch_ipd = '1') then
if(rx_input_path_delay_engineering_bits = 1) then
in_reg_data_dly <= TRANSPORT in_reg_data after 60 ps;
elsif(rx_input_path_delay_engineering_bits = 2) then
in_reg_data_dly <= TRANSPORT in_reg_data after 120 ps;
elsif(rx_input_path_delay_engineering_bits = 3) then
in_reg_data_dly <= TRANSPORT in_reg_data after 180 ps;
else
in_reg_data_dly <= in_reg_data;
end if;
else
in_reg_data_dly <= in_reg_data;
end if;
end process;
xhdl_12 <= devclrn OR devpor;
process(ini_dpa_clk)
begin
ini_dpa_clk_dly <= ini_dpa_clk;
end process;
-- input register in non-DPA mode for sampling incoming data
in_reg : arriaiigz_lvds_reg
PORT MAP (
d => in_reg_data_dly,
clk => clk0_tmp,
ena => vcc,
clrn => xhdl_12,
prn => vcc,
q => datain_reg
);
in_reg_data <= serialfbk_ipd WHEN (use_serial_feedback_input = "on") ELSE datain_ipd;
clk0_tmp <= clk0_ipd;
clk0_tmp_neg <= not clk0_ipd;
neg_reg : arriaiigz_lvds_reg
PORT MAP (
d => in_reg_data_dly,
clk => clk0_tmp_neg,
ena => vcc,
clrn => xhdl_12,
prn => vcc,
q => datain_reg_neg
);
datain_reg_tmp <= datain_reg WHEN (align_to_rising_edge_only = "on") ELSE datain_reg_neg;
-- dpa initial phase select
ini_clk_phase_select: arriaiigz_select_ini_phase_dpaclk
GENERIC MAP(
initial_phase_select => dpa_initial_phase_value
)
PORT MAP(
clkin => clk0_ipd,
loaden => enable0_ipd,
enable => ini_phase_select_enable,
loadenout=>ini_dpa_load,
clkout => ini_dpa_clk
);
ini_phase_select_enable <= '1' when (enable_dpa_initial_phase_selection = "on") else '0';
-- DPA circuitary
dpareg0 : arriaiigz_lvds_reg
PORT MAP (
d => in_reg_data_dly,
clk => ini_dpa_clk_dly,
clrn => vcc,
prn => vcc,
ena => vcc,
q => dpareg0_out
);
dpareg1 : arriaiigz_lvds_reg
PORT MAP ( d => dpareg0_out,
clk => ini_dpa_clk,
clrn => vcc,
prn => vcc,
ena => vcc,
q => dpareg1_out
);
dpa_circuit: arriaiigz_dpa_block
GENERIC MAP(
net_ppm_variation => net_ppm_variation,
is_negative_ppm_drift => is_negative_ppm_drift,
enable_soft_cdr_mode => enable_soft_cdr
)
PORT MAP(
clkin => ini_dpa_clk,
dpareset => dpareset_ipd,
dpahold => dpahold_ipd,
datain => dpareg1_out,
clkout => dpa_clk_shift,
dataout => dpa_data_shift,
dpalock => lock_tmp
);
dpa_clk <= dpa_clk_shift when ((enable_soft_cdr = "on") or (enable_dpa = "on")) else '0' ;
dpa_rst <= dpareset_ipd when ((enable_soft_cdr = "on") or (enable_dpa = "on")) else '0' ;
-- PCLK and lloaden generation
clk_forward: arriaiigz_pclk_divider
GENERIC MAP (
clk_divide_by => channel_width )
PORT MAP(
clkin => dpa_clk,
lloaden => lloaden,
clkout => divfwdclk_tmp
);
-- FIFO
s_fifo : arriaiigz_lvds_rx_fifo
GENERIC MAP ( channel_width => channel_width
)
PORT MAP ( wclk => dpa_clk,
rclk => fifo_rclk,
fiforst => fifo_reset,
dparst => dpa_rst,
datain => fifo_datain,
dataout => fifo_dataout
);
fifo_rclk <= clk0_ipd WHEN (enable_dpa = "on") ELSE gnd ;
fifo_wclk <= dpa_clk ;
fifo_datain <= dpa_data_shift WHEN (enable_dpa = "on") ELSE gnd ;
fifo_reset <= (NOT devpor) OR (NOT devclrn) OR fiforeset_ipd OR dpa_rst OR reset_fifo ;
-- Bit Slip
s_bslip : arriaiigz_lvds_rx_bitslip
GENERIC MAP ( bitslip_rollover => data_align_rollover,
channel_width => channel_width,
x_on_bitslip => x_on_bitslip
)
PORT MAP ( clk0 => s_bitslip_clk,
bslipcntl => bitslip_ipd,
bsliprst => bitslip_reset,
datain => slip_datain,
bslipmax => bitslipmax,
dataout => slip_dataout
);
bitslip_reset <= (NOT devpor) OR (NOT devclrn) OR bitslipreset_ipd ;
slip_datain_tmp <= fifo_dataout when (enable_dpa = "on" ) else datain_reg_tmp ;
slip_datain <= dpa_data_shift when(enable_soft_cdr = "on") else slip_datain_tmp;
s_bitslip_clk <= dpa_clk when (enable_soft_cdr = "on") else clk0_ipd;
-- DESERIALISER
rxload_reg : arriaiigz_lvds_reg
PORT MAP ( d => loaden,
clk => s_bitslip_clk,
ena => vcc,
clrn => vcc,
prn => vcc,
q => rxload
);
loaden <= lloaden when (enable_soft_cdr = "on") else ini_dpa_load;
s_deser : arriaiigz_lvds_rx_deser
GENERIC MAP (channel_width => channel_width
)
PORT MAP (clk => s_bitslip_clk,
datain => slip_dataout,
devclrn => devclrn,
devpor => devpor,
dataout => deser_dataout
);
output_reg : arriaiigz_lvds_rx_parallel_reg
GENERIC MAP ( channel_width => channel_width
)
PORT MAP ( clk => s_bitslip_clk,
enable => rxload,
datain => deser_dataout,
devpor => devpor,
devclrn => devclrn,
dataout => dataout
);
dpa_is_locked <= gnd;
dpaclkout <= dpa_clk_shift;
postdpaserialdataout <= dpa_data_shift ;
serialdataout <= datain_ipd;
divfwdclk <= divfwdclk_tmp ;
END vital_arm_lvds_receiver;
----------------------------------------------------------------------------------
--Module Name: arriaiigz_pseudo_diff_out --
--Description: Simulation model for ARRIAIIGZ Pseudo Differential --
-- Output Buffer --
----------------------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_pseudo_diff_out IS
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_i_obar : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
lpm_type : string := "arriaiigz_pseudo_diff_out"
);
PORT (
i : IN std_logic := '0';
o : OUT std_logic;
obar : OUT std_logic
);
END arriaiigz_pseudo_diff_out;
ARCHITECTURE arch OF arriaiigz_pseudo_diff_out IS
SIGNAL i_ipd : std_logic ;
SIGNAL o_tmp : std_logic ;
SIGNAL obar_tmp : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (i_ipd, i, tipd_i);
end block;
PROCESS( i_ipd)
BEGIN
IF (i_ipd = '0') THEN
o_tmp <= '0';
obar_tmp <= '1';
ELSE
IF (i_ipd = '1') THEN
o_tmp <= '1';
obar_tmp <= '0';
ELSE
o_tmp <= i_ipd;
obar_tmp <= i_ipd;
END IF;
END IF;
END PROCESS;
---------------------
-- Path Delay Section
----------------------
PROCESS( o_tmp,obar_tmp)
variable o_VitalGlitchData : VitalGlitchDataType;
variable obar_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => o,
OutSignalName => "o",
OutTemp => o_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_o, TRUE)),
GlitchData => o_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
VitalPathDelay01 (
OutSignal => obar,
OutSignalName => "obar",
OutTemp => obar_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_obar, TRUE)),
GlitchData => obar_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
END PROCESS;
END arch;
--------------------------------------------------------------
--
-- Entity Name : arriaiigz_bias_logic
--
-- Description : ARRIAIIGZ Bias Block's Logic Block
-- VHDL simulation model
--
--------------------------------------------------------------
LIBRARY IEEE;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use IEEE.std_logic_1164.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_bias_logic IS
GENERIC (
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_shiftnld : VitalDelayType01 := DefPropDelay01;
tipd_captnupdt : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
clk : in std_logic := '0';
shiftnld : in std_logic := '0';
captnupdt : in std_logic := '0';
mainclk : out std_logic := '0';
updateclk : out std_logic := '0';
capture : out std_logic := '0';
update : out std_logic := '0'
);
attribute VITAL_LEVEL0 of arriaiigz_bias_logic : ENTITY IS TRUE;
end arriaiigz_bias_logic;
ARCHITECTURE vital_bias_logic of arriaiigz_bias_logic IS
attribute VITAL_LEVEL0 of vital_bias_logic : ARCHITECTURE IS TRUE;
signal clk_ipd : std_logic := '0';
signal shiftnld_ipd : std_logic := '0';
signal captnupdt_ipd : std_logic := '0';
begin
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (shiftnld_ipd, shiftnld, tipd_shiftnld);
VitalWireDelay (captnupdt_ipd, captnupdt, tipd_captnupdt);
end block;
process (clk_ipd, shiftnld_ipd, captnupdt_ipd)
variable select_tmp : std_logic_vector(1 DOWNTO 0) := (others => '0');
begin
select_tmp := captnupdt_ipd & shiftnld_ipd;
case select_tmp IS
when "10"|"11" =>
mainclk <= '0';
updateclk <= clk_ipd;
capture <= '1';
update <= '0';
when "01" =>
mainclk <= '0';
updateclk <= clk_ipd;
capture <= '0';
update <= '0';
when "00" =>
mainclk <= clk_ipd;
updateclk <= '0';
capture <= '0';
update <= '1';
when others =>
mainclk <= '0';
updateclk <= '0';
capture <= '0';
update <= '0';
end case;
end process;
end vital_bias_logic;
--------------------------------------------------------------
--
-- Entity Name : arriaiigz_bias_generator
--
-- Description : ARRIAIIGZ Bias Generator VHDL simulation model
--
--------------------------------------------------------------
LIBRARY IEEE;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use IEEE.std_logic_1164.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_bias_generator IS
GENERIC (
tipd_din : VitalDelayType01 := DefPropDelay01;
tipd_mainclk : VitalDelayType01 := DefPropDelay01;
tipd_updateclk : VitalDelayType01 := DefPropDelay01;
tipd_update : VitalDelayType01 := DefPropDelay01;
tipd_capture : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
din : in std_logic := '0';
mainclk : in std_logic := '0';
updateclk : in std_logic := '0';
capture : in std_logic := '0';
update : in std_logic := '0';
dout : out std_logic := '0'
);
attribute VITAL_LEVEL0 of arriaiigz_bias_generator : ENTITY IS TRUE;
end arriaiigz_bias_generator;
ARCHITECTURE vital_bias_generator of arriaiigz_bias_generator IS
attribute VITAL_LEVEL0 of vital_bias_generator : ARCHITECTURE IS TRUE;
CONSTANT TOTAL_REG : integer := 202;
signal din_ipd : std_logic := '0';
signal mainclk_ipd : std_logic := '0';
signal updateclk_ipd : std_logic := '0';
signal update_ipd : std_logic := '0';
signal capture_ipd : std_logic := '0';
signal generator_reg : std_logic_vector((TOTAL_REG - 1) DOWNTO 0) := (others => '0');
signal update_reg : std_logic_vector((TOTAL_REG - 1) DOWNTO 0) := (others => '0');
signal dout_tmp : std_logic := '0';
signal i : integer := 0;
begin
WireDelay : block
begin
VitalWireDelay (din_ipd, din, tipd_din);
VitalWireDelay (mainclk_ipd, mainclk, tipd_mainclk);
VitalWireDelay (updateclk_ipd, updateclk, tipd_updateclk);
VitalWireDelay (update_ipd, update, tipd_update);
VitalWireDelay (capture_ipd, capture, tipd_capture);
end block;
process (mainclk_ipd)
begin
if (mainclk_ipd'event AND (mainclk_ipd = '1') AND (mainclk_ipd'last_value = '0')) then
if ((capture_ipd = '0') AND (update_ipd = '1')) then
for i in 0 to (TOTAL_REG - 1)
loop
generator_reg(i) <= update_reg(i);
end loop;
end if;
end if;
end process;
process (updateclk_ipd)
begin
if (updateclk_ipd'event AND (updateclk_ipd = '1') AND (updateclk_ipd'last_value = '0')) then
dout_tmp <= update_reg(TOTAL_REG - 1);
if ((capture_ipd = '0') AND (update_ipd = '0')) then
for i in 1 to (TOTAL_REG - 1)
loop
update_reg(i) <= update_reg(i - 1);
end loop;
update_reg(0) <= din_ipd;
elsif ((capture_ipd = '1') AND (update_ipd = '0')) then
for i in 1 to (TOTAL_REG - 1)
loop
update_reg(i) <= generator_reg(i);
end loop;
end if;
end if;
end process;
dout <= dout_tmp;
end vital_bias_generator;
--------------------------------------------------------------
--
-- Entity Name : arriaiigz_bias_block
--
-- Description : ARRIAIIGZ Bias Block VHDL simulation model
--
--------------------------------------------------------------
LIBRARY IEEE;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use IEEE.std_logic_1164.all;
use work.arriaiigz_atom_pack.all;
ENTITY arriaiigz_bias_block IS
GENERIC (
lpm_type : string := "arriaiigz_bias_block";
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_shiftnld : VitalDelayType01 := DefPropDelay01;
tipd_captnupdt : VitalDelayType01 := DefPropDelay01;
tipd_din : VitalDelayType01 := DefPropDelay01;
tsetup_din_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_shiftnld_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_captnupdt_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_din_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_shiftnld_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_captnupdt_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dout_posedge : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
clk : in std_logic := '0';
shiftnld : in std_logic := '0';
captnupdt : in std_logic := '0';
din : in std_logic := '0';
dout : out std_logic := '0'
);
attribute VITAL_LEVEL0 of arriaiigz_bias_block : ENTITY IS TRUE;
end arriaiigz_bias_block;
ARCHITECTURE vital_bias_block of arriaiigz_bias_block IS
COMPONENT arriaiigz_bias_logic
GENERIC (
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_shiftnld : VitalDelayType01 := DefPropDelay01;
tipd_captnupdt : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
clk : in std_logic := '0';
shiftnld : in std_logic := '0';
captnupdt : in std_logic := '0';
mainclk : out std_logic := '0';
updateclk : out std_logic := '0';
capture : out std_logic := '0';
update : out std_logic := '0'
);
end COMPONENT;
COMPONENT arriaiigz_bias_generator
GENERIC (
tipd_din : VitalDelayType01 := DefPropDelay01;
tipd_mainclk : VitalDelayType01 := DefPropDelay01;
tipd_updateclk : VitalDelayType01 := DefPropDelay01;
tipd_update : VitalDelayType01 := DefPropDelay01;
tipd_capture : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
din : in std_logic := '0';
mainclk : in std_logic := '0';
updateclk : in std_logic := '0';
capture : in std_logic := '0';
update : in std_logic := '0';
dout : out std_logic := '0'
);
end COMPONENT;
signal mainclk_wire : std_logic := '0';
signal updateclk_wire : std_logic := '0';
signal capture_wire : std_logic := '0';
signal update_wire : std_logic := '0';
begin
logic_block : arriaiigz_bias_logic
PORT MAP (
clk => clk,
shiftnld => shiftnld,
captnupdt => captnupdt,
mainclk => mainclk_wire,
updateclk => updateclk_wire,
capture => capture_wire,
update => update_wire
);
bias_generator : arriaiigz_bias_generator
PORT MAP (
din => din,
mainclk => mainclk_wire,
updateclk => updateclk_wire,
capture => capture_wire,
update => update_wire,
dout => dout
);
end vital_bias_block;
-------------------------------------------------------------------
--
-- Entity Name : arriaiigz_tsdblock
--
-- Description : ARRIAIIGZ TSDBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.arriaiigz_atom_pack.all;
entity arriaiigz_tsdblock is
generic (
poi_cal_temperature : integer := 85;
clock_divider_enable : string := "on";
clock_divider_value : integer := 40;
sim_tsdcalo : integer := 0;
user_offset_enable : string := "off";
lpm_type : string := "arriaiigz_tsdblock"
);
port (
offset : in std_logic_vector(5 downto 0) := (OTHERS => '0');
clk : in std_logic := '0';
ce : in std_logic := '0';
clr : in std_logic := '0';
testin : in std_logic_vector(7 downto 0) := (OTHERS => '0');
tsdcalo : out std_logic_vector(7 downto 0);
tsdcaldone : out std_logic;
fdbkctrlfromcore : in std_logic := '0';
compouttest : in std_logic := '0';
tsdcompout : out std_logic;
offsetout : out std_logic_vector(5 downto 0)
);
end arriaiigz_tsdblock;
architecture architecture_tsdblock of arriaiigz_tsdblock is
begin
end architecture_tsdblock; -- end of arriaiigz_tsdblock
|
--wsiaDescriptors
--a library required by wsiaUSB DE2 ISP1362 USB firmware by Tony Slagle
library ieee, wsiaUSBlib;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
--use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use wsiaUSBlib.wsiaUseful.all;
package wsiaDescriptors is
--=-=-=-=-=-TYPE DECLARATIONS-=-=-=-=-=--
type deviceDescriptor is
record
bLength : byte;--:=x"12";
bDescriptorType : byte;--:=x"01";
bcdUSB : word;--:=x"0200";
bDeviceClass : byte;--:=x"FF";
bDeviceSubClass : byte;--:=x"FF";
bDeviceProtocol : byte;--:=x"FF";
bMaxPacketSize0 : byte;--:=x"40";
idVendor : word;--:=x"7777";
idProduct : word;--:=x"7777";
bcdDevice : word;--:=x"0010";
iManufacturer : byte;--:=x"01";
iProduct : byte;--:=x"02";
iSerialNumber : byte;--:=x"00";
bNumConfigs : byte;--:=x"01";
end record;
type configurationDescriptor is
record
bLength : byte;--:=x"09";
bDescriptorType : byte;--:=x"02";
wTotalLength : word;--:=std_logic_vector(unsigned(9+)); --FIXMEFIXMEFIXME
bNumInterfaces : byte;--:=x"02";
bConfigValue : byte;--:=x"01";
iConfiguration : byte;--:=x"00";
bmAttributes : byte;--:=x"C0";
bMaxPower : byte;--:=x"00";
end record;
type interfaceDescriptor is
record
bLength : byte;--:=x"09";
bDescriptorType : byte;--:=x"04";
bInterfaceNumber : byte;--:=x"00";
bAlternateSetting : byte;--;
bNumEndpoints : byte;--;
bInterfaceClass : byte;--:=x"FF";
bInterfaceSubClass : byte;--:=x"FF";
bInterfaceProtocol : byte;--:=x"FF";
iInterface : byte;--:=x"00";
end record;
type endpointDescriptor is
record
bLength : byte;--:=x"07";
bDescriptorType : byte;--:=x"05";
bEndpointAddress: byte;
bmAttributes : byte;
wMaxPacketSize : word;
bInterval : byte;--:=x"01";
end record;
--constant assembled_configuration_descriptor : std_logic_vector(0 to 8*(9 + 2*9 + 5*7)-1):=dizzy_indian(cfgDesc & intDesc1 & intDesc2 & ep1Desc & ep2Desc & ep3Desc & ep4Desc & ep5Desc);
--=-=-=-=-=-DESCRIPTOR TYPES-=-=-=-=-=--
constant desc_DEVICE : byte := x"01";
constant desc_CONFIGURATION : byte := x"02";
constant desc_STRING : byte := x"03";
constant desc_INTERFACE : byte := x"04";
constant desc_ENDPOINT : byte := x"05";
constant desc_DEVICE_QUALIFIER : byte := x"06";
constant desc_OTHER_SPEED_CFG : byte := x"07";
constant desc_INTERFACE_POWER : byte := x"08";
constant CRD_devDesc : deviceDescriptor:=(bLength => x"12",
bDescriptorType => desc_DEVICE,
bcdUSB => x"0200",
bDeviceClass => x"FF",
bDeviceSubClass => x"FF",
bDeviceProtocol => x"FF",
bMaxPacketSize0 => x"40",
idVendor => x"7777",
idProduct => x"7777",
bcdDevice => x"0091",
iManufacturer => x"01",
iProduct => x"02",
iSerialNumber => x"00",
bNumConfigs =>x"01");
constant CRD_strDesc_00_Langs : std_logic_vector(0 to (2+2)*8-1) :=x"04030904";
constant CRD_strDesc_01_Vendor : std_logic_vector(0 to (36+2)*8-1) :=x"260354006F006E007900200053006C00610067006C0065002000610074002000410053005500";
constant CRD_strDesc_02_Product : std_logic_vector(0 to (34+2)*8-1) :=x"240350004F00530020005500530042002000430052004400200042006F00610072006400";
constant CRD_strDesc_03_Serial : std_logic_vector(0 to (44+2)*8-1) :=x"2E0300430065007200650061006C0020004E006F00200042003400550032006500610074004E0052006C00610062";
--constant CRD_strDesc_: std_logic_vector(0 to (decimalLength+2)*8-1):=x"hexLength03
constant CRD_cfg1Desc : configurationDescriptor:=(bLength =>x"09",
bDescriptorType =>x"02",
wTotalLength =>to_vec(16,(9+9+7*2)),
bNumInterfaces =>x"01",
bConfigValue =>x"01",
iConfiguration =>x"00",
bmAttributes =>x"C0",
bMaxPower =>x"32");
constant CRD_cfg2Desc : configurationDescriptor:=(bLength =>x"09",
bDescriptorType =>x"02",
wTotalLength =>to_vec(16,(9+9+7*5)),
bNumInterfaces =>x"01",
bConfigValue =>x"02",
iConfiguration =>x"00",
bmAttributes =>x"C0",
bMaxPower =>x"32");
constant CRD_cfgDesc : configurationDescriptor:=(bLength =>x"09",
bDescriptorType =>x"02",
wTotalLength =>to_vec(16,(9+9+9+7*5)),
bNumInterfaces =>x"02",
bConfigValue =>x"01",
iConfiguration =>x"00",
bmAttributes =>x"C0",
bMaxPower =>x"32");
constant CRD_int1Desc : interfaceDescriptor:=(bLength =>x"09",
bDescriptorType =>x"04",
bInterfaceNumber =>x"00",
bAlternateSetting =>x"00",
bNumEndpoints =>x"02",
bInterfaceClass =>x"FF",
bInterfaceSubClass =>x"FF",
bInterfaceProtocol =>x"FF",
iInterface =>x"00");
constant CRD_int2Desc : interfaceDescriptor:=(bLength =>x"09",
bDescriptorType =>x"04",
bInterfaceNumber =>x"00",
bAlternateSetting =>x"01",
bNumEndpoints =>x"05",
bInterfaceClass =>x"FF",
bInterfaceSubClass =>x"FF",
bInterfaceProtocol =>x"FF",
iInterface =>x"00");
constant CRD_endp1Desc : endpointDescriptor:=( bLength =>x"07",
bDescriptorType =>x"05",
bEndpointAddress=>x"01",
bmAttributes =>x"02",
wMaxPacketSize =>x"0040",
bInterval =>x"01");
constant CRD_endp2Desc : endpointDescriptor:=( bLength =>x"07",
bDescriptorType =>x"05",
bEndpointAddress=>x"82",
bmAttributes =>x"02",
wMaxPacketSize =>x"0040",
bInterval =>x"01");
constant CRD_endp3Desc : endpointDescriptor:=( bLength =>x"07",
bDescriptorType =>x"05",
bEndpointAddress=>x"03",
bmAttributes =>x"03",
wMaxPacketSize =>x"0010",
bInterval =>x"01");
constant CRD_endp4Desc : endpointDescriptor:=( bLength =>x"07",
bDescriptorType =>x"05",
bEndpointAddress=>x"84",
bmAttributes =>x"03",
wMaxPacketSize =>x"0010",
bInterval =>x"01");
constant CRD_endp5Desc : endpointDescriptor:=( bLength =>x"07",
bDescriptorType =>x"05",
bEndpointAddress=>x"85",
bmAttributes =>x"01",
wMaxPacketSize =>x"07FF",
bInterval =>x"01");
--not a descriptor, is the endpoint configuration bytes for DcEndpointConfiguration registers
constant DcEndpointConfiguration: byte16:= ("10000011",--ctrlOut
"11000011",--ctrlIn
"10000011",--64b Bulk Out
"11000011",--64b Bulk In
"10000001",--16b Int Out
"11000001",--16b Int In
"11111111",--1023b Iso In (dblBuff)
"00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000","00000000");
function byte_deviceDescriptor( constant descrip: in deviceDescriptor ) return std_logic_vector;
function byte_configurationDescriptor( constant descrip: in configurationDescriptor ) return std_logic_vector;
function byte_interfaceDescriptor( constant descrip: in interfaceDescriptor ) return std_logic_vector;
function byte_endpointDescriptor( constant descrip: in endpointDescriptor ) return std_logic_vector;
constant CRD_Full_Cfg1_Desc:std_logic_vector(1 to 8*(9+9+7*2)):=(
byte_configurationDescriptor(CRD_cfg1Desc) &
byte_interfaceDescriptor(CRD_int1Desc) &
byte_endpointDescriptor(CRD_endp1Desc) &
byte_endpointDescriptor(CRD_endp2Desc));
constant CRD_Full_Cfg2_Desc:std_logic_vector(1 to 8*(9+9+7*5)):=(
byte_configurationDescriptor(CRD_cfg2Desc) &
byte_interfaceDescriptor(CRD_int2Desc) &
byte_endpointDescriptor(CRD_endp1Desc) &
byte_endpointDescriptor(CRD_endp2Desc) &
byte_endpointDescriptor(CRD_endp3Desc) &
byte_endpointDescriptor(CRD_endp4Desc) &
byte_endpointDescriptor(CRD_endp5Desc));
constant CRD_Full_Cfg_Desc:std_logic_vector(1 to 8*(9+9+9+7*5)):=(
byte_configurationDescriptor(CRD_cfgDesc) &
byte_interfaceDescriptor(CRD_int1Desc) &
byte_interfaceDescriptor(CRD_int2Desc) &
byte_endpointDescriptor(CRD_endp1Desc) &
byte_endpointDescriptor(CRD_endp2Desc) &
byte_endpointDescriptor(CRD_endp3Desc) &
byte_endpointDescriptor(CRD_endp4Desc) &
byte_endpointDescriptor(CRD_endp5Desc));
end wsiaDescriptors;
package body wsiaDescriptors is
function byte_deviceDescriptor( constant d: in deviceDescriptor ) return std_logic_vector is
begin
return( d.bLength &
d.bDescriptorType &
d.bcdUSB(7 downto 0) &
d.bcdUSB(15 downto 8) &
d.bDeviceClass &
d.bDeviceSubClass &
d.bDeviceProtocol &
d.bMaxPacketSize0 &
d.idVendor(7 downto 0) &
d.idVendor(15 downto 8) &
d.idProduct(7 downto 0) &
d.idProduct(15 downto 8) &
d.bcdDevice(7 downto 0) &
d.bcdDevice(15 downto 8) &
d.iManufacturer &
d.iProduct &
d.iSerialNumber &
d.bNumConfigs);
end function byte_deviceDescriptor;
function byte_configurationDescriptor( constant d: in configurationDescriptor ) return std_logic_vector is
begin
return( d.bLength &
d.bDescriptorType &
d.wTotalLength(7 downto 0) &
d.wTotalLength(15 downto 8) &
d.bNumInterfaces &
d.bConfigValue &
d.iConfiguration &
d.bmAttributes &
d.bMaxPower);
end function byte_configurationDescriptor;
function byte_interfaceDescriptor( constant d: in interfaceDescriptor ) return std_logic_vector is
begin
return( d.bLength &
d.bDescriptorType &
d.bInterfaceNumber &
d.bAlternateSetting &
d.bNumEndpoints &
d.bInterfaceClass &
d.bInterfaceSubClass &
d.bInterfaceProtocol &
d.iInterface);
end function byte_interfaceDescriptor;
function byte_endpointDescriptor( constant d: in endpointDescriptor ) return std_logic_vector is
begin
return( d.bLength &
d.bDescriptorType &
d.bEndpointAddress &
d.bmAttributes &
d.wMaxPacketSize(7 downto 0) &
d.wMaxPacketSize(15 downto 8) &
d.bInterval);
end function byte_endpointDescriptor;
end wsiaDescriptors; |
---------------------------------------------------------------------
-- Standard Library bits
---------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- For Modelsim
--use ieee.fixed_pkg.all;
--use ieee.fixed_float_types.ALL;
-- For ISE
library ieee_proposed;
use ieee_proposed.fixed_pkg.all;
use ieee_proposed.fixed_float_types.ALL;
use IEEE.numeric_std.all;
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Entity Description
---------------------------------------------------------------------
entity SynapseModel is
Port (
clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES
init_model : in STD_LOGIC; --SYNCHRONOUS RESET
step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated
component_done : out STD_LOGIC;
eventport_in_in : in STD_LOGIC;
requirement_voltage_v : in sfixed (2 downto -22);
param_time_tauDecay : in sfixed (6 downto -18);
param_conductance_gbase : in sfixed (-22 downto -53);
param_voltage_erev : in sfixed (2 downto -22);
param_time_inv_tauDecay_inv : in sfixed (18 downto -6);
exposure_current_i : out sfixed (-28 downto -53);
exposure_conductance_g : out sfixed (-22 downto -53);
statevariable_conductance_g_out : out sfixed (-22 downto -53);
statevariable_conductance_g_in : in sfixed (-22 downto -53);
derivedvariable_current_i_out : out sfixed (-28 downto -53);
derivedvariable_current_i_in : in sfixed (-28 downto -53);
sysparam_time_timestep : in sfixed (-6 downto -22);
sysparam_time_simtime : in sfixed (6 downto -22)
);
end SynapseModel;
---------------------------------------------------------------------
-------------------------------------------------------------------------------------------
-- Architecture Begins
-------------------------------------------------------------------------------------------
architecture RTL of SynapseModel is
signal COUNT : unsigned(2 downto 0) := "000";
signal childrenCombined_Component_done_single_shot_fired : STD_LOGIC := '0';
signal childrenCombined_Component_done_single_shot : STD_LOGIC := '0';
signal childrenCombined_Component_done : STD_LOGIC := '0';
signal Component_done_int : STD_LOGIC := '0';
signal subprocess_der_int_pre_ready : STD_LOGIC := '0';
signal subprocess_der_int_ready : STD_LOGIC := '0';
signal subprocess_der_ready : STD_LOGIC := '0';
signal subprocess_dyn_int_pre_ready : STD_LOGIC := '0';
signal subprocess_dyn_int_ready : STD_LOGIC := '0';
signal subprocess_dyn_ready : STD_LOGIC := '0';
signal subprocess_model_ready : STD_LOGIC := '1';
signal subprocess_all_ready_shotdone : STD_LOGIC := '1';
signal subprocess_all_ready_shot : STD_LOGIC := '0';
signal subprocess_all_ready : STD_LOGIC := '0';signal statevariable_conductance_noregime_g_temp_1 : sfixed (-22 downto -53);
signal statevariable_conductance_noregime_g_temp_1_next : sfixed (-22 downto -53);
---------------------------------------------------------------------
-- Derived Variables and parameters
---------------------------------------------------------------------
signal DerivedVariable_current_i : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53);
signal DerivedVariable_current_i_next : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53);
---------------------------------------------------------------------
---------------------------------------------------------------------
-- EDState internal Variables
---------------------------------------------------------------------
signal statevariable_conductance_g_next : sfixed (-22 downto -53);
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Output Port internal Variables
---------------------------------------------------------------------
signal EventPort_in_in_internal : std_logic := '0';
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Child Components
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Begin Internal Processes
---------------------------------------------------------------------
begin
---------------------------------------------------------------------
-- Child EDComponent Instantiations and corresponding internal variables
---------------------------------------------------------------------
derived_variable_pre_process_comb :process ( sysparam_time_timestep, statevariable_conductance_g_in , requirement_voltage_v , param_voltage_erev )
begin
end process derived_variable_pre_process_comb;
derived_variable_pre_process_syn :process ( clk, init_model )
begin
subprocess_der_int_pre_ready <= '1';
end process derived_variable_pre_process_syn;
--no complex steps in derived variables
subprocess_der_int_ready <= '1';
derived_variable_process_comb :process ( sysparam_time_timestep, statevariable_conductance_g_in , requirement_voltage_v , param_voltage_erev )
begin
derivedvariable_current_i_next <= resize(( statevariable_conductance_g_in * ( param_voltage_erev - requirement_voltage_v ) ),-28,-53);
subprocess_der_ready <= '1';
end process derived_variable_process_comb;
derived_variable_process_syn :process ( clk,init_model )
begin
if clk'event and clk = '1' then
if subprocess_all_ready_shot = '1' then
derivedvariable_current_i <= derivedvariable_current_i_next;
end if;
end if;
end process derived_variable_process_syn;
---------------------------------------------------------------------
dynamics_pre_process_comb :process ( sysparam_time_timestep, param_time_tauDecay, statevariable_conductance_g_in ,param_time_inv_tauDecay_inv )
begin
end process dynamics_pre_process_comb;
dynamics_pre_process_syn :process ( clk, init_model )
begin
subprocess_dyn_int_pre_ready <= '1';
end process dynamics_pre_process_syn;
--No dynamics with complex equations found
subprocess_dyn_int_ready <= '1';
state_variable_process_dynamics_comb :process (sysparam_time_timestep, param_time_tauDecay, statevariable_conductance_g_in ,param_time_inv_tauDecay_inv ,statevariable_conductance_g_in)
begin
statevariable_conductance_noregime_g_temp_1_next <= resize(statevariable_conductance_g_in + ( - statevariable_conductance_g_in * param_time_inv_tauDecay_inv ) * sysparam_time_timestep,-22,-53);
subprocess_dyn_ready <= '1';
end process state_variable_process_dynamics_comb;
state_variable_process_dynamics_syn :process (CLK,init_model)
begin
if clk'event and clk = '1' then
if subprocess_all_ready_shot = '1' then
statevariable_conductance_noregime_g_temp_1 <= statevariable_conductance_noregime_g_temp_1_next;
end if;
end if;
end process state_variable_process_dynamics_syn;
------------------------------------------------------------------------------------------------------
-- EDState Variable Drivers
------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------
-- EDState variable: $par.name Driver Process
---------------------------------------------------------------------
state_variable_process_comb_0 :process (sysparam_time_timestep,init_model,eventport_in_in,statevariable_conductance_g_in,param_conductance_gbase,statevariable_conductance_noregime_g_temp_1,param_time_tauDecay,statevariable_conductance_g_in,param_time_inv_tauDecay_inv)
variable statevariable_conductance_g_temp_1 : sfixed (-22 downto -53);
variable statevariable_conductance_g_temp_2 : sfixed (-22 downto -53);
begin
statevariable_conductance_g_temp_1 := statevariable_conductance_noregime_g_temp_1; if eventport_in_in = '1' then
statevariable_conductance_g_temp_2 := resize( statevariable_conductance_g_in + param_conductance_gbase ,-22,-53);
else
statevariable_conductance_g_temp_2 := statevariable_conductance_g_temp_1;
end if;
statevariable_conductance_g_next <= statevariable_conductance_g_temp_2;
end process;
---------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------
-- Assign state variables to exposures
---------------------------------------------------------------------
exposure_conductance_g <= statevariable_conductance_g_in;
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Assign state variables to output state variables
---------------------------------------------------------------------
statevariable_conductance_g_out <= statevariable_conductance_g_next;
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Assign derived variables to exposures
---------------------------------------------------------------------
exposure_current_i <= derivedvariable_current_i_in;derivedvariable_current_i_out <= derivedvariable_current_i;
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Subprocess ready process
---------------------------------------------------------------------
subprocess_all_ready_process: process(step_once_go,subprocess_der_int_ready,subprocess_der_int_pre_ready,subprocess_der_ready,subprocess_dyn_int_pre_ready,subprocess_dyn_int_ready,subprocess_dyn_ready,subprocess_model_ready)
begin
if step_once_go = '0' and subprocess_der_int_ready = '1' and subprocess_der_int_pre_ready = '1'and subprocess_der_ready ='1' and subprocess_dyn_int_ready = '1' and subprocess_dyn_int_pre_ready = '1' and subprocess_dyn_ready = '1' and subprocess_model_ready = '1' then
subprocess_all_ready <= '1';
else
subprocess_all_ready <= '0';
end if;
end process subprocess_all_ready_process;
subprocess_all_ready_shot_process : process(clk)
begin
if rising_edge(clk) then
if (init_model='1') then
subprocess_all_ready_shot <= '0';
subprocess_all_ready_shotdone <= '1';
else
if subprocess_all_ready = '1' and subprocess_all_ready_shotdone = '0' then
subprocess_all_ready_shot <= '1';
subprocess_all_ready_shotdone <= '1';
elsif subprocess_all_ready_shot = '1' then
subprocess_all_ready_shot <= '0';
elsif subprocess_all_ready = '0' then
subprocess_all_ready_shot <= '0';
subprocess_all_ready_shotdone <= '0';
end if;
end if;
end if;
end process subprocess_all_ready_shot_process;
---------------------------------------------------------------------
count_proc:process(clk)
begin
if (clk'EVENT AND clk = '1') then
if init_model = '1' then COUNT <= "001";
component_done_int <= '1';
else if step_once_go = '1' then
COUNT <= "000";
component_done_int <= '0';
elsif COUNT = "001" then
component_done_int <= '1';
elsif subprocess_all_ready_shot = '1' then
COUNT <= COUNT + 1;
component_done_int <= '0';
end if;
end if;
end if;
end process count_proc;
component_done <= component_done_int;
end RTL;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2012 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file addsb_11_0_48bcbc42a6774592.vhd when simulating
-- the core, addsb_11_0_48bcbc42a6774592. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY addsb_11_0_48bcbc42a6774592 IS
PORT (
a : IN STD_LOGIC_VECTOR(20 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(20 DOWNTO 0);
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
s : OUT STD_LOGIC_VECTOR(20 DOWNTO 0)
);
END addsb_11_0_48bcbc42a6774592;
ARCHITECTURE addsb_11_0_48bcbc42a6774592_a OF addsb_11_0_48bcbc42a6774592 IS
-- synthesis translate_off
COMPONENT wrapped_addsb_11_0_48bcbc42a6774592
PORT (
a : IN STD_LOGIC_VECTOR(20 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(20 DOWNTO 0);
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
s : OUT STD_LOGIC_VECTOR(20 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_addsb_11_0_48bcbc42a6774592 USE ENTITY XilinxCoreLib.c_addsub_v11_0(behavioral)
GENERIC MAP (
c_a_type => 0,
c_a_width => 21,
c_add_mode => 0,
c_ainit_val => "0",
c_b_constant => 0,
c_b_type => 0,
c_b_value => "000000000000000000000",
c_b_width => 21,
c_borrow_low => 1,
c_bypass_low => 0,
c_ce_overrides_bypass => 1,
c_ce_overrides_sclr => 0,
c_has_bypass => 0,
c_has_c_in => 0,
c_has_c_out => 0,
c_has_ce => 1,
c_has_sclr => 0,
c_has_sinit => 0,
c_has_sset => 0,
c_implementation => 0,
c_latency => 1,
c_out_width => 21,
c_sclr_overrides_sset => 0,
c_sinit_val => "0",
c_verbosity => 0,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_addsb_11_0_48bcbc42a6774592
PORT MAP (
a => a,
b => b,
clk => clk,
ce => ce,
s => s
);
-- synthesis translate_on
END addsb_11_0_48bcbc42a6774592_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2012 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file addsb_11_0_da33f2d4b3b54185.vhd when simulating
-- the core, addsb_11_0_da33f2d4b3b54185. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY addsb_11_0_da33f2d4b3b54185 IS
PORT (
a : IN STD_LOGIC_VECTOR(19 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(19 DOWNTO 0);
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
s : OUT STD_LOGIC_VECTOR(19 DOWNTO 0)
);
END addsb_11_0_da33f2d4b3b54185;
ARCHITECTURE addsb_11_0_da33f2d4b3b54185_a OF addsb_11_0_da33f2d4b3b54185 IS
-- synthesis translate_off
COMPONENT wrapped_addsb_11_0_da33f2d4b3b54185
PORT (
a : IN STD_LOGIC_VECTOR(19 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(19 DOWNTO 0);
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
s : OUT STD_LOGIC_VECTOR(19 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_addsb_11_0_da33f2d4b3b54185 USE ENTITY XilinxCoreLib.c_addsub_v11_0(behavioral)
GENERIC MAP (
c_a_type => 0,
c_a_width => 20,
c_add_mode => 0,
c_ainit_val => "0",
c_b_constant => 0,
c_b_type => 0,
c_b_value => "00000000000000000000",
c_b_width => 20,
c_borrow_low => 1,
c_bypass_low => 0,
c_ce_overrides_bypass => 1,
c_ce_overrides_sclr => 0,
c_has_bypass => 0,
c_has_c_in => 0,
c_has_c_out => 0,
c_has_ce => 1,
c_has_sclr => 0,
c_has_sinit => 0,
c_has_sset => 0,
c_implementation => 0,
c_latency => 1,
c_out_width => 20,
c_sclr_overrides_sset => 0,
c_sinit_val => "0",
c_verbosity => 0,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_addsb_11_0_da33f2d4b3b54185
PORT MAP (
a => a,
b => b,
clk => clk,
ce => ce,
s => s
);
-- synthesis translate_on
END addsb_11_0_da33f2d4b3b54185_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2012 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file addsb_11_0_e7b4231f2ca96446.vhd when simulating
-- the core, addsb_11_0_e7b4231f2ca96446. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY addsb_11_0_e7b4231f2ca96446 IS
PORT (
a : IN STD_LOGIC_VECTOR(21 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(21 DOWNTO 0);
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
s : OUT STD_LOGIC_VECTOR(21 DOWNTO 0)
);
END addsb_11_0_e7b4231f2ca96446;
ARCHITECTURE addsb_11_0_e7b4231f2ca96446_a OF addsb_11_0_e7b4231f2ca96446 IS
-- synthesis translate_off
COMPONENT wrapped_addsb_11_0_e7b4231f2ca96446
PORT (
a : IN STD_LOGIC_VECTOR(21 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(21 DOWNTO 0);
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
s : OUT STD_LOGIC_VECTOR(21 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_addsb_11_0_e7b4231f2ca96446 USE ENTITY XilinxCoreLib.c_addsub_v11_0(behavioral)
GENERIC MAP (
c_a_type => 0,
c_a_width => 22,
c_add_mode => 0,
c_ainit_val => "0",
c_b_constant => 0,
c_b_type => 0,
c_b_value => "0000000000000000000000",
c_b_width => 22,
c_borrow_low => 1,
c_bypass_low => 0,
c_ce_overrides_bypass => 1,
c_ce_overrides_sclr => 0,
c_has_bypass => 0,
c_has_c_in => 0,
c_has_c_out => 0,
c_has_ce => 1,
c_has_sclr => 0,
c_has_sinit => 0,
c_has_sset => 0,
c_implementation => 0,
c_latency => 1,
c_out_width => 22,
c_sclr_overrides_sset => 0,
c_sinit_val => "0",
c_verbosity => 0,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_addsb_11_0_e7b4231f2ca96446
PORT MAP (
a => a,
b => b,
clk => clk,
ce => ce,
s => s
);
-- synthesis translate_on
END addsb_11_0_e7b4231f2ca96446_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2012 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file bmg_62_05852d43925e39b8.vhd when simulating
-- the core, bmg_62_05852d43925e39b8. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY bmg_62_05852d43925e39b8 IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END bmg_62_05852d43925e39b8;
ARCHITECTURE bmg_62_05852d43925e39b8_a OF bmg_62_05852d43925e39b8 IS
-- synthesis translate_off
COMPONENT wrapped_bmg_62_05852d43925e39b8
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_bmg_62_05852d43925e39b8 USE ENTITY XilinxCoreLib.blk_mem_gen_v6_2(behavioral)
GENERIC MAP (
c_addra_width => 12,
c_addrb_width => 12,
c_algorithm => 0,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 1,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file_name => "bmg_62_05852d43925e39b8.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 0,
c_mux_pipeline_stages => 0,
c_prim_type => 2,
c_read_depth_a => 4096,
c_read_depth_b => 4096,
c_read_width_a => 5,
c_read_width_b => 5,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 4096,
c_write_depth_b => 4096,
c_write_mode_a => "READ_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 5,
c_write_width_b => 5,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_bmg_62_05852d43925e39b8
PORT MAP (
clka => clka,
ena => ena,
wea => wea,
addra => addra,
dina => dina,
douta => douta
);
-- synthesis translate_on
END bmg_62_05852d43925e39b8_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2012 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file bmg_62_54b11b852dca329b.vhd when simulating
-- the core, bmg_62_54b11b852dca329b. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY bmg_62_54b11b852dca329b IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END bmg_62_54b11b852dca329b;
ARCHITECTURE bmg_62_54b11b852dca329b_a OF bmg_62_54b11b852dca329b IS
-- synthesis translate_off
COMPONENT wrapped_bmg_62_54b11b852dca329b
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_bmg_62_54b11b852dca329b USE ENTITY XilinxCoreLib.blk_mem_gen_v6_2(behavioral)
GENERIC MAP (
c_addra_width => 12,
c_addrb_width => 12,
c_algorithm => 0,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 1,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file_name => "bmg_62_54b11b852dca329b.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 0,
c_mux_pipeline_stages => 0,
c_prim_type => 2,
c_read_depth_a => 4096,
c_read_depth_b => 4096,
c_read_width_a => 8,
c_read_width_b => 8,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 4096,
c_write_depth_b => 4096,
c_write_mode_a => "READ_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 8,
c_write_width_b => 8,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_bmg_62_54b11b852dca329b
PORT MAP (
clka => clka,
ena => ena,
wea => wea,
addra => addra,
dina => dina,
douta => douta
);
-- synthesis translate_on
END bmg_62_54b11b852dca329b_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2012 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file cntr_11_0_862f833518f4973a.vhd when simulating
-- the core, cntr_11_0_862f833518f4973a. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY cntr_11_0_862f833518f4973a IS
PORT (
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
sinit : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END cntr_11_0_862f833518f4973a;
ARCHITECTURE cntr_11_0_862f833518f4973a_a OF cntr_11_0_862f833518f4973a IS
-- synthesis translate_off
COMPONENT wrapped_cntr_11_0_862f833518f4973a
PORT (
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
sinit : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_cntr_11_0_862f833518f4973a USE ENTITY XilinxCoreLib.c_counter_binary_v11_0(behavioral)
GENERIC MAP (
c_ainit_val => "0",
c_ce_overrides_sync => 0,
c_count_by => "1",
c_count_mode => 0,
c_count_to => "1",
c_fb_latency => 0,
c_has_ce => 1,
c_has_load => 0,
c_has_sclr => 0,
c_has_sinit => 1,
c_has_sset => 0,
c_has_thresh0 => 0,
c_implementation => 0,
c_latency => 1,
c_load_low => 0,
c_restrict_count => 0,
c_sclr_overrides_sset => 1,
c_sinit_val => "0",
c_thresh0_value => "1",
c_verbosity => 0,
c_width => 5,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_cntr_11_0_862f833518f4973a
PORT MAP (
clk => clk,
ce => ce,
sinit => sinit,
q => q
);
-- synthesis translate_on
END cntr_11_0_862f833518f4973a_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2012 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file cntr_11_0_e859c6662c373192.vhd when simulating
-- the core, cntr_11_0_e859c6662c373192. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY cntr_11_0_e859c6662c373192 IS
PORT (
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
sinit : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)
);
END cntr_11_0_e859c6662c373192;
ARCHITECTURE cntr_11_0_e859c6662c373192_a OF cntr_11_0_e859c6662c373192 IS
-- synthesis translate_off
COMPONENT wrapped_cntr_11_0_e859c6662c373192
PORT (
clk : IN STD_LOGIC;
ce : IN STD_LOGIC;
sinit : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_cntr_11_0_e859c6662c373192 USE ENTITY XilinxCoreLib.c_counter_binary_v11_0(behavioral)
GENERIC MAP (
c_ainit_val => "0",
c_ce_overrides_sync => 0,
c_count_by => "1",
c_count_mode => 0,
c_count_to => "1",
c_fb_latency => 0,
c_has_ce => 1,
c_has_load => 0,
c_has_sclr => 0,
c_has_sinit => 1,
c_has_sset => 0,
c_has_thresh0 => 0,
c_implementation => 0,
c_latency => 1,
c_load_low => 0,
c_restrict_count => 0,
c_sclr_overrides_sset => 1,
c_sinit_val => "0",
c_thresh0_value => "1",
c_verbosity => 0,
c_width => 3,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_cntr_11_0_e859c6662c373192
PORT MAP (
clk => clk,
ce => ce,
sinit => sinit,
q => q
);
-- synthesis translate_on
END cntr_11_0_e859c6662c373192_a;
--------------------------------------------------------------------------------
-- (c) Copyright 1995 - 2010 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
--------------------------------------------------------------------------------
-- Generated from component ID: xilinx.com:ip:fir_compiler:5.0
-- You must compile the wrapper file fr_cmplr_v5_0_70a7f64f38920660.vhd when simulating
-- the core, fr_cmplr_v5_0_70a7f64f38920660. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fr_cmplr_v5_0_70a7f64f38920660 IS
port (
clk: in std_logic;
ce: in std_logic;
nd: in std_logic;
coef_ld: in std_logic;
coef_we: in std_logic;
coef_din: in std_logic_vector(6 downto 0);
rfd: out std_logic;
rdy: out std_logic;
din: in std_logic_vector(7 downto 0);
dout: out std_logic_vector(18 downto 0));
END fr_cmplr_v5_0_70a7f64f38920660;
ARCHITECTURE fr_cmplr_v5_0_70a7f64f38920660_a OF fr_cmplr_v5_0_70a7f64f38920660 IS
-- synthesis translate_off
component wrapped_fr_cmplr_v5_0_70a7f64f38920660
port (
clk: in std_logic;
ce: in std_logic;
nd: in std_logic;
coef_ld: in std_logic;
coef_we: in std_logic;
coef_din: in std_logic_vector(6 downto 0);
rfd: out std_logic;
rdy: out std_logic;
din: in std_logic_vector(7 downto 0);
dout: out std_logic_vector(18 downto 0));
end component;
-- Configuration specification
for all : wrapped_fr_cmplr_v5_0_70a7f64f38920660 use entity XilinxCoreLib.fir_compiler_v5_0(behavioral)
generic map(
coef_width => 7,
c_has_sclr => 0,
datapath_memtype => 0,
c_component_name => "fr_cmplr_v5_0_70a7f64f38920660",
c_family => "spartan6",
round_mode => 0,
output_width => 19,
sclr_deterministic => 0,
col_config => "5",
coef_memtype => 0,
clock_freq => 1,
symmetry => 0,
col_pipe_len => 4,
c_latency => 11,
chan_sel_width => 1,
c_xdevicefamily => "spartan6",
c_has_nd => 1,
allow_approx => 0,
num_channels => 1,
data_width => 8,
filter_sel_width => 1,
sample_freq => 1,
coef_reload => 1,
neg_symmetry => 0,
filter_type => 0,
data_type => 1,
accum_width => 19,
rate_change_type => 0,
ipbuff_memtype => 0,
c_optimization => 1,
output_reg => 1,
data_memtype => 0,
c_has_data_valid => 0,
decim_rate => 1,
coef_type => 0,
filter_arch => 1,
interp_rate => 1,
num_taps => 5,
c_mem_init_file => "fr_cmplr_v5_0_70a7f64f38920660.mif",
zero_packing_factor => 1,
num_paths => 1,
num_filts => 1,
col_mode => 0,
c_has_ce => 1,
chan_in_adv => 0,
opbuff_memtype => 0,
odd_symmetry => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fr_cmplr_v5_0_70a7f64f38920660
port map (
clk => clk,
ce => ce,
nd => nd,
coef_ld => coef_ld,
coef_we => coef_we,
coef_din => coef_din,
rfd => rfd,
rdy => rdy,
din => din,
dout => dout);
-- synthesis translate_on
END fr_cmplr_v5_0_70a7f64f38920660_a;
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2012 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file mult_11_2_fe92ad55b7635191.vhd when simulating
-- the core, mult_11_2_fe92ad55b7635191. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY mult_11_2_fe92ad55b7635191 IS
PORT (
clk : IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR(22 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(19 DOWNTO 0);
ce : IN STD_LOGIC;
sclr : IN STD_LOGIC;
p : OUT STD_LOGIC_VECTOR(42 DOWNTO 0)
);
END mult_11_2_fe92ad55b7635191;
ARCHITECTURE mult_11_2_fe92ad55b7635191_a OF mult_11_2_fe92ad55b7635191 IS
-- synthesis translate_off
COMPONENT wrapped_mult_11_2_fe92ad55b7635191
PORT (
clk : IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR(22 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(19 DOWNTO 0);
ce : IN STD_LOGIC;
sclr : IN STD_LOGIC;
p : OUT STD_LOGIC_VECTOR(42 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_mult_11_2_fe92ad55b7635191 USE ENTITY XilinxCoreLib.mult_gen_v11_2(behavioral)
GENERIC MAP (
c_a_type => 0,
c_a_width => 23,
c_b_type => 1,
c_b_value => "10000001",
c_b_width => 20,
c_ccm_imp => 0,
c_ce_overrides_sclr => 1,
c_has_ce => 1,
c_has_sclr => 1,
c_has_zero_detect => 0,
c_latency => 4,
c_model_type => 0,
c_mult_type => 1,
c_optimize_goal => 1,
c_out_high => 42,
c_out_low => 0,
c_round_output => 0,
c_round_pt => 0,
c_verbosity => 0,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_mult_11_2_fe92ad55b7635191
PORT MAP (
clk => clk,
a => a,
b => b,
ce => ce,
sclr => sclr,
p => p
);
-- synthesis translate_on
END mult_11_2_fe92ad55b7635191_a;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package conv_pkg is
constant simulating : boolean := false
-- synopsys translate_off
or true
-- synopsys translate_on
;
constant xlUnsigned : integer := 1;
constant xlSigned : integer := 2;
constant xlFloat : integer := 3;
constant xlWrap : integer := 1;
constant xlSaturate : integer := 2;
constant xlTruncate : integer := 1;
constant xlRound : integer := 2;
constant xlRoundBanker : integer := 3;
constant xlAddMode : integer := 1;
constant xlSubMode : integer := 2;
attribute black_box : boolean;
attribute syn_black_box : boolean;
attribute fpga_dont_touch: string;
attribute box_type : string;
attribute keep : string;
attribute syn_keep : boolean;
function std_logic_vector_to_unsigned(inp : std_logic_vector) return unsigned;
function unsigned_to_std_logic_vector(inp : unsigned) return std_logic_vector;
function std_logic_vector_to_signed(inp : std_logic_vector) return signed;
function signed_to_std_logic_vector(inp : signed) return std_logic_vector;
function unsigned_to_signed(inp : unsigned) return signed;
function signed_to_unsigned(inp : signed) return unsigned;
function pos(inp : std_logic_vector; arith : INTEGER) return boolean;
function all_same(inp: std_logic_vector) return boolean;
function all_zeros(inp: std_logic_vector) return boolean;
function is_point_five(inp: std_logic_vector) return boolean;
function all_ones(inp: std_logic_vector) return boolean;
function convert_type (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith,
quantization, overflow : INTEGER)
return std_logic_vector;
function cast (inp : std_logic_vector; old_bin_pt,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function shift_division_result(quotient, fraction: std_logic_vector;
fraction_width, shift_value, shift_dir: INTEGER)
return std_logic_vector;
function shift_op (inp: std_logic_vector;
result_width, shift_value, shift_dir: INTEGER)
return std_logic_vector;
function vec_slice (inp : std_logic_vector; upper, lower : INTEGER)
return std_logic_vector;
function s2u_slice (inp : signed; upper, lower : INTEGER)
return unsigned;
function u2u_slice (inp : unsigned; upper, lower : INTEGER)
return unsigned;
function s2s_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return signed;
function u2s_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return signed;
function s2u_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return unsigned;
function u2u_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return unsigned;
function u2v_cast (inp : unsigned; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return std_logic_vector;
function s2v_cast (inp : signed; old_bin_pt,
new_width, new_bin_pt : INTEGER)
return std_logic_vector;
function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt,
new_arith : INTEGER) return std_logic_vector;
function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt,
new_arith : INTEGER) return std_logic_vector;
function max_signed(width : INTEGER) return std_logic_vector;
function min_signed(width : INTEGER) return std_logic_vector;
function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER) return std_logic_vector;
function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector;
function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER;
function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER)
return INTEGER;
function sign_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector;
function zero_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector;
function zero_ext(inp : std_logic; new_width : INTEGER)
return std_logic_vector;
function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER)
return std_logic_vector;
function align_input(inp : std_logic_vector; old_width, delta, new_arith,
new_width: INTEGER)
return std_logic_vector;
function pad_LSB(inp : std_logic_vector; new_width: integer)
return std_logic_vector;
function pad_LSB(inp : std_logic_vector; new_width, arith : integer)
return std_logic_vector;
function max(L, R: INTEGER) return INTEGER;
function min(L, R: INTEGER) return INTEGER;
function "="(left,right: STRING) return boolean;
function boolean_to_signed (inp : boolean; width: integer)
return signed;
function boolean_to_unsigned (inp : boolean; width: integer)
return unsigned;
function boolean_to_vector (inp : boolean)
return std_logic_vector;
function std_logic_to_vector (inp : std_logic)
return std_logic_vector;
function integer_to_std_logic_vector (inp : integer; width, arith : integer)
return std_logic_vector;
function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer)
return integer;
function std_logic_to_integer(constant inp : std_logic := '0')
return integer;
function bin_string_element_to_std_logic_vector (inp : string; width, index : integer)
return std_logic_vector;
function bin_string_to_std_logic_vector (inp : string)
return std_logic_vector;
function hex_string_to_std_logic_vector (inp : string; width : integer)
return std_logic_vector;
function makeZeroBinStr (width : integer) return STRING;
function and_reduce(inp: std_logic_vector) return std_logic;
-- synopsys translate_off
function is_binary_string_invalid (inp : string)
return boolean;
function is_binary_string_undefined (inp : string)
return boolean;
function is_XorU(inp : std_logic_vector)
return boolean;
function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer)
return real;
function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer)
return real;
function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer)
return std_logic_vector;
function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer)
return std_logic_vector;
constant display_precision : integer := 20;
function real_to_string (inp : real) return string;
function valid_bin_string(inp : string) return boolean;
function std_logic_vector_to_bin_string(inp : std_logic_vector) return string;
function std_logic_to_bin_string(inp : std_logic) return string;
function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer)
return string;
function real_to_bin_string(inp : real; width, bin_pt, arith : integer)
return string;
type stdlogic_to_char_t is array(std_logic) of character;
constant to_char : stdlogic_to_char_t := (
'U' => 'U',
'X' => 'X',
'0' => '0',
'1' => '1',
'Z' => 'Z',
'W' => 'W',
'L' => 'L',
'H' => 'H',
'-' => '-');
-- synopsys translate_on
end conv_pkg;
package body conv_pkg is
function std_logic_vector_to_unsigned(inp : std_logic_vector)
return unsigned
is
begin
return unsigned (inp);
end;
function unsigned_to_std_logic_vector(inp : unsigned)
return std_logic_vector
is
begin
return std_logic_vector(inp);
end;
function std_logic_vector_to_signed(inp : std_logic_vector)
return signed
is
begin
return signed (inp);
end;
function signed_to_std_logic_vector(inp : signed)
return std_logic_vector
is
begin
return std_logic_vector(inp);
end;
function unsigned_to_signed (inp : unsigned)
return signed
is
begin
return signed(std_logic_vector(inp));
end;
function signed_to_unsigned (inp : signed)
return unsigned
is
begin
return unsigned(std_logic_vector(inp));
end;
function pos(inp : std_logic_vector; arith : INTEGER)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
if arith = xlUnsigned then
return true;
else
if vec(width-1) = '0' then
return true;
else
return false;
end if;
end if;
return true;
end;
function max_signed(width : INTEGER)
return std_logic_vector
is
variable ones : std_logic_vector(width-2 downto 0);
variable result : std_logic_vector(width-1 downto 0);
begin
ones := (others => '1');
result(width-1) := '0';
result(width-2 downto 0) := ones;
return result;
end;
function min_signed(width : INTEGER)
return std_logic_vector
is
variable zeros : std_logic_vector(width-2 downto 0);
variable result : std_logic_vector(width-1 downto 0);
begin
zeros := (others => '0');
result(width-1) := '1';
result(width-2 downto 0) := zeros;
return result;
end;
function and_reduce(inp: std_logic_vector) return std_logic
is
variable result: std_logic;
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := vec(0);
if width > 1 then
for i in 1 to width-1 loop
result := result and vec(i);
end loop;
end if;
return result;
end;
function all_same(inp: std_logic_vector) return boolean
is
variable result: boolean;
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := true;
if width > 0 then
for i in 1 to width-1 loop
if vec(i) /= vec(0) then
result := false;
end if;
end loop;
end if;
return result;
end;
function all_zeros(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable zero : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
zero := (others => '0');
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(zero)) then
result := true;
else
result := false;
end if;
return result;
end;
function is_point_five(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (width > 1) then
if ((vec(width-1) = '1') and (all_zeros(vec(width-2 downto 0)) = true)) then
result := true;
else
result := false;
end if;
else
if (vec(width-1) = '1') then
result := true;
else
result := false;
end if;
end if;
return result;
end;
function all_ones(inp: std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable one : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
one := (others => '1');
vec := inp;
-- synopsys translate_off
if (is_XorU(vec)) then
return false;
end if;
-- synopsys translate_on
if (std_logic_vector_to_unsigned(vec) = std_logic_vector_to_unsigned(one)) then
result := true;
else
result := false;
end if;
return result;
end;
function full_precision_num_width(quantization, overflow, old_width,
old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return integer
is
variable result : integer;
begin
result := old_width + 2;
return result;
end;
function quantized_num_width(quantization, overflow, old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return integer
is
variable right_of_dp, left_of_dp, result : integer;
begin
right_of_dp := max(new_bin_pt, old_bin_pt);
left_of_dp := max((new_width - new_bin_pt), (old_width - old_bin_pt));
result := (old_width + 2) + (new_bin_pt - old_bin_pt);
return result;
end;
function convert_type (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith,
quantization, overflow : INTEGER)
return std_logic_vector
is
constant fp_width : integer :=
full_precision_num_width(quantization, overflow, old_width,
old_bin_pt, old_arith, new_width,
new_bin_pt, new_arith);
constant fp_bin_pt : integer := old_bin_pt;
constant fp_arith : integer := old_arith;
variable full_precision_result : std_logic_vector(fp_width-1 downto 0);
constant q_width : integer :=
quantized_num_width(quantization, overflow, old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith);
constant q_bin_pt : integer := new_bin_pt;
constant q_arith : integer := old_arith;
variable quantized_result : std_logic_vector(q_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
result := (others => '0');
full_precision_result := cast(inp, old_bin_pt, fp_width, fp_bin_pt,
fp_arith);
if (quantization = xlRound) then
quantized_result := round_towards_inf(full_precision_result,
fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt,
q_arith);
elsif (quantization = xlRoundBanker) then
quantized_result := round_towards_even(full_precision_result,
fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt,
q_arith);
else
quantized_result := trunc(full_precision_result, fp_width, fp_bin_pt,
fp_arith, q_width, q_bin_pt, q_arith);
end if;
if (overflow = xlSaturate) then
result := saturation_arith(quantized_result, q_width, q_bin_pt,
q_arith, new_width, new_bin_pt, new_arith);
else
result := wrap_arith(quantized_result, q_width, q_bin_pt, q_arith,
new_width, new_bin_pt, new_arith);
end if;
return result;
end;
function cast (inp : std_logic_vector; old_bin_pt, new_width,
new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
constant left_of_dp : integer := (new_width - new_bin_pt)
- (old_width - old_bin_pt);
constant right_of_dp : integer := (new_bin_pt - old_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable j : integer;
begin
vec := inp;
for i in new_width-1 downto 0 loop
j := i - right_of_dp;
if ( j > old_width-1) then
if (new_arith = xlUnsigned) then
result(i) := '0';
else
result(i) := vec(old_width-1);
end if;
elsif ( j >= 0) then
result(i) := vec(j);
else
result(i) := '0';
end if;
end loop;
return result;
end;
function shift_division_result(quotient, fraction: std_logic_vector;
fraction_width, shift_value, shift_dir: INTEGER)
return std_logic_vector
is
constant q_width : integer := quotient'length;
constant f_width : integer := fraction'length;
constant vec_MSB : integer := q_width+f_width-1;
constant result_MSB : integer := q_width+fraction_width-1;
constant result_LSB : integer := vec_MSB-result_MSB;
variable vec : std_logic_vector(vec_MSB downto 0);
variable result : std_logic_vector(result_MSB downto 0);
begin
vec := ( quotient & fraction );
if shift_dir = 1 then
for i in vec_MSB downto 0 loop
if (i < shift_value) then
vec(i) := '0';
else
vec(i) := vec(i-shift_value);
end if;
end loop;
else
for i in 0 to vec_MSB loop
if (i > vec_MSB-shift_value) then
vec(i) := vec(vec_MSB);
else
vec(i) := vec(i+shift_value);
end if;
end loop;
end if;
result := vec(vec_MSB downto result_LSB);
return result;
end;
function shift_op (inp: std_logic_vector;
result_width, shift_value, shift_dir: INTEGER)
return std_logic_vector
is
constant inp_width : integer := inp'length;
constant vec_MSB : integer := inp_width-1;
constant result_MSB : integer := result_width-1;
constant result_LSB : integer := vec_MSB-result_MSB;
variable vec : std_logic_vector(vec_MSB downto 0);
variable result : std_logic_vector(result_MSB downto 0);
begin
vec := inp;
if shift_dir = 1 then
for i in vec_MSB downto 0 loop
if (i < shift_value) then
vec(i) := '0';
else
vec(i) := vec(i-shift_value);
end if;
end loop;
else
for i in 0 to vec_MSB loop
if (i > vec_MSB-shift_value) then
vec(i) := vec(vec_MSB);
else
vec(i) := vec(i+shift_value);
end if;
end loop;
end if;
result := vec(vec_MSB downto result_LSB);
return result;
end;
function vec_slice (inp : std_logic_vector; upper, lower : INTEGER)
return std_logic_vector
is
begin
return inp(upper downto lower);
end;
function s2u_slice (inp : signed; upper, lower : INTEGER)
return unsigned
is
begin
return unsigned(vec_slice(std_logic_vector(inp), upper, lower));
end;
function u2u_slice (inp : unsigned; upper, lower : INTEGER)
return unsigned
is
begin
return unsigned(vec_slice(std_logic_vector(inp), upper, lower));
end;
function s2s_cast (inp : signed; old_bin_pt, new_width, new_bin_pt : INTEGER)
return signed
is
begin
return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned));
end;
function s2u_cast (inp : signed; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return unsigned
is
begin
return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned));
end;
function u2s_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return signed
is
begin
return signed(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned));
end;
function u2u_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return unsigned
is
begin
return unsigned(cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned));
end;
function u2v_cast (inp : unsigned; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return std_logic_vector
is
begin
return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlUnsigned);
end;
function s2v_cast (inp : signed; old_bin_pt, new_width,
new_bin_pt : INTEGER)
return std_logic_vector
is
begin
return cast(std_logic_vector(inp), old_bin_pt, new_width, new_bin_pt, xlSigned);
end;
function boolean_to_signed (inp : boolean; width : integer)
return signed
is
variable result : signed(width - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function boolean_to_unsigned (inp : boolean; width : integer)
return unsigned
is
variable result : unsigned(width - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function boolean_to_vector (inp : boolean)
return std_logic_vector
is
variable result : std_logic_vector(1 - 1 downto 0);
begin
result := (others => '0');
if inp then
result(0) := '1';
else
result(0) := '0';
end if;
return result;
end;
function std_logic_to_vector (inp : std_logic)
return std_logic_vector
is
variable result : std_logic_vector(1 - 1 downto 0);
begin
result(0) := inp;
return result;
end;
function trunc (inp : std_logic_vector; old_width, old_bin_pt, old_arith,
new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
result := zero_ext(vec(old_width-1 downto right_of_dp), new_width);
else
result := sign_ext(vec(old_width-1 downto right_of_dp), new_width);
end if;
else
if new_arith = xlUnsigned then
result := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
result := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
return result;
end;
function round_towards_inf (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
constant expected_new_width : integer := old_width - right_of_dp + 1;
variable vec : std_logic_vector(old_width-1 downto 0);
variable one_or_zero : std_logic_vector(new_width-1 downto 0);
variable truncated_val : std_logic_vector(new_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
truncated_val := zero_ext(vec(old_width-1 downto right_of_dp),
new_width);
else
truncated_val := sign_ext(vec(old_width-1 downto right_of_dp),
new_width);
end if;
else
if new_arith = xlUnsigned then
truncated_val := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
truncated_val := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
one_or_zero := (others => '0');
if (new_arith = xlSigned) then
if (vec(old_width-1) = '0') then
one_or_zero(0) := '1';
end if;
if (right_of_dp >= 2) and (right_of_dp <= old_width) then
if (all_zeros(vec(right_of_dp-2 downto 0)) = false) then
one_or_zero(0) := '1';
end if;
end if;
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
if vec(right_of_dp-1) = '0' then
one_or_zero(0) := '0';
end if;
else
one_or_zero(0) := '0';
end if;
else
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
one_or_zero(0) := vec(right_of_dp-1);
end if;
end if;
if new_arith = xlSigned then
result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) +
std_logic_vector_to_signed(one_or_zero));
else
result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) +
std_logic_vector_to_unsigned(one_or_zero));
end if;
return result;
end;
function round_towards_even (inp : std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant right_of_dp : integer := (old_bin_pt - new_bin_pt);
constant expected_new_width : integer := old_width - right_of_dp + 1;
variable vec : std_logic_vector(old_width-1 downto 0);
variable one_or_zero : std_logic_vector(new_width-1 downto 0);
variable truncated_val : std_logic_vector(new_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if right_of_dp >= 0 then
if new_arith = xlUnsigned then
truncated_val := zero_ext(vec(old_width-1 downto right_of_dp),
new_width);
else
truncated_val := sign_ext(vec(old_width-1 downto right_of_dp),
new_width);
end if;
else
if new_arith = xlUnsigned then
truncated_val := zero_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
else
truncated_val := sign_ext(pad_LSB(vec, old_width +
abs(right_of_dp)), new_width);
end if;
end if;
one_or_zero := (others => '0');
if (right_of_dp >= 1) and (right_of_dp <= old_width) then
if (is_point_five(vec(right_of_dp-1 downto 0)) = false) then
one_or_zero(0) := vec(right_of_dp-1);
else
one_or_zero(0) := vec(right_of_dp);
end if;
end if;
if new_arith = xlSigned then
result := signed_to_std_logic_vector(std_logic_vector_to_signed(truncated_val) +
std_logic_vector_to_signed(one_or_zero));
else
result := unsigned_to_std_logic_vector(std_logic_vector_to_unsigned(truncated_val) +
std_logic_vector_to_unsigned(one_or_zero));
end if;
return result;
end;
function saturation_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith
: INTEGER)
return std_logic_vector
is
constant left_of_dp : integer := (old_width - old_bin_pt) -
(new_width - new_bin_pt);
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable overflow : boolean;
begin
vec := inp;
overflow := true;
result := (others => '0');
if (new_width >= old_width) then
overflow := false;
end if;
if ((old_arith = xlSigned and new_arith = xlSigned) and (old_width > new_width)) then
if all_same(vec(old_width-1 downto new_width-1)) then
overflow := false;
end if;
end if;
if (old_arith = xlSigned and new_arith = xlUnsigned) then
if (old_width > new_width) then
if all_zeros(vec(old_width-1 downto new_width)) then
overflow := false;
end if;
else
if (old_width = new_width) then
if (vec(new_width-1) = '0') then
overflow := false;
end if;
end if;
end if;
end if;
if (old_arith = xlUnsigned and new_arith = xlUnsigned) then
if (old_width > new_width) then
if all_zeros(vec(old_width-1 downto new_width)) then
overflow := false;
end if;
else
if (old_width = new_width) then
overflow := false;
end if;
end if;
end if;
if ((old_arith = xlUnsigned and new_arith = xlSigned) and (old_width > new_width)) then
if all_same(vec(old_width-1 downto new_width-1)) then
overflow := false;
end if;
end if;
if overflow then
if new_arith = xlSigned then
if vec(old_width-1) = '0' then
result := max_signed(new_width);
else
result := min_signed(new_width);
end if;
else
if ((old_arith = xlSigned) and vec(old_width-1) = '1') then
result := (others => '0');
else
result := (others => '1');
end if;
end if;
else
if (old_arith = xlSigned) and (new_arith = xlUnsigned) then
if (vec(old_width-1) = '1') then
vec := (others => '0');
end if;
end if;
if new_width <= old_width then
result := vec(new_width-1 downto 0);
else
if new_arith = xlUnsigned then
result := zero_ext(vec, new_width);
else
result := sign_ext(vec, new_width);
end if;
end if;
end if;
return result;
end;
function wrap_arith(inp: std_logic_vector; old_width, old_bin_pt,
old_arith, new_width, new_bin_pt, new_arith : INTEGER)
return std_logic_vector
is
variable result : std_logic_vector(new_width-1 downto 0);
variable result_arith : integer;
begin
if (old_arith = xlSigned) and (new_arith = xlUnsigned) then
result_arith := xlSigned;
end if;
result := cast(inp, old_bin_pt, new_width, new_bin_pt, result_arith);
return result;
end;
function fractional_bits(a_bin_pt, b_bin_pt: INTEGER) return INTEGER is
begin
return max(a_bin_pt, b_bin_pt);
end;
function integer_bits(a_width, a_bin_pt, b_width, b_bin_pt: INTEGER)
return INTEGER is
begin
return max(a_width - a_bin_pt, b_width - b_bin_pt);
end;
function pad_LSB(inp : std_logic_vector; new_width: integer)
return STD_LOGIC_VECTOR
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable pos : integer;
constant pad_pos : integer := new_width - orig_width - 1;
begin
vec := inp;
pos := new_width-1;
if (new_width >= orig_width) then
for i in orig_width-1 downto 0 loop
result(pos) := vec(i);
pos := pos - 1;
end loop;
if pad_pos >= 0 then
for i in pad_pos downto 0 loop
result(i) := '0';
end loop;
end if;
end if;
return result;
end;
function sign_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if new_width >= old_width then
result(old_width-1 downto 0) := vec;
if new_width-1 >= old_width then
for i in new_width-1 downto old_width loop
result(i) := vec(old_width-1);
end loop;
end if;
else
result(new_width-1 downto 0) := vec(new_width-1 downto 0);
end if;
return result;
end;
function zero_ext(inp : std_logic_vector; new_width : INTEGER)
return std_logic_vector
is
constant old_width : integer := inp'length;
variable vec : std_logic_vector(old_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if new_width >= old_width then
result(old_width-1 downto 0) := vec;
if new_width-1 >= old_width then
for i in new_width-1 downto old_width loop
result(i) := '0';
end loop;
end if;
else
result(new_width-1 downto 0) := vec(new_width-1 downto 0);
end if;
return result;
end;
function zero_ext(inp : std_logic; new_width : INTEGER)
return std_logic_vector
is
variable result : std_logic_vector(new_width-1 downto 0);
begin
result(0) := inp;
for i in new_width-1 downto 1 loop
result(i) := '0';
end loop;
return result;
end;
function extend_MSB(inp : std_logic_vector; new_width, arith : INTEGER)
return std_logic_vector
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if arith = xlUnsigned then
result := zero_ext(vec, new_width);
else
result := sign_ext(vec, new_width);
end if;
return result;
end;
function pad_LSB(inp : std_logic_vector; new_width, arith: integer)
return STD_LOGIC_VECTOR
is
constant orig_width : integer := inp'length;
variable vec : std_logic_vector(orig_width-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
variable pos : integer;
begin
vec := inp;
pos := new_width-1;
if (arith = xlUnsigned) then
result(pos) := '0';
pos := pos - 1;
else
result(pos) := vec(orig_width-1);
pos := pos - 1;
end if;
if (new_width >= orig_width) then
for i in orig_width-1 downto 0 loop
result(pos) := vec(i);
pos := pos - 1;
end loop;
if pos >= 0 then
for i in pos downto 0 loop
result(i) := '0';
end loop;
end if;
end if;
return result;
end;
function align_input(inp : std_logic_vector; old_width, delta, new_arith,
new_width: INTEGER)
return std_logic_vector
is
variable vec : std_logic_vector(old_width-1 downto 0);
variable padded_inp : std_logic_vector((old_width + delta)-1 downto 0);
variable result : std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if delta > 0 then
padded_inp := pad_LSB(vec, old_width+delta);
result := extend_MSB(padded_inp, new_width, new_arith);
else
result := extend_MSB(vec, new_width, new_arith);
end if;
return result;
end;
function max(L, R: INTEGER) return INTEGER is
begin
if L > R then
return L;
else
return R;
end if;
end;
function min(L, R: INTEGER) return INTEGER is
begin
if L < R then
return L;
else
return R;
end if;
end;
function "="(left,right: STRING) return boolean is
begin
if (left'length /= right'length) then
return false;
else
test : for i in 1 to left'length loop
if left(i) /= right(i) then
return false;
end if;
end loop test;
return true;
end if;
end;
-- synopsys translate_off
function is_binary_string_invalid (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 1 to vec'length loop
if ( vec(i) = 'X' ) then
result := true;
end if;
end loop;
return result;
end;
function is_binary_string_undefined (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 1 to vec'length loop
if ( vec(i) = 'U' ) then
result := true;
end if;
end loop;
return result;
end;
function is_XorU(inp : std_logic_vector)
return boolean
is
constant width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable result : boolean;
begin
vec := inp;
result := false;
for i in 0 to width-1 loop
if (vec(i) = 'U') or (vec(i) = 'X') then
result := true;
end if;
end loop;
return result;
end;
function to_real(inp : std_logic_vector; bin_pt : integer; arith : integer)
return real
is
variable vec : std_logic_vector(inp'length-1 downto 0);
variable result, shift_val, undefined_real : real;
variable neg_num : boolean;
begin
vec := inp;
result := 0.0;
neg_num := false;
if vec(inp'length-1) = '1' then
neg_num := true;
end if;
for i in 0 to inp'length-1 loop
if vec(i) = 'U' or vec(i) = 'X' then
return undefined_real;
end if;
if arith = xlSigned then
if neg_num then
if vec(i) = '0' then
result := result + 2.0**i;
end if;
else
if vec(i) = '1' then
result := result + 2.0**i;
end if;
end if;
else
if vec(i) = '1' then
result := result + 2.0**i;
end if;
end if;
end loop;
if arith = xlSigned then
if neg_num then
result := result + 1.0;
result := result * (-1.0);
end if;
end if;
shift_val := 2.0**(-1*bin_pt);
result := result * shift_val;
return result;
end;
function std_logic_to_real(inp : std_logic; bin_pt : integer; arith : integer)
return real
is
variable result : real := 0.0;
begin
if inp = '1' then
result := 1.0;
end if;
if arith = xlSigned then
assert false
report "It doesn't make sense to convert a 1 bit number to a signed real.";
end if;
return result;
end;
-- synopsys translate_on
function integer_to_std_logic_vector (inp : integer; width, arith : integer)
return std_logic_vector
is
variable result : std_logic_vector(width-1 downto 0);
variable unsigned_val : unsigned(width-1 downto 0);
variable signed_val : signed(width-1 downto 0);
begin
if (arith = xlSigned) then
signed_val := to_signed(inp, width);
result := signed_to_std_logic_vector(signed_val);
else
unsigned_val := to_unsigned(inp, width);
result := unsigned_to_std_logic_vector(unsigned_val);
end if;
return result;
end;
function std_logic_vector_to_integer (inp : std_logic_vector; arith : integer)
return integer
is
constant width : integer := inp'length;
variable unsigned_val : unsigned(width-1 downto 0);
variable signed_val : signed(width-1 downto 0);
variable result : integer;
begin
if (arith = xlSigned) then
signed_val := std_logic_vector_to_signed(inp);
result := to_integer(signed_val);
else
unsigned_val := std_logic_vector_to_unsigned(inp);
result := to_integer(unsigned_val);
end if;
return result;
end;
function std_logic_to_integer(constant inp : std_logic := '0')
return integer
is
begin
if inp = '1' then
return 1;
else
return 0;
end if;
end;
function makeZeroBinStr (width : integer) return STRING is
variable result : string(1 to width+3);
begin
result(1) := '0';
result(2) := 'b';
for i in 3 to width+2 loop
result(i) := '0';
end loop;
result(width+3) := '.';
return result;
end;
-- synopsys translate_off
function real_string_to_std_logic_vector (inp : string; width, bin_pt, arith : integer)
return std_logic_vector
is
variable result : std_logic_vector(width-1 downto 0);
begin
result := (others => '0');
return result;
end;
function real_to_std_logic_vector (inp : real; width, bin_pt, arith : integer)
return std_logic_vector
is
variable real_val : real;
variable int_val : integer;
variable result : std_logic_vector(width-1 downto 0) := (others => '0');
variable unsigned_val : unsigned(width-1 downto 0) := (others => '0');
variable signed_val : signed(width-1 downto 0) := (others => '0');
begin
real_val := inp;
int_val := integer(real_val * 2.0**(bin_pt));
if (arith = xlSigned) then
signed_val := to_signed(int_val, width);
result := signed_to_std_logic_vector(signed_val);
else
unsigned_val := to_unsigned(int_val, width);
result := unsigned_to_std_logic_vector(unsigned_val);
end if;
return result;
end;
-- synopsys translate_on
function valid_bin_string (inp : string)
return boolean
is
variable vec : string(1 to inp'length);
begin
vec := inp;
if (vec(1) = '0' and vec(2) = 'b') then
return true;
else
return false;
end if;
end;
function hex_string_to_std_logic_vector(inp: string; width : integer)
return std_logic_vector is
constant strlen : integer := inp'LENGTH;
variable result : std_logic_vector(width-1 downto 0);
variable bitval : std_logic_vector((strlen*4)-1 downto 0);
variable posn : integer;
variable ch : character;
variable vec : string(1 to strlen);
begin
vec := inp;
result := (others => '0');
posn := (strlen*4)-1;
for i in 1 to strlen loop
ch := vec(i);
case ch is
when '0' => bitval(posn downto posn-3) := "0000";
when '1' => bitval(posn downto posn-3) := "0001";
when '2' => bitval(posn downto posn-3) := "0010";
when '3' => bitval(posn downto posn-3) := "0011";
when '4' => bitval(posn downto posn-3) := "0100";
when '5' => bitval(posn downto posn-3) := "0101";
when '6' => bitval(posn downto posn-3) := "0110";
when '7' => bitval(posn downto posn-3) := "0111";
when '8' => bitval(posn downto posn-3) := "1000";
when '9' => bitval(posn downto posn-3) := "1001";
when 'A' | 'a' => bitval(posn downto posn-3) := "1010";
when 'B' | 'b' => bitval(posn downto posn-3) := "1011";
when 'C' | 'c' => bitval(posn downto posn-3) := "1100";
when 'D' | 'd' => bitval(posn downto posn-3) := "1101";
when 'E' | 'e' => bitval(posn downto posn-3) := "1110";
when 'F' | 'f' => bitval(posn downto posn-3) := "1111";
when others => bitval(posn downto posn-3) := "XXXX";
-- synopsys translate_off
ASSERT false
REPORT "Invalid hex value" SEVERITY ERROR;
-- synopsys translate_on
end case;
posn := posn - 4;
end loop;
if (width <= strlen*4) then
result := bitval(width-1 downto 0);
else
result((strlen*4)-1 downto 0) := bitval;
end if;
return result;
end;
function bin_string_to_std_logic_vector (inp : string)
return std_logic_vector
is
variable pos : integer;
variable vec : string(1 to inp'length);
variable result : std_logic_vector(inp'length-1 downto 0);
begin
vec := inp;
pos := inp'length-1;
result := (others => '0');
for i in 1 to vec'length loop
-- synopsys translate_off
if (pos < 0) and (vec(i) = '0' or vec(i) = '1' or vec(i) = 'X' or vec(i) = 'U') then
assert false
report "Input string is larger than output std_logic_vector. Truncating output.";
return result;
end if;
-- synopsys translate_on
if vec(i) = '0' then
result(pos) := '0';
pos := pos - 1;
end if;
if vec(i) = '1' then
result(pos) := '1';
pos := pos - 1;
end if;
-- synopsys translate_off
if (vec(i) = 'X' or vec(i) = 'U') then
result(pos) := 'U';
pos := pos - 1;
end if;
-- synopsys translate_on
end loop;
return result;
end;
function bin_string_element_to_std_logic_vector (inp : string; width, index : integer)
return std_logic_vector
is
constant str_width : integer := width + 4;
constant inp_len : integer := inp'length;
constant num_elements : integer := (inp_len + 1)/str_width;
constant reverse_index : integer := (num_elements-1) - index;
variable left_pos : integer;
variable right_pos : integer;
variable vec : string(1 to inp'length);
variable result : std_logic_vector(width-1 downto 0);
begin
vec := inp;
result := (others => '0');
if (reverse_index = 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then
left_pos := 1;
right_pos := width + 3;
result := bin_string_to_std_logic_vector(vec(left_pos to right_pos));
end if;
if (reverse_index > 0) and (reverse_index < num_elements) and (inp_len-3 >= width) then
left_pos := (reverse_index * str_width) + 1;
right_pos := left_pos + width + 2;
result := bin_string_to_std_logic_vector(vec(left_pos to right_pos));
end if;
return result;
end;
-- synopsys translate_off
function std_logic_vector_to_bin_string(inp : std_logic_vector)
return string
is
variable vec : std_logic_vector(1 to inp'length);
variable result : string(vec'range);
begin
vec := inp;
for i in vec'range loop
result(i) := to_char(vec(i));
end loop;
return result;
end;
function std_logic_to_bin_string(inp : std_logic)
return string
is
variable result : string(1 to 3);
begin
result(1) := '0';
result(2) := 'b';
result(3) := to_char(inp);
return result;
end;
function std_logic_vector_to_bin_string_w_point(inp : std_logic_vector; bin_pt : integer)
return string
is
variable width : integer := inp'length;
variable vec : std_logic_vector(width-1 downto 0);
variable str_pos : integer;
variable result : string(1 to width+3);
begin
vec := inp;
str_pos := 1;
result(str_pos) := '0';
str_pos := 2;
result(str_pos) := 'b';
str_pos := 3;
for i in width-1 downto 0 loop
if (((width+3) - bin_pt) = str_pos) then
result(str_pos) := '.';
str_pos := str_pos + 1;
end if;
result(str_pos) := to_char(vec(i));
str_pos := str_pos + 1;
end loop;
if (bin_pt = 0) then
result(str_pos) := '.';
end if;
return result;
end;
function real_to_bin_string(inp : real; width, bin_pt, arith : integer)
return string
is
variable result : string(1 to width);
variable vec : std_logic_vector(width-1 downto 0);
begin
vec := real_to_std_logic_vector(inp, width, bin_pt, arith);
result := std_logic_vector_to_bin_string(vec);
return result;
end;
function real_to_string (inp : real) return string
is
variable result : string(1 to display_precision) := (others => ' ');
begin
result(real'image(inp)'range) := real'image(inp);
return result;
end;
-- synopsys translate_on
end conv_pkg;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity srl17e is
generic (width : integer:=16;
latency : integer :=8);
port (clk : in std_logic;
ce : in std_logic;
d : in std_logic_vector(width-1 downto 0);
q : out std_logic_vector(width-1 downto 0));
end srl17e;
architecture structural of srl17e is
component SRL16E
port (D : in STD_ULOGIC;
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
Q : out STD_ULOGIC);
end component;
attribute syn_black_box of SRL16E : component is true;
attribute fpga_dont_touch of SRL16E : component is "true";
component FDE
port(
Q : out STD_ULOGIC;
D : in STD_ULOGIC;
C : in STD_ULOGIC;
CE : in STD_ULOGIC);
end component;
attribute syn_black_box of FDE : component is true;
attribute fpga_dont_touch of FDE : component is "true";
constant a : std_logic_vector(4 downto 0) :=
integer_to_std_logic_vector(latency-2,5,xlSigned);
signal d_delayed : std_logic_vector(width-1 downto 0);
signal srl16_out : std_logic_vector(width-1 downto 0);
begin
d_delayed <= d after 200 ps;
reg_array : for i in 0 to width-1 generate
srl16_used: if latency > 1 generate
u1 : srl16e port map(clk => clk,
d => d_delayed(i),
q => srl16_out(i),
ce => ce,
a0 => a(0),
a1 => a(1),
a2 => a(2),
a3 => a(3));
end generate;
srl16_not_used: if latency <= 1 generate
srl16_out(i) <= d_delayed(i);
end generate;
fde_used: if latency /= 0 generate
u2 : fde port map(c => clk,
d => srl16_out(i),
q => q(i),
ce => ce);
end generate;
fde_not_used: if latency = 0 generate
q(i) <= srl16_out(i);
end generate;
end generate;
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity synth_reg is
generic (width : integer := 8;
latency : integer := 1);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end synth_reg;
architecture structural of synth_reg is
component srl17e
generic (width : integer:=16;
latency : integer :=8);
port (clk : in std_logic;
ce : in std_logic;
d : in std_logic_vector(width-1 downto 0);
q : out std_logic_vector(width-1 downto 0));
end component;
function calc_num_srl17es (latency : integer)
return integer
is
variable remaining_latency : integer;
variable result : integer;
begin
result := latency / 17;
remaining_latency := latency - (result * 17);
if (remaining_latency /= 0) then
result := result + 1;
end if;
return result;
end;
constant complete_num_srl17es : integer := latency / 17;
constant num_srl17es : integer := calc_num_srl17es(latency);
constant remaining_latency : integer := latency - (complete_num_srl17es * 17);
type register_array is array (num_srl17es downto 0) of
std_logic_vector(width-1 downto 0);
signal z : register_array;
begin
z(0) <= i;
complete_ones : if complete_num_srl17es > 0 generate
srl17e_array: for i in 0 to complete_num_srl17es-1 generate
delay_comp : srl17e
generic map (width => width,
latency => 17)
port map (clk => clk,
ce => ce,
d => z(i),
q => z(i+1));
end generate;
end generate;
partial_one : if remaining_latency > 0 generate
last_srl17e : srl17e
generic map (width => width,
latency => remaining_latency)
port map (clk => clk,
ce => ce,
d => z(num_srl17es-1),
q => z(num_srl17es));
end generate;
o <= z(num_srl17es);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity synth_reg_reg is
generic (width : integer := 8;
latency : integer := 1);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end synth_reg_reg;
architecture behav of synth_reg_reg is
type reg_array_type is array (latency-1 downto 0) of std_logic_vector(width -1 downto 0);
signal reg_bank : reg_array_type := (others => (others => '0'));
signal reg_bank_in : reg_array_type := (others => (others => '0'));
attribute syn_allow_retiming : boolean;
attribute syn_srlstyle : string;
attribute syn_allow_retiming of reg_bank : signal is true;
attribute syn_allow_retiming of reg_bank_in : signal is true;
attribute syn_srlstyle of reg_bank : signal is "registers";
attribute syn_srlstyle of reg_bank_in : signal is "registers";
begin
latency_eq_0: if latency = 0 generate
o <= i;
end generate latency_eq_0;
latency_gt_0: if latency >= 1 generate
o <= reg_bank(latency-1);
reg_bank_in(0) <= i;
loop_gen: for idx in latency-2 downto 0 generate
reg_bank_in(idx+1) <= reg_bank(idx);
end generate loop_gen;
sync_loop: for sync_idx in latency-1 downto 0 generate
sync_proc: process (clk)
begin
if clk'event and clk = '1' then
if clr = '1' then
reg_bank_in <= (others => (others => '0'));
elsif ce = '1' then
reg_bank(sync_idx) <= reg_bank_in(sync_idx);
end if;
end if;
end process sync_proc;
end generate sync_loop;
end generate latency_gt_0;
end behav;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity single_reg_w_init is
generic (
width: integer := 8;
init_index: integer := 0;
init_value: bit_vector := b"0000"
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end single_reg_w_init;
architecture structural of single_reg_w_init is
function build_init_const(width: integer;
init_index: integer;
init_value: bit_vector)
return std_logic_vector
is
variable result: std_logic_vector(width - 1 downto 0);
begin
if init_index = 0 then
result := (others => '0');
elsif init_index = 1 then
result := (others => '0');
result(0) := '1';
else
result := to_stdlogicvector(init_value);
end if;
return result;
end;
component fdre
port (
q: out std_ulogic;
d: in std_ulogic;
c: in std_ulogic;
ce: in std_ulogic;
r: in std_ulogic
);
end component;
attribute syn_black_box of fdre: component is true;
attribute fpga_dont_touch of fdre: component is "true";
component fdse
port (
q: out std_ulogic;
d: in std_ulogic;
c: in std_ulogic;
ce: in std_ulogic;
s: in std_ulogic
);
end component;
attribute syn_black_box of fdse: component is true;
attribute fpga_dont_touch of fdse: component is "true";
constant init_const: std_logic_vector(width - 1 downto 0)
:= build_init_const(width, init_index, init_value);
begin
fd_prim_array: for index in 0 to width - 1 generate
bit_is_0: if (init_const(index) = '0') generate
fdre_comp: fdre
port map (
c => clk,
d => i(index),
q => o(index),
ce => ce,
r => clr
);
end generate;
bit_is_1: if (init_const(index) = '1') generate
fdse_comp: fdse
port map (
c => clk,
d => i(index),
q => o(index),
ce => ce,
s => clr
);
end generate;
end generate;
end architecture structural;
-- synopsys translate_off
library unisim;
use unisim.vcomponents.all;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity synth_reg_w_init is
generic (
width: integer := 8;
init_index: integer := 0;
init_value: bit_vector := b"0000";
latency: integer := 1
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end synth_reg_w_init;
architecture structural of synth_reg_w_init is
component single_reg_w_init
generic (
width: integer := 8;
init_index: integer := 0;
init_value: bit_vector := b"0000"
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
signal dly_i: std_logic_vector((latency + 1) * width - 1 downto 0);
signal dly_clr: std_logic;
begin
latency_eq_0: if (latency = 0) generate
o <= i;
end generate;
latency_gt_0: if (latency >= 1) generate
dly_i((latency + 1) * width - 1 downto latency * width) <= i
after 200 ps;
dly_clr <= clr after 200 ps;
fd_array: for index in latency downto 1 generate
reg_comp: single_reg_w_init
generic map (
width => width,
init_index => init_index,
init_value => init_value
)
port map (
clk => clk,
i => dly_i((index + 1) * width - 1 downto index * width),
o => dly_i(index * width - 1 downto (index - 1) * width),
ce => ce,
clr => dly_clr
);
end generate;
o <= dly_i(width - 1 downto 0);
end generate;
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_029cd20aa9 is
port (
sel : in std_logic_vector((1 - 1) downto 0);
d0 : in std_logic_vector((22 - 1) downto 0);
d1 : in std_logic_vector((23 - 1) downto 0);
y : out std_logic_vector((23 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_029cd20aa9;
architecture behavior of mux_029cd20aa9 is
signal sel_1_20: std_logic_vector((1 - 1) downto 0);
signal d0_1_24: std_logic_vector((22 - 1) downto 0);
signal d1_1_27: std_logic_vector((23 - 1) downto 0);
type array_type_pipe_16_22 is array (0 to (1 - 1)) of std_logic_vector((23 - 1) downto 0);
signal pipe_16_22: array_type_pipe_16_22 := (
0 => "00000000000000000000000");
signal pipe_16_22_front_din: std_logic_vector((23 - 1) downto 0);
signal pipe_16_22_back: std_logic_vector((23 - 1) downto 0);
signal pipe_16_22_push_front_pop_back_en: std_logic;
signal unregy_join_6_1: std_logic_vector((23 - 1) downto 0);
begin
sel_1_20 <= sel;
d0_1_24 <= d0;
d1_1_27 <= d1;
pipe_16_22_back <= pipe_16_22(0);
proc_pipe_16_22: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (pipe_16_22_push_front_pop_back_en = '1')) then
pipe_16_22(0) <= pipe_16_22_front_din;
end if;
end if;
end process proc_pipe_16_22;
proc_switch_6_1: process (d0_1_24, d1_1_27, sel_1_20)
is
begin
case sel_1_20 is
when "0" =>
unregy_join_6_1 <= cast(d0_1_24, 0, 23, 0, xlSigned);
when others =>
unregy_join_6_1 <= d1_1_27;
end case;
end process proc_switch_6_1;
pipe_16_22_front_din <= unregy_join_6_1;
pipe_16_22_push_front_pop_back_en <= '1';
y <= pipe_16_22_back;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity negate_142bd36a06 is
port (
ip : in std_logic_vector((22 - 1) downto 0);
op : out std_logic_vector((23 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end negate_142bd36a06;
architecture behavior of negate_142bd36a06 is
signal ip_18_25: signed((22 - 1) downto 0);
type array_type_op_mem_42_20 is array (0 to (1 - 1)) of signed((23 - 1) downto 0);
signal op_mem_42_20: array_type_op_mem_42_20 := (
0 => "00000000000000000000000");
signal op_mem_42_20_front_din: signed((23 - 1) downto 0);
signal op_mem_42_20_back: signed((23 - 1) downto 0);
signal op_mem_42_20_push_front_pop_back_en: std_logic;
signal cast_30_16: signed((23 - 1) downto 0);
signal internal_ip_30_1_neg: signed((23 - 1) downto 0);
begin
ip_18_25 <= std_logic_vector_to_signed(ip);
op_mem_42_20_back <= op_mem_42_20(0);
proc_op_mem_42_20: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_42_20_push_front_pop_back_en = '1')) then
op_mem_42_20(0) <= op_mem_42_20_front_din;
end if;
end if;
end process proc_op_mem_42_20;
cast_30_16 <= s2s_cast(ip_18_25, 0, 23, 0);
internal_ip_30_1_neg <= -cast_30_16;
op_mem_42_20_front_din <= internal_ip_30_1_neg;
op_mem_42_20_push_front_pop_back_en <= '1';
op <= signed_to_std_logic_vector(op_mem_42_20_back);
end behavior;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xlregister is
generic (d_width : integer := 5;
init_value : bit_vector := b"00");
port (d : in std_logic_vector (d_width-1 downto 0);
rst : in std_logic_vector(0 downto 0) := "0";
en : in std_logic_vector(0 downto 0) := "1";
ce : in std_logic;
clk : in std_logic;
q : out std_logic_vector (d_width-1 downto 0));
end xlregister;
architecture behavior of xlregister is
component synth_reg_w_init
generic (width : integer;
init_index : integer;
init_value : bit_vector;
latency : integer);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end component;
-- synopsys translate_off
signal real_d, real_q : real;
-- synopsys translate_on
signal internal_clr : std_logic;
signal internal_ce : std_logic;
begin
internal_clr <= rst(0) and ce;
internal_ce <= en(0) and ce;
synth_reg_inst : synth_reg_w_init
generic map (width => d_width,
init_index => 2,
init_value => init_value,
latency => 1)
port map (i => d,
ce => internal_ce,
clr => internal_clr,
clk => clk,
o => q);
end architecture behavior;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.conv_pkg.all;
entity xlslice is
generic (
new_msb : integer := 9;
new_lsb : integer := 1;
x_width : integer := 16;
y_width : integer := 8);
port (
x : in std_logic_vector (x_width-1 downto 0);
y : out std_logic_vector (y_width-1 downto 0));
end xlslice;
architecture behavior of xlslice is
begin
y <= x(new_msb downto new_lsb);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity inverter_e5b38cca3b is
port (
ip : in std_logic_vector((1 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end inverter_e5b38cca3b;
architecture behavior of inverter_e5b38cca3b is
signal ip_1_26: boolean;
type array_type_op_mem_22_20 is array (0 to (1 - 1)) of boolean;
signal op_mem_22_20: array_type_op_mem_22_20 := (
0 => false);
signal op_mem_22_20_front_din: boolean;
signal op_mem_22_20_back: boolean;
signal op_mem_22_20_push_front_pop_back_en: std_logic;
signal internal_ip_12_1_bitnot: boolean;
begin
ip_1_26 <= ((ip) = "1");
op_mem_22_20_back <= op_mem_22_20(0);
proc_op_mem_22_20: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_22_20_push_front_pop_back_en = '1')) then
op_mem_22_20(0) <= op_mem_22_20_front_din;
end if;
end if;
end process proc_op_mem_22_20;
internal_ip_12_1_bitnot <= ((not boolean_to_vector(ip_1_26)) = "1");
op_mem_22_20_push_front_pop_back_en <= '0';
op <= boolean_to_vector(internal_ip_12_1_bitnot);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity logical_80f90b97d0 is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end logical_80f90b97d0;
architecture behavior of logical_80f90b97d0 is
signal d0_1_24: std_logic;
signal d1_1_27: std_logic;
signal fully_2_1_bit: std_logic;
begin
d0_1_24 <= d0(0);
d1_1_27 <= d1(0);
fully_2_1_bit <= d0_1_24 and d1_1_27;
y <= std_logic_to_vector(fully_2_1_bit);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity xlfir_compiler_acc9ad12ef8a3d59fab07d7a4ad1b777 is
port(
ce:in std_logic;
ce_logic_1:in std_logic;
clk:in std_logic;
clk_logic_1:in std_logic;
coef_din:in std_logic_vector(6 downto 0);
coef_ld:in std_logic;
coef_we:in std_logic;
din:in std_logic_vector(7 downto 0);
dout:out std_logic_vector(18 downto 0);
rdy:out std_logic;
rfd:out std_logic;
src_ce:in std_logic;
src_clk:in std_logic
);
end xlfir_compiler_acc9ad12ef8a3d59fab07d7a4ad1b777;
architecture behavior of xlfir_compiler_acc9ad12ef8a3d59fab07d7a4ad1b777 is
component fr_cmplr_v5_0_70a7f64f38920660
port(
ce:in std_logic;
clk:in std_logic;
coef_din:in std_logic_vector(6 downto 0);
coef_ld:in std_logic;
coef_we:in std_logic;
din:in std_logic_vector(7 downto 0);
dout:out std_logic_vector(18 downto 0);
nd:in std_logic;
rdy:out std_logic;
rfd:out std_logic
);
end component;
begin
fr_cmplr_v5_0_70a7f64f38920660_instance : fr_cmplr_v5_0_70a7f64f38920660
port map(
ce=>ce,
clk=>clk,
coef_din=>coef_din,
coef_ld=>coef_ld,
coef_we=>coef_we,
din=>din,
dout=>dout,
nd=>ce_logic_1,
rdy=>rdy,
rfd=>rfd
);
end behavior;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library XilinxCoreLib;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.conv_pkg.all;
entity xladdsub is
generic (
core_name0: string := "";
a_width: integer := 16;
a_bin_pt: integer := 4;
a_arith: integer := xlUnsigned;
c_in_width: integer := 16;
c_in_bin_pt: integer := 4;
c_in_arith: integer := xlUnsigned;
c_out_width: integer := 16;
c_out_bin_pt: integer := 4;
c_out_arith: integer := xlUnsigned;
b_width: integer := 8;
b_bin_pt: integer := 2;
b_arith: integer := xlUnsigned;
s_width: integer := 17;
s_bin_pt: integer := 4;
s_arith: integer := xlUnsigned;
rst_width: integer := 1;
rst_bin_pt: integer := 0;
rst_arith: integer := xlUnsigned;
en_width: integer := 1;
en_bin_pt: integer := 0;
en_arith: integer := xlUnsigned;
full_s_width: integer := 17;
full_s_arith: integer := xlUnsigned;
mode: integer := xlAddMode;
extra_registers: integer := 0;
latency: integer := 0;
quantization: integer := xlTruncate;
overflow: integer := xlWrap;
c_latency: integer := 0;
c_output_width: integer := 17;
c_has_c_in : integer := 0;
c_has_c_out : integer := 0
);
port (
a: in std_logic_vector(a_width - 1 downto 0);
b: in std_logic_vector(b_width - 1 downto 0);
c_in : in std_logic_vector (0 downto 0) := "0";
ce: in std_logic;
clr: in std_logic := '0';
clk: in std_logic;
rst: in std_logic_vector(rst_width - 1 downto 0) := "0";
en: in std_logic_vector(en_width - 1 downto 0) := "1";
c_out : out std_logic_vector (0 downto 0);
s: out std_logic_vector(s_width - 1 downto 0)
);
end xladdsub;
architecture behavior of xladdsub is
component synth_reg
generic (
width: integer := 16;
latency: integer := 5
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
function format_input(inp: std_logic_vector; old_width, delta, new_arith,
new_width: integer)
return std_logic_vector
is
variable vec: std_logic_vector(old_width-1 downto 0);
variable padded_inp: std_logic_vector((old_width + delta)-1 downto 0);
variable result: std_logic_vector(new_width-1 downto 0);
begin
vec := inp;
if (delta > 0) then
padded_inp := pad_LSB(vec, old_width+delta);
result := extend_MSB(padded_inp, new_width, new_arith);
else
result := extend_MSB(vec, new_width, new_arith);
end if;
return result;
end;
constant full_s_bin_pt: integer := fractional_bits(a_bin_pt, b_bin_pt);
constant full_a_width: integer := full_s_width;
constant full_b_width: integer := full_s_width;
signal full_a: std_logic_vector(full_a_width - 1 downto 0);
signal full_b: std_logic_vector(full_b_width - 1 downto 0);
signal core_s: std_logic_vector(full_s_width - 1 downto 0);
signal conv_s: std_logic_vector(s_width - 1 downto 0);
signal temp_cout : std_logic;
signal internal_clr: std_logic;
signal internal_ce: std_logic;
signal extra_reg_ce: std_logic;
signal override: std_logic;
signal logic1: std_logic_vector(0 downto 0);
component addsb_11_0_e7b4231f2ca96446
port (
a: in std_logic_vector(22 - 1 downto 0);
clk: in std_logic:= '0';
ce: in std_logic:= '0';
s: out std_logic_vector(c_output_width - 1 downto 0);
b: in std_logic_vector(22 - 1 downto 0)
);
end component;
attribute syn_black_box of addsb_11_0_e7b4231f2ca96446:
component is true;
attribute fpga_dont_touch of addsb_11_0_e7b4231f2ca96446:
component is "true";
attribute box_type of addsb_11_0_e7b4231f2ca96446:
component is "black_box";
component addsb_11_0_da33f2d4b3b54185
port (
a: in std_logic_vector(20 - 1 downto 0);
clk: in std_logic:= '0';
ce: in std_logic:= '0';
s: out std_logic_vector(c_output_width - 1 downto 0);
b: in std_logic_vector(20 - 1 downto 0)
);
end component;
attribute syn_black_box of addsb_11_0_da33f2d4b3b54185:
component is true;
attribute fpga_dont_touch of addsb_11_0_da33f2d4b3b54185:
component is "true";
attribute box_type of addsb_11_0_da33f2d4b3b54185:
component is "black_box";
component addsb_11_0_48bcbc42a6774592
port (
a: in std_logic_vector(21 - 1 downto 0);
clk: in std_logic:= '0';
ce: in std_logic:= '0';
s: out std_logic_vector(c_output_width - 1 downto 0);
b: in std_logic_vector(21 - 1 downto 0)
);
end component;
attribute syn_black_box of addsb_11_0_48bcbc42a6774592:
component is true;
attribute fpga_dont_touch of addsb_11_0_48bcbc42a6774592:
component is "true";
attribute box_type of addsb_11_0_48bcbc42a6774592:
component is "black_box";
begin
internal_clr <= (clr or (rst(0))) and ce;
internal_ce <= ce and en(0);
logic1(0) <= '1';
addsub_process: process (a, b, core_s)
begin
full_a <= format_input (a, a_width, b_bin_pt - a_bin_pt, a_arith,
full_a_width);
full_b <= format_input (b, b_width, a_bin_pt - b_bin_pt, b_arith,
full_b_width);
conv_s <= convert_type (core_s, full_s_width, full_s_bin_pt, full_s_arith,
s_width, s_bin_pt, s_arith, quantization, overflow);
end process addsub_process;
comp0: if ((core_name0 = "addsb_11_0_e7b4231f2ca96446")) generate
core_instance0: addsb_11_0_e7b4231f2ca96446
port map (
a => full_a,
clk => clk,
ce => internal_ce,
s => core_s,
b => full_b
);
end generate;
comp1: if ((core_name0 = "addsb_11_0_da33f2d4b3b54185")) generate
core_instance1: addsb_11_0_da33f2d4b3b54185
port map (
a => full_a,
clk => clk,
ce => internal_ce,
s => core_s,
b => full_b
);
end generate;
comp2: if ((core_name0 = "addsb_11_0_48bcbc42a6774592")) generate
core_instance2: addsb_11_0_48bcbc42a6774592
port map (
a => full_a,
clk => clk,
ce => internal_ce,
s => core_s,
b => full_b
);
end generate;
latency_test: if (extra_registers > 0) generate
override_test: if (c_latency > 1) generate
override_pipe: synth_reg
generic map (
width => 1,
latency => c_latency
)
port map (
i => logic1,
ce => internal_ce,
clr => internal_clr,
clk => clk,
o(0) => override);
extra_reg_ce <= ce and en(0) and override;
end generate override_test;
no_override: if ((c_latency = 0) or (c_latency = 1)) generate
extra_reg_ce <= ce and en(0);
end generate no_override;
extra_reg: synth_reg
generic map (
width => s_width,
latency => extra_registers
)
port map (
i => conv_s,
ce => extra_reg_ce,
clr => internal_clr,
clk => clk,
o => s
);
cout_test: if (c_has_c_out = 1) generate
c_out_extra_reg: synth_reg
generic map (
width => 1,
latency => extra_registers
)
port map (
i(0) => temp_cout,
ce => extra_reg_ce,
clr => internal_clr,
clk => clk,
o => c_out
);
end generate cout_test;
end generate;
latency_s: if ((latency = 0) or (extra_registers = 0)) generate
s <= conv_s;
end generate latency_s;
latency0: if (((latency = 0) or (extra_registers = 0)) and
(c_has_c_out = 1)) generate
c_out(0) <= temp_cout;
end generate latency0;
tie_dangling_cout: if (c_has_c_out = 0) generate
c_out <= "0";
end generate tie_dangling_cout;
end architecture behavior;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xlpassthrough is
generic (
din_width : integer := 16;
dout_width : integer := 16
);
port (
din : in std_logic_vector (din_width-1 downto 0);
dout : out std_logic_vector (dout_width-1 downto 0));
end xlpassthrough;
architecture passthrough_arch of xlpassthrough is
begin
dout <= din;
end passthrough_arch;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity convert_func_call is
generic (
din_width : integer := 16;
din_bin_pt : integer := 4;
din_arith : integer := xlUnsigned;
dout_width : integer := 8;
dout_bin_pt : integer := 2;
dout_arith : integer := xlUnsigned;
quantization : integer := xlTruncate;
overflow : integer := xlWrap);
port (
din : in std_logic_vector (din_width-1 downto 0);
result : out std_logic_vector (dout_width-1 downto 0));
end convert_func_call;
architecture behavior of convert_func_call is
begin
result <= convert_type(din, din_width, din_bin_pt, din_arith,
dout_width, dout_bin_pt, dout_arith,
quantization, overflow);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xlconvert is
generic (
din_width : integer := 16;
din_bin_pt : integer := 4;
din_arith : integer := xlUnsigned;
dout_width : integer := 8;
dout_bin_pt : integer := 2;
dout_arith : integer := xlUnsigned;
en_width : integer := 1;
en_bin_pt : integer := 0;
en_arith : integer := xlUnsigned;
bool_conversion : integer :=0;
latency : integer := 0;
quantization : integer := xlTruncate;
overflow : integer := xlWrap);
port (
din : in std_logic_vector (din_width-1 downto 0);
en : in std_logic_vector (en_width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
dout : out std_logic_vector (dout_width-1 downto 0));
end xlconvert;
architecture behavior of xlconvert is
component synth_reg
generic (width : integer;
latency : integer);
port (i : in std_logic_vector(width-1 downto 0);
ce : in std_logic;
clr : in std_logic;
clk : in std_logic;
o : out std_logic_vector(width-1 downto 0));
end component;
component convert_func_call
generic (
din_width : integer := 16;
din_bin_pt : integer := 4;
din_arith : integer := xlUnsigned;
dout_width : integer := 8;
dout_bin_pt : integer := 2;
dout_arith : integer := xlUnsigned;
quantization : integer := xlTruncate;
overflow : integer := xlWrap);
port (
din : in std_logic_vector (din_width-1 downto 0);
result : out std_logic_vector (dout_width-1 downto 0));
end component;
-- synopsys translate_off
-- synopsys translate_on
signal result : std_logic_vector(dout_width-1 downto 0);
signal internal_ce : std_logic;
begin
-- synopsys translate_off
-- synopsys translate_on
internal_ce <= ce and en(0);
bool_conversion_generate : if (bool_conversion = 1)
generate
result <= din;
end generate;
std_conversion_generate : if (bool_conversion = 0)
generate
convert : convert_func_call
generic map (
din_width => din_width,
din_bin_pt => din_bin_pt,
din_arith => din_arith,
dout_width => dout_width,
dout_bin_pt => dout_bin_pt,
dout_arith => dout_arith,
quantization => quantization,
overflow => overflow)
port map (
din => din,
result => result);
end generate;
latency_test : if (latency > 0) generate
reg : synth_reg
generic map (
width => dout_width,
latency => latency
)
port map (
i => result,
ce => internal_ce,
clr => clr,
clk => clk,
o => dout
);
end generate;
latency0 : if (latency = 0)
generate
dout <= result;
end generate latency0;
end behavior;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library XilinxCoreLib;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.conv_pkg.all;
entity xlmult is
generic (
core_name0: string := "";
a_width: integer := 4;
a_bin_pt: integer := 2;
a_arith: integer := xlSigned;
b_width: integer := 4;
b_bin_pt: integer := 1;
b_arith: integer := xlSigned;
p_width: integer := 8;
p_bin_pt: integer := 2;
p_arith: integer := xlSigned;
rst_width: integer := 1;
rst_bin_pt: integer := 0;
rst_arith: integer := xlUnsigned;
en_width: integer := 1;
en_bin_pt: integer := 0;
en_arith: integer := xlUnsigned;
quantization: integer := xlTruncate;
overflow: integer := xlWrap;
extra_registers: integer := 0;
c_a_width: integer := 7;
c_b_width: integer := 7;
c_type: integer := 0;
c_a_type: integer := 0;
c_b_type: integer := 0;
c_pipelined: integer := 1;
c_baat: integer := 4;
multsign: integer := xlSigned;
c_output_width: integer := 16
);
port (
a: in std_logic_vector(a_width - 1 downto 0);
b: in std_logic_vector(b_width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
core_ce: in std_logic := '0';
core_clr: in std_logic := '0';
core_clk: in std_logic := '0';
rst: in std_logic_vector(rst_width - 1 downto 0);
en: in std_logic_vector(en_width - 1 downto 0);
p: out std_logic_vector(p_width - 1 downto 0)
);
end xlmult;
architecture behavior of xlmult is
component synth_reg
generic (
width: integer := 16;
latency: integer := 5
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
component mult_11_2_fe92ad55b7635191
port (
b: in std_logic_vector(c_b_width - 1 downto 0);
p: out std_logic_vector(c_output_width - 1 downto 0);
clk: in std_logic;
ce: in std_logic;
sclr: in std_logic;
a: in std_logic_vector(c_a_width - 1 downto 0)
);
end component;
attribute syn_black_box of mult_11_2_fe92ad55b7635191:
component is true;
attribute fpga_dont_touch of mult_11_2_fe92ad55b7635191:
component is "true";
attribute box_type of mult_11_2_fe92ad55b7635191:
component is "black_box";
signal tmp_a: std_logic_vector(c_a_width - 1 downto 0);
signal conv_a: std_logic_vector(c_a_width - 1 downto 0);
signal tmp_b: std_logic_vector(c_b_width - 1 downto 0);
signal conv_b: std_logic_vector(c_b_width - 1 downto 0);
signal tmp_p: std_logic_vector(c_output_width - 1 downto 0);
signal conv_p: std_logic_vector(p_width - 1 downto 0);
-- synopsys translate_off
signal real_a, real_b, real_p: real;
-- synopsys translate_on
signal rfd: std_logic;
signal rdy: std_logic;
signal nd: std_logic;
signal internal_ce: std_logic;
signal internal_clr: std_logic;
signal internal_core_ce: std_logic;
begin
-- synopsys translate_off
-- synopsys translate_on
internal_ce <= ce and en(0);
internal_core_ce <= core_ce and en(0);
internal_clr <= (clr or rst(0)) and ce;
nd <= internal_ce;
input_process: process (a,b)
begin
tmp_a <= zero_ext(a, c_a_width);
tmp_b <= zero_ext(b, c_b_width);
end process;
output_process: process (tmp_p)
begin
conv_p <= convert_type(tmp_p, c_output_width, a_bin_pt+b_bin_pt, multsign,
p_width, p_bin_pt, p_arith, quantization, overflow);
end process;
comp0: if ((core_name0 = "mult_11_2_fe92ad55b7635191")) generate
core_instance0: mult_11_2_fe92ad55b7635191
port map (
a => tmp_a,
clk => clk,
ce => internal_ce,
sclr => internal_clr,
p => tmp_p,
b => tmp_b
);
end generate;
latency_gt_0: if (extra_registers > 0) generate
reg: synth_reg
generic map (
width => p_width,
latency => extra_registers
)
port map (
i => conv_p,
ce => internal_ce,
clr => internal_clr,
clk => clk,
o => p
);
end generate;
latency_eq_0: if (extra_registers = 0) generate
p <= conv_p;
end generate;
end architecture behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_822933f89b is
port (
op : out std_logic_vector((3 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_822933f89b;
architecture behavior of constant_822933f89b is
begin
op <= "000";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_a1c496ea88 is
port (
op : out std_logic_vector((3 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_a1c496ea88;
architecture behavior of constant_a1c496ea88 is
begin
op <= "001";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_1f5cc32f1e is
port (
op : out std_logic_vector((3 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_1f5cc32f1e;
architecture behavior of constant_1f5cc32f1e is
begin
op <= "010";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_0f59f02ba5 is
port (
op : out std_logic_vector((3 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_0f59f02ba5;
architecture behavior of constant_0f59f02ba5 is
begin
op <= "011";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_469094441c is
port (
op : out std_logic_vector((3 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_469094441c;
architecture behavior of constant_469094441c is
begin
op <= "100";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_8fc7f5539b is
port (
a : in std_logic_vector((3 - 1) downto 0);
b : in std_logic_vector((3 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_8fc7f5539b;
architecture behavior of relational_8fc7f5539b is
signal a_1_31: unsigned((3 - 1) downto 0);
signal b_1_34: unsigned((3 - 1) downto 0);
signal result_12_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
result_12_3_rel <= a_1_31 = b_1_34;
op <= boolean_to_vector(result_12_3_rel);
end behavior;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
-- synopsys translate_off
library XilinxCoreLib;
-- synopsys translate_on
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity xlcounter_limit is
generic (
core_name0: string := "";
op_width: integer := 5;
op_arith: integer := xlSigned;
cnt_63_48: integer:= 0;
cnt_47_32: integer:= 0;
cnt_31_16: integer:= 0;
cnt_15_0: integer:= 0;
count_limited: integer := 0
);
port (
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
op: out std_logic_vector(op_width - 1 downto 0);
up: in std_logic_vector(0 downto 0) := (others => '0');
en: in std_logic_vector(0 downto 0);
rst: in std_logic_vector(0 downto 0)
);
end xlcounter_limit ;
architecture behavior of xlcounter_limit is
signal high_cnt_to: std_logic_vector(31 downto 0);
signal low_cnt_to: std_logic_vector(31 downto 0);
signal cnt_to: std_logic_vector(63 downto 0);
signal core_sinit, op_thresh0, core_ce: std_logic;
signal rst_overrides_en: std_logic;
signal op_net: std_logic_vector(op_width - 1 downto 0);
-- synopsys translate_off
signal real_op : real;
-- synopsys translate_on
function equals(op, cnt_to : std_logic_vector; width, arith : integer)
return std_logic
is
variable signed_op, signed_cnt_to : signed (width - 1 downto 0);
variable unsigned_op, unsigned_cnt_to : unsigned (width - 1 downto 0);
variable result : std_logic;
begin
-- synopsys translate_off
if ((is_XorU(op)) or (is_XorU(cnt_to)) ) then
result := '0';
return result;
end if;
-- synopsys translate_on
if (op = cnt_to) then
result := '1';
else
result := '0';
end if;
return result;
end;
component cntr_11_0_e859c6662c373192
port (
clk: in std_logic;
ce: in std_logic;
SINIT: in std_logic;
q: out std_logic_vector(op_width - 1 downto 0)
);
end component;
attribute syn_black_box of cntr_11_0_e859c6662c373192:
component is true;
attribute fpga_dont_touch of cntr_11_0_e859c6662c373192:
component is "true";
attribute box_type of cntr_11_0_e859c6662c373192:
component is "black_box";
component cntr_11_0_862f833518f4973a
port (
clk: in std_logic;
ce: in std_logic;
SINIT: in std_logic;
q: out std_logic_vector(op_width - 1 downto 0)
);
end component;
attribute syn_black_box of cntr_11_0_862f833518f4973a:
component is true;
attribute fpga_dont_touch of cntr_11_0_862f833518f4973a:
component is "true";
attribute box_type of cntr_11_0_862f833518f4973a:
component is "black_box";
-- synopsys translate_off
constant zeroVec : std_logic_vector(op_width - 1 downto 0) := (others => '0');
constant oneVec : std_logic_vector(op_width - 1 downto 0) := (others => '1');
constant zeroStr : string(1 to op_width) :=
std_logic_vector_to_bin_string(zeroVec);
constant oneStr : string(1 to op_width) :=
std_logic_vector_to_bin_string(oneVec);
-- synopsys translate_on
begin
-- synopsys translate_off
-- synopsys translate_on
cnt_to(63 downto 48) <= integer_to_std_logic_vector(cnt_63_48, 16, op_arith);
cnt_to(47 downto 32) <= integer_to_std_logic_vector(cnt_47_32, 16, op_arith);
cnt_to(31 downto 16) <= integer_to_std_logic_vector(cnt_31_16, 16, op_arith);
cnt_to(15 downto 0) <= integer_to_std_logic_vector(cnt_15_0, 16, op_arith);
op <= op_net;
core_ce <= ce and en(0);
rst_overrides_en <= rst(0) or en(0);
limit : if (count_limited = 1) generate
eq_cnt_to : process (op_net, cnt_to)
begin
op_thresh0 <= equals(op_net, cnt_to(op_width - 1 downto 0),
op_width, op_arith);
end process;
core_sinit <= (op_thresh0 or clr or rst(0)) and ce and rst_overrides_en;
end generate;
no_limit : if (count_limited = 0) generate
core_sinit <= (clr or rst(0)) and ce and rst_overrides_en;
end generate;
comp0: if ((core_name0 = "cntr_11_0_e859c6662c373192")) generate
core_instance0: cntr_11_0_e859c6662c373192
port map (
clk => clk,
ce => core_ce,
SINIT => core_sinit,
q => op_net
);
end generate;
comp1: if ((core_name0 = "cntr_11_0_862f833518f4973a")) generate
core_instance1: cntr_11_0_862f833518f4973a
port map (
clk => clk,
ce => core_ce,
SINIT => core_sinit,
q => op_net
);
end generate;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_6293007044 is
port (
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_6293007044;
architecture behavior of constant_6293007044 is
begin
op <= "1";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity delay_23f848c85b is
port (
d : in std_logic_vector((8 - 1) downto 0);
q : out std_logic_vector((8 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end delay_23f848c85b;
architecture behavior of delay_23f848c85b is
signal d_1_22: std_logic_vector((8 - 1) downto 0);
type array_type_op_mem_20_24 is array (0 to (2 - 1)) of std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24: array_type_op_mem_20_24 := (
"00000000",
"00000000");
signal op_mem_20_24_front_din: std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24_back: std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24_push_front_pop_back_en: std_logic;
begin
d_1_22 <= d;
op_mem_20_24_back <= op_mem_20_24(1);
proc_op_mem_20_24: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_20_24_push_front_pop_back_en = '1')) then
for i in 1 downto 1 loop
op_mem_20_24(i) <= op_mem_20_24(i-1);
end loop;
op_mem_20_24(0) <= op_mem_20_24_front_din;
end if;
end if;
end process proc_op_mem_20_24;
op_mem_20_24_front_din <= d_1_22;
op_mem_20_24_push_front_pop_back_en <= '1';
q <= op_mem_20_24_back;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity delay_9565135955 is
port (
d : in std_logic_vector((8 - 1) downto 0);
q : out std_logic_vector((8 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end delay_9565135955;
architecture behavior of delay_9565135955 is
signal d_1_22: std_logic_vector((8 - 1) downto 0);
type array_type_op_mem_20_24 is array (0 to (3 - 1)) of std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24: array_type_op_mem_20_24 := (
"00000000",
"00000000",
"00000000");
signal op_mem_20_24_front_din: std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24_back: std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24_push_front_pop_back_en: std_logic;
begin
d_1_22 <= d;
op_mem_20_24_back <= op_mem_20_24(2);
proc_op_mem_20_24: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_20_24_push_front_pop_back_en = '1')) then
for i in 2 downto 1 loop
op_mem_20_24(i) <= op_mem_20_24(i-1);
end loop;
op_mem_20_24(0) <= op_mem_20_24_front_din;
end if;
end if;
end process proc_op_mem_20_24;
op_mem_20_24_front_din <= d_1_22;
op_mem_20_24_push_front_pop_back_en <= '1';
q <= op_mem_20_24_back;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity delay_fb08f2e938 is
port (
d : in std_logic_vector((8 - 1) downto 0);
q : out std_logic_vector((8 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end delay_fb08f2e938;
architecture behavior of delay_fb08f2e938 is
signal d_1_22: std_logic_vector((8 - 1) downto 0);
type array_type_op_mem_20_24 is array (0 to (4 - 1)) of std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24: array_type_op_mem_20_24 := (
"00000000",
"00000000",
"00000000",
"00000000");
signal op_mem_20_24_front_din: std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24_back: std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24_push_front_pop_back_en: std_logic;
begin
d_1_22 <= d;
op_mem_20_24_back <= op_mem_20_24(3);
proc_op_mem_20_24: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_20_24_push_front_pop_back_en = '1')) then
for i in 3 downto 1 loop
op_mem_20_24(i) <= op_mem_20_24(i-1);
end loop;
op_mem_20_24(0) <= op_mem_20_24_front_din;
end if;
end if;
end process proc_op_mem_20_24;
op_mem_20_24_front_din <= d_1_22;
op_mem_20_24_push_front_pop_back_en <= '1';
q <= op_mem_20_24_back;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity delay_ebec135d8a is
port (
d : in std_logic_vector((8 - 1) downto 0);
q : out std_logic_vector((8 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end delay_ebec135d8a;
architecture behavior of delay_ebec135d8a is
signal d_1_22: std_logic_vector((8 - 1) downto 0);
type array_type_op_mem_20_24 is array (0 to (1 - 1)) of std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24: array_type_op_mem_20_24 := (
0 => "00000000");
signal op_mem_20_24_front_din: std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24_back: std_logic_vector((8 - 1) downto 0);
signal op_mem_20_24_push_front_pop_back_en: std_logic;
begin
d_1_22 <= d;
op_mem_20_24_back <= op_mem_20_24(0);
proc_op_mem_20_24: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_20_24_push_front_pop_back_en = '1')) then
op_mem_20_24(0) <= op_mem_20_24_front_din;
end if;
end if;
end process proc_op_mem_20_24;
op_mem_20_24_front_din <= d_1_22;
op_mem_20_24_push_front_pop_back_en <= '1';
q <= op_mem_20_24_back;
end behavior;
-------------------------------------------------------------------
-- System Generator version 13.2 VHDL source file.
--
-- Copyright(C) 2011 by Xilinx, Inc. All rights reserved. This
-- text/file contains proprietary, confidential information of Xilinx,
-- Inc., is distributed under license from Xilinx, Inc., and may be used,
-- copied and/or disclosed only pursuant to the terms of a valid license
-- agreement with Xilinx, Inc. Xilinx hereby grants you a license to use
-- this text/file solely for design, simulation, implementation and
-- creation of design files limited to Xilinx devices or technologies.
-- Use with non-Xilinx devices or technologies is expressly prohibited
-- and immediately terminates your license unless covered by a separate
-- agreement.
--
-- Xilinx is providing this design, code, or information "as is" solely
-- for use in developing programs and solutions for Xilinx devices. By
-- providing this design, code, or information as one possible
-- implementation of this feature, application or standard, Xilinx is
-- making no representation that this implementation is free from any
-- claims of infringement. You are responsible for obtaining any rights
-- you may require for your implementation. Xilinx expressly disclaims
-- any warranty whatsoever with respect to the adequacy of the
-- implementation, including but not limited to warranties of
-- merchantability or fitness for a particular purpose.
--
-- Xilinx products are not intended for use in life support appliances,
-- devices, or systems. Use in such applications is expressly prohibited.
--
-- Any modifications that are made to the source code are done at the user's
-- sole risk and will be unsupported.
--
-- This copyright and support notice must be retained as part of this
-- text at all times. (c) Copyright 1995-2011 Xilinx, Inc. All rights
-- reserved.
-------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
entity xlspram is
generic (
core_name0: string := "";
c_width: integer := 12;
c_address_width: integer := 4;
latency: integer := 1
);
port (
data_in: in std_logic_vector(c_width - 1 downto 0);
addr: in std_logic_vector(c_address_width - 1 downto 0);
we: in std_logic_vector(0 downto 0);
en: in std_logic_vector(0 downto 0);
rst: in std_logic_vector(0 downto 0);
ce: in std_logic;
clk: in std_logic;
data_out: out std_logic_vector(c_width - 1 downto 0)
);
end xlspram ;
architecture behavior of xlspram is
component synth_reg
generic (
width: integer;
latency: integer
);
port (
i: in std_logic_vector(width - 1 downto 0);
ce: in std_logic;
clr: in std_logic;
clk: in std_logic;
o: out std_logic_vector(width - 1 downto 0)
);
end component;
signal core_data_out, dly_data_out: std_logic_vector(c_width - 1 downto 0);
signal core_we, core_ce, sinit: std_logic;
component bmg_62_54b11b852dca329b
port (
addra: in std_logic_vector(c_address_width - 1 downto 0);
clka: in std_logic;
dina: in std_logic_vector(c_width - 1 downto 0);
wea: in std_logic_vector(0 downto 0);
ena: in std_logic;
douta: out std_logic_vector(c_width - 1 downto 0)
);
end component;
attribute syn_black_box of bmg_62_54b11b852dca329b:
component is true;
attribute fpga_dont_touch of bmg_62_54b11b852dca329b:
component is "true";
attribute box_type of bmg_62_54b11b852dca329b:
component is "black_box";
component bmg_62_05852d43925e39b8
port (
addra: in std_logic_vector(c_address_width - 1 downto 0);
clka: in std_logic;
dina: in std_logic_vector(c_width - 1 downto 0);
wea: in std_logic_vector(0 downto 0);
ena: in std_logic;
douta: out std_logic_vector(c_width - 1 downto 0)
);
end component;
attribute syn_black_box of bmg_62_05852d43925e39b8:
component is true;
attribute fpga_dont_touch of bmg_62_05852d43925e39b8:
component is "true";
attribute box_type of bmg_62_05852d43925e39b8:
component is "black_box";
begin
data_out <= dly_data_out;
core_we <= we(0);
core_ce <= ce and en(0);
sinit <= rst(0) and ce;
comp0: if ((core_name0 = "bmg_62_54b11b852dca329b")) generate
core_instance0: bmg_62_54b11b852dca329b
port map (
addra => addr,
clka => clk,
dina => data_in,
wea(0) => core_we,
ena => core_ce,
douta => core_data_out
);
end generate;
comp1: if ((core_name0 = "bmg_62_05852d43925e39b8")) generate
core_instance1: bmg_62_05852d43925e39b8
port map (
addra => addr,
clka => clk,
dina => data_in,
wea(0) => core_we,
ena => core_ce,
douta => core_data_out
);
end generate;
latency_test: if (latency > 1) generate
reg: synth_reg
generic map (
width => c_width,
latency => latency - 1
)
port map (
i => core_data_out,
ce => core_ce,
clr => '0',
clk => clk,
o => dly_data_out
);
end generate;
latency_1: if (latency <= 1) generate
dly_data_out <= core_data_out;
end generate;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity delay_38f665f8aa is
port (
d : in std_logic_vector((5 - 1) downto 0);
q : out std_logic_vector((5 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end delay_38f665f8aa;
architecture behavior of delay_38f665f8aa is
signal d_1_22: std_logic_vector((5 - 1) downto 0);
type array_type_op_mem_20_24 is array (0 to (2 - 1)) of std_logic_vector((5 - 1) downto 0);
signal op_mem_20_24: array_type_op_mem_20_24 := (
"00000",
"00000");
signal op_mem_20_24_front_din: std_logic_vector((5 - 1) downto 0);
signal op_mem_20_24_back: std_logic_vector((5 - 1) downto 0);
signal op_mem_20_24_push_front_pop_back_en: std_logic;
begin
d_1_22 <= d;
op_mem_20_24_back <= op_mem_20_24(1);
proc_op_mem_20_24: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_20_24_push_front_pop_back_en = '1')) then
for i in 1 downto 1 loop
op_mem_20_24(i) <= op_mem_20_24(i-1);
end loop;
op_mem_20_24(0) <= op_mem_20_24_front_din;
end if;
end if;
end process proc_op_mem_20_24;
op_mem_20_24_front_din <= d_1_22;
op_mem_20_24_push_front_pop_back_en <= '1';
q <= op_mem_20_24_back;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_2b3acb49f4 is
port (
in0 : in std_logic_vector((1 - 1) downto 0);
in1 : in std_logic_vector((1 - 1) downto 0);
in2 : in std_logic_vector((1 - 1) downto 0);
in3 : in std_logic_vector((1 - 1) downto 0);
in4 : in std_logic_vector((1 - 1) downto 0);
y : out std_logic_vector((5 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_2b3acb49f4;
architecture behavior of concat_2b3acb49f4 is
signal in0_1_23: unsigned((1 - 1) downto 0);
signal in1_1_27: unsigned((1 - 1) downto 0);
signal in2_1_31: unsigned((1 - 1) downto 0);
signal in3_1_35: unsigned((1 - 1) downto 0);
signal in4_1_39: unsigned((1 - 1) downto 0);
signal y_2_1_concat: unsigned((5 - 1) downto 0);
begin
in0_1_23 <= std_logic_vector_to_unsigned(in0);
in1_1_27 <= std_logic_vector_to_unsigned(in1);
in2_1_31 <= std_logic_vector_to_unsigned(in2);
in3_1_35 <= std_logic_vector_to_unsigned(in3);
in4_1_39 <= std_logic_vector_to_unsigned(in4);
y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27) & unsigned_to_std_logic_vector(in2_1_31) & unsigned_to_std_logic_vector(in3_1_35) & unsigned_to_std_logic_vector(in4_1_39));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity delay_4714bdf2a7 is
port (
d : in std_logic_vector((5 - 1) downto 0);
q : out std_logic_vector((5 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end delay_4714bdf2a7;
architecture behavior of delay_4714bdf2a7 is
signal d_1_22: std_logic_vector((5 - 1) downto 0);
type array_type_op_mem_20_24 is array (0 to (26 - 1)) of std_logic_vector((5 - 1) downto 0);
signal op_mem_20_24: array_type_op_mem_20_24 := (
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000",
"00000");
signal op_mem_20_24_front_din: std_logic_vector((5 - 1) downto 0);
signal op_mem_20_24_back: std_logic_vector((5 - 1) downto 0);
signal op_mem_20_24_push_front_pop_back_en: std_logic;
begin
d_1_22 <= d;
op_mem_20_24_back <= op_mem_20_24(25);
proc_op_mem_20_24: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_20_24_push_front_pop_back_en = '1')) then
for i in 25 downto 1 loop
op_mem_20_24(i) <= op_mem_20_24(i-1);
end loop;
op_mem_20_24(0) <= op_mem_20_24_front_din;
end if;
end if;
end process proc_op_mem_20_24;
op_mem_20_24_front_din <= d_1_22;
op_mem_20_24_push_front_pop_back_en <= '1';
q <= op_mem_20_24_back;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_fdce3802d7 is
port (
op : out std_logic_vector((5 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_fdce3802d7;
architecture behavior of constant_fdce3802d7 is
begin
op <= "11001";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_963ed6358a is
port (
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_963ed6358a;
architecture behavior of constant_963ed6358a is
begin
op <= "0";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_7244cd602b is
port (
op : out std_logic_vector((7 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_7244cd602b;
architecture behavior of constant_7244cd602b is
begin
op <= "0000000";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity expr_1e33fcde03 is
port (
a : in std_logic_vector((1 - 1) downto 0);
b : in std_logic_vector((1 - 1) downto 0);
dout : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end expr_1e33fcde03;
architecture behavior of expr_1e33fcde03 is
signal a_1_24: unsigned((1 - 1) downto 0);
signal b_1_27: unsigned((1 - 1) downto 0);
signal bitnot_5_35: unsigned((1 - 1) downto 0);
signal fulldout_5_2_bit: unsigned((1 - 1) downto 0);
begin
a_1_24 <= std_logic_vector_to_unsigned(a);
b_1_27 <= std_logic_vector_to_unsigned(b);
bitnot_5_35 <= std_logic_vector_to_unsigned(not unsigned_to_std_logic_vector(a_1_24));
fulldout_5_2_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(b_1_27) and unsigned_to_std_logic_vector(bitnot_5_35));
dout <= unsigned_to_std_logic_vector(fulldout_5_2_bit);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity inverter_e2b989a05e is
port (
ip : in std_logic_vector((1 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end inverter_e2b989a05e;
architecture behavior of inverter_e2b989a05e is
signal ip_1_26: unsigned((1 - 1) downto 0);
type array_type_op_mem_22_20 is array (0 to (1 - 1)) of unsigned((1 - 1) downto 0);
signal op_mem_22_20: array_type_op_mem_22_20 := (
0 => "0");
signal op_mem_22_20_front_din: unsigned((1 - 1) downto 0);
signal op_mem_22_20_back: unsigned((1 - 1) downto 0);
signal op_mem_22_20_push_front_pop_back_en: std_logic;
signal internal_ip_12_1_bitnot: unsigned((1 - 1) downto 0);
begin
ip_1_26 <= std_logic_vector_to_unsigned(ip);
op_mem_22_20_back <= op_mem_22_20(0);
proc_op_mem_22_20: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_22_20_push_front_pop_back_en = '1')) then
op_mem_22_20(0) <= op_mem_22_20_front_din;
end if;
end if;
end process proc_op_mem_22_20;
internal_ip_12_1_bitnot <= std_logic_vector_to_unsigned(not unsigned_to_std_logic_vector(ip_1_26));
op_mem_22_20_push_front_pop_back_en <= '0';
op <= unsigned_to_std_logic_vector(internal_ip_12_1_bitnot);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_dc5bc996c9 is
port (
a : in std_logic_vector((5 - 1) downto 0);
b : in std_logic_vector((5 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_dc5bc996c9;
architecture behavior of relational_dc5bc996c9 is
signal a_1_31: unsigned((5 - 1) downto 0);
signal b_1_34: unsigned((5 - 1) downto 0);
signal result_14_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
result_14_3_rel <= a_1_31 /= b_1_34;
op <= boolean_to_vector(result_14_3_rel);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity addsub_ba7fff8397 is
port (
a : in std_logic_vector((13 - 1) downto 0);
b : in std_logic_vector((12 - 1) downto 0);
s : out std_logic_vector((12 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end addsub_ba7fff8397;
architecture behavior of addsub_ba7fff8397 is
signal a_17_32: signed((13 - 1) downto 0);
signal b_17_35: unsigned((12 - 1) downto 0);
type array_type_op_mem_91_20 is array (0 to (1 - 1)) of unsigned((12 - 1) downto 0);
signal op_mem_91_20: array_type_op_mem_91_20 := (
0 => "000000000000");
signal op_mem_91_20_front_din: unsigned((12 - 1) downto 0);
signal op_mem_91_20_back: unsigned((12 - 1) downto 0);
signal op_mem_91_20_push_front_pop_back_en: std_logic;
type array_type_cout_mem_92_22 is array (0 to (1 - 1)) of unsigned((1 - 1) downto 0);
signal cout_mem_92_22: array_type_cout_mem_92_22 := (
0 => "0");
signal cout_mem_92_22_front_din: unsigned((1 - 1) downto 0);
signal cout_mem_92_22_back: unsigned((1 - 1) downto 0);
signal cout_mem_92_22_push_front_pop_back_en: std_logic;
signal prev_mode_93_22_next: unsigned((3 - 1) downto 0);
signal prev_mode_93_22: unsigned((3 - 1) downto 0);
signal prev_mode_93_22_reg_i: std_logic_vector((3 - 1) downto 0);
signal prev_mode_93_22_reg_o: std_logic_vector((3 - 1) downto 0);
signal cast_69_18: signed((14 - 1) downto 0);
signal cast_69_22: signed((14 - 1) downto 0);
signal internal_s_69_5_addsub: signed((14 - 1) downto 0);
signal cast_internal_s_83_3_convert: unsigned((12 - 1) downto 0);
begin
a_17_32 <= std_logic_vector_to_signed(a);
b_17_35 <= std_logic_vector_to_unsigned(b);
op_mem_91_20_back <= op_mem_91_20(0);
proc_op_mem_91_20: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_91_20_push_front_pop_back_en = '1')) then
op_mem_91_20(0) <= op_mem_91_20_front_din;
end if;
end if;
end process proc_op_mem_91_20;
cout_mem_92_22_back <= cout_mem_92_22(0);
proc_cout_mem_92_22: process (clk)
is
variable i_x_000000: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (cout_mem_92_22_push_front_pop_back_en = '1')) then
cout_mem_92_22(0) <= cout_mem_92_22_front_din;
end if;
end if;
end process proc_cout_mem_92_22;
prev_mode_93_22_reg_i <= unsigned_to_std_logic_vector(prev_mode_93_22_next);
prev_mode_93_22 <= std_logic_vector_to_unsigned(prev_mode_93_22_reg_o);
prev_mode_93_22_reg_inst: entity work.synth_reg_w_init
generic map (
init_index => 2,
init_value => b"010",
latency => 1,
width => 3)
port map (
ce => ce,
clk => clk,
clr => clr,
i => prev_mode_93_22_reg_i,
o => prev_mode_93_22_reg_o);
cast_69_18 <= s2s_cast(a_17_32, 0, 14, 0);
cast_69_22 <= u2s_cast(b_17_35, 0, 14, 0);
internal_s_69_5_addsub <= cast_69_18 + cast_69_22;
cast_internal_s_83_3_convert <= s2u_cast(internal_s_69_5_addsub, 0, 12, 0);
op_mem_91_20_push_front_pop_back_en <= '0';
cout_mem_92_22_push_front_pop_back_en <= '0';
prev_mode_93_22_next <= std_logic_vector_to_unsigned("000");
s <= unsigned_to_std_logic_vector(cast_internal_s_83_3_convert);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_9b805894ff is
port (
op : out std_logic_vector((12 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_9b805894ff;
architecture behavior of constant_9b805894ff is
begin
op <= "111111111111";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_7c91b1b314 is
port (
op : out std_logic_vector((12 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_7c91b1b314;
architecture behavior of constant_7c91b1b314 is
begin
op <= "000000000001";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity constant_be6eece885 is
port (
op : out std_logic_vector((12 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end constant_be6eece885;
architecture behavior of constant_be6eece885 is
begin
op <= "111111111101";
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity expr_f50101e101 is
port (
reset : in std_logic_vector((1 - 1) downto 0);
tc : in std_logic_vector((1 - 1) downto 0);
dout : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end expr_f50101e101;
architecture behavior of expr_f50101e101 is
signal reset_1_24: boolean;
signal tc_1_31: boolean;
signal bit_5_25: boolean;
signal fulldout_5_2_bitnot: boolean;
begin
reset_1_24 <= ((reset) = "1");
tc_1_31 <= ((tc) = "1");
bit_5_25 <= ((boolean_to_vector(reset_1_24) or boolean_to_vector(tc_1_31)) = "1");
fulldout_5_2_bitnot <= ((not boolean_to_vector(bit_5_25)) = "1");
dout <= boolean_to_vector(fulldout_5_2_bitnot);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mux_b53670f063 is
port (
sel : in std_logic_vector((1 - 1) downto 0);
d0 : in std_logic_vector((12 - 1) downto 0);
d1 : in std_logic_vector((13 - 1) downto 0);
y : out std_logic_vector((13 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mux_b53670f063;
architecture behavior of mux_b53670f063 is
signal sel_1_20: std_logic;
signal d0_1_24: std_logic_vector((12 - 1) downto 0);
signal d1_1_27: std_logic_vector((13 - 1) downto 0);
signal sel_internal_2_1_convert: std_logic_vector((1 - 1) downto 0);
signal unregy_join_6_1: std_logic_vector((13 - 1) downto 0);
begin
sel_1_20 <= sel(0);
d0_1_24 <= d0;
d1_1_27 <= d1;
sel_internal_2_1_convert <= cast(std_logic_to_vector(sel_1_20), 0, 1, 0, xlUnsigned);
proc_switch_6_1: process (d0_1_24, d1_1_27, sel_internal_2_1_convert)
is
begin
case sel_internal_2_1_convert is
when "0" =>
unregy_join_6_1 <= cast(d0_1_24, 0, 13, 0, xlSigned);
when others =>
unregy_join_6_1 <= d1_1_27;
end case;
end process proc_switch_6_1;
y <= unregy_join_6_1;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity relational_d36fe12c1c is
port (
a : in std_logic_vector((12 - 1) downto 0);
b : in std_logic_vector((12 - 1) downto 0);
op : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end relational_d36fe12c1c;
architecture behavior of relational_d36fe12c1c is
signal a_1_31: unsigned((12 - 1) downto 0);
signal b_1_34: unsigned((12 - 1) downto 0);
signal result_12_3_rel: boolean;
begin
a_1_31 <= std_logic_vector_to_unsigned(a);
b_1_34 <= std_logic_vector_to_unsigned(b);
result_12_3_rel <= a_1_31 = b_1_34;
op <= boolean_to_vector(result_12_3_rel);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity delay_9f02caa990 is
port (
d : in std_logic_vector((1 - 1) downto 0);
q : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end delay_9f02caa990;
architecture behavior of delay_9f02caa990 is
signal d_1_22: std_logic;
type array_type_op_mem_20_24 is array (0 to (1 - 1)) of std_logic;
signal op_mem_20_24: array_type_op_mem_20_24 := (
0 => '0');
signal op_mem_20_24_front_din: std_logic;
signal op_mem_20_24_back: std_logic;
signal op_mem_20_24_push_front_pop_back_en: std_logic;
begin
d_1_22 <= d(0);
op_mem_20_24_back <= op_mem_20_24(0);
proc_op_mem_20_24: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_20_24_push_front_pop_back_en = '1')) then
op_mem_20_24(0) <= op_mem_20_24_front_din;
end if;
end if;
end process proc_op_mem_20_24;
op_mem_20_24_front_din <= d_1_22;
op_mem_20_24_push_front_pop_back_en <= '1';
q <= std_logic_to_vector(op_mem_20_24_back);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity delay_5753e4c658 is
port (
d : in std_logic_vector((1 - 1) downto 0);
q : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end delay_5753e4c658;
architecture behavior of delay_5753e4c658 is
signal d_1_22: std_logic_vector((1 - 1) downto 0);
type array_type_op_mem_20_24 is array (0 to (1 - 1)) of std_logic_vector((1 - 1) downto 0);
signal op_mem_20_24: array_type_op_mem_20_24 := (
0 => "0");
signal op_mem_20_24_front_din: std_logic_vector((1 - 1) downto 0);
signal op_mem_20_24_back: std_logic_vector((1 - 1) downto 0);
signal op_mem_20_24_push_front_pop_back_en: std_logic;
begin
d_1_22 <= d;
op_mem_20_24_back <= op_mem_20_24(0);
proc_op_mem_20_24: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (op_mem_20_24_push_front_pop_back_en = '1')) then
op_mem_20_24(0) <= op_mem_20_24_front_din;
end if;
end if;
end process proc_op_mem_20_24;
op_mem_20_24_front_din <= d_1_22;
op_mem_20_24_push_front_pop_back_en <= '1';
q <= op_mem_20_24_back;
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity expr_305312c97b is
port (
d0 : in std_logic_vector((1 - 1) downto 0);
d1 : in std_logic_vector((1 - 1) downto 0);
rst : in std_logic_vector((1 - 1) downto 0);
dout : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end expr_305312c97b;
architecture behavior of expr_305312c97b is
signal d0_1_24: unsigned((1 - 1) downto 0);
signal d1_1_28: unsigned((1 - 1) downto 0);
signal rst_1_32: unsigned((1 - 1) downto 0);
signal bitnot_6_54: unsigned((1 - 1) downto 0);
signal bit_6_37: unsigned((1 - 1) downto 0);
signal fulldout_6_2_bit: unsigned((1 - 1) downto 0);
begin
d0_1_24 <= std_logic_vector_to_unsigned(d0);
d1_1_28 <= std_logic_vector_to_unsigned(d1);
rst_1_32 <= std_logic_vector_to_unsigned(rst);
bitnot_6_54 <= std_logic_vector_to_unsigned(not unsigned_to_std_logic_vector(d0_1_24));
bit_6_37 <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(d1_1_28) and unsigned_to_std_logic_vector(bitnot_6_54));
fulldout_6_2_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(rst_1_32) or unsigned_to_std_logic_vector(bit_6_37));
dout <= unsigned_to_std_logic_vector(fulldout_6_2_bit);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mcode_block_f4d0462e0e is
port (
plbrst : in std_logic_vector((1 - 1) downto 0);
plbabus : in std_logic_vector((32 - 1) downto 0);
plbpavalid : in std_logic_vector((1 - 1) downto 0);
plbrnw : in std_logic_vector((1 - 1) downto 0);
plbwrdbus : in std_logic_vector((32 - 1) downto 0);
rddata : in std_logic_vector((32 - 1) downto 0);
addrpref : in std_logic_vector((20 - 1) downto 0);
wrdbusreg : out std_logic_vector((32 - 1) downto 0);
addrack : out std_logic_vector((1 - 1) downto 0);
rdcomp : out std_logic_vector((1 - 1) downto 0);
wrdack : out std_logic_vector((1 - 1) downto 0);
bankaddr : out std_logic_vector((2 - 1) downto 0);
rnwreg : out std_logic_vector((1 - 1) downto 0);
rddack : out std_logic_vector((1 - 1) downto 0);
rddbus : out std_logic_vector((32 - 1) downto 0);
linearaddr : out std_logic_vector((8 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mcode_block_f4d0462e0e;
architecture behavior of mcode_block_f4d0462e0e is
signal plbrst_1_110: unsigned((1 - 1) downto 0);
signal plbabus_1_118: unsigned((32 - 1) downto 0);
signal plbpavalid_1_127: unsigned((1 - 1) downto 0);
signal plbrnw_1_139: unsigned((1 - 1) downto 0);
signal plbwrdbus_1_147: unsigned((32 - 1) downto 0);
signal rddata_1_158: unsigned((32 - 1) downto 0);
signal addrpref_1_166: unsigned((20 - 1) downto 0);
signal plbrstreg_12_24_next: boolean;
signal plbrstreg_12_24: boolean := false;
signal plbabusreg_13_25_next: unsigned((32 - 1) downto 0);
signal plbabusreg_13_25: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal plbpavalidreg_14_28_next: boolean;
signal plbpavalidreg_14_28: boolean := false;
signal plbrnwreg_15_24_next: unsigned((1 - 1) downto 0);
signal plbrnwreg_15_24: unsigned((1 - 1) downto 0) := "0";
signal plbwrdbusreg_16_27_next: unsigned((32 - 1) downto 0);
signal plbwrdbusreg_16_27: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal avalidreg_28_23_next: boolean;
signal avalidreg_28_23: boolean := false;
signal ps1reg_39_20_next: boolean;
signal ps1reg_39_20: boolean := false;
signal psreg_47_19_next: boolean;
signal psreg_47_19: boolean := false;
type array_type_rdcompdelay_58_25 is array (0 to (3 - 1)) of unsigned((1 - 1) downto 0);
signal rdcompdelay_58_25: array_type_rdcompdelay_58_25 := (
"0",
"0",
"0");
signal rdcompdelay_58_25_front_din: unsigned((1 - 1) downto 0);
signal rdcompdelay_58_25_back: unsigned((1 - 1) downto 0);
signal rdcompdelay_58_25_push_front_pop_back_en: std_logic;
signal rdcompreg_62_23_next: unsigned((1 - 1) downto 0);
signal rdcompreg_62_23: unsigned((1 - 1) downto 0) := "0";
signal rddackreg_66_23_next: unsigned((1 - 1) downto 0);
signal rddackreg_66_23: unsigned((1 - 1) downto 0) := "0";
signal wrdackreg_70_23_next: unsigned((1 - 1) downto 0);
signal wrdackreg_70_23: unsigned((1 - 1) downto 0) := "0";
signal rddbusreg_84_23_next: unsigned((32 - 1) downto 0);
signal rddbusreg_84_23: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal bankaddr_20_1_slice: unsigned((2 - 1) downto 0);
signal linearaddr_21_1_slice: unsigned((8 - 1) downto 0);
signal addrpref_in_32_1_slice: unsigned((20 - 1) downto 0);
signal rel_33_4: boolean;
signal ps1_join_33_1: boolean;
signal ps_42_1_bit: boolean;
signal bitnot_49_49: boolean;
signal bitnot_49_73: boolean;
signal bit_49_49: boolean;
signal addrack_49_1_convert: unsigned((1 - 1) downto 0);
signal bit_55_43: unsigned((1 - 1) downto 0);
signal bitnot_72_35: unsigned((1 - 1) downto 0);
signal wrdackreg_72_1_bit: unsigned((1 - 1) downto 0);
signal rdsel_76_1_bit: unsigned((1 - 1) downto 0);
signal rel_78_4: boolean;
signal rddbus1_join_78_1: unsigned((32 - 1) downto 0);
signal plbwrdbusreg_97_1_slice: unsigned((32 - 1) downto 0);
signal plbrstreg_12_24_next_x_000000: boolean;
signal plbpavalidreg_14_28_next_x_000000: boolean;
begin
plbrst_1_110 <= std_logic_vector_to_unsigned(plbrst);
plbabus_1_118 <= std_logic_vector_to_unsigned(plbabus);
plbpavalid_1_127 <= std_logic_vector_to_unsigned(plbpavalid);
plbrnw_1_139 <= std_logic_vector_to_unsigned(plbrnw);
plbwrdbus_1_147 <= std_logic_vector_to_unsigned(plbwrdbus);
rddata_1_158 <= std_logic_vector_to_unsigned(rddata);
addrpref_1_166 <= std_logic_vector_to_unsigned(addrpref);
proc_plbrstreg_12_24: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbrstreg_12_24 <= plbrstreg_12_24_next;
end if;
end if;
end process proc_plbrstreg_12_24;
proc_plbabusreg_13_25: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbabusreg_13_25 <= plbabusreg_13_25_next;
end if;
end if;
end process proc_plbabusreg_13_25;
proc_plbpavalidreg_14_28: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbpavalidreg_14_28 <= plbpavalidreg_14_28_next;
end if;
end if;
end process proc_plbpavalidreg_14_28;
proc_plbrnwreg_15_24: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbrnwreg_15_24 <= plbrnwreg_15_24_next;
end if;
end if;
end process proc_plbrnwreg_15_24;
proc_plbwrdbusreg_16_27: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
plbwrdbusreg_16_27 <= plbwrdbusreg_16_27_next;
end if;
end if;
end process proc_plbwrdbusreg_16_27;
proc_avalidreg_28_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
avalidreg_28_23 <= avalidreg_28_23_next;
end if;
end if;
end process proc_avalidreg_28_23;
proc_ps1reg_39_20: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
ps1reg_39_20 <= ps1reg_39_20_next;
end if;
end if;
end process proc_ps1reg_39_20;
proc_psreg_47_19: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
psreg_47_19 <= psreg_47_19_next;
end if;
end if;
end process proc_psreg_47_19;
rdcompdelay_58_25_back <= rdcompdelay_58_25(2);
proc_rdcompdelay_58_25: process (clk)
is
variable i: integer;
begin
if (clk'event and (clk = '1')) then
if ((ce = '1') and (rdcompdelay_58_25_push_front_pop_back_en = '1')) then
for i in 2 downto 1 loop
rdcompdelay_58_25(i) <= rdcompdelay_58_25(i-1);
end loop;
rdcompdelay_58_25(0) <= rdcompdelay_58_25_front_din;
end if;
end if;
end process proc_rdcompdelay_58_25;
proc_rdcompreg_62_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
rdcompreg_62_23 <= rdcompreg_62_23_next;
end if;
end if;
end process proc_rdcompreg_62_23;
proc_rddackreg_66_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
rddackreg_66_23 <= rddackreg_66_23_next;
end if;
end if;
end process proc_rddackreg_66_23;
proc_wrdackreg_70_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
wrdackreg_70_23 <= wrdackreg_70_23_next;
end if;
end if;
end process proc_wrdackreg_70_23;
proc_rddbusreg_84_23: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
rddbusreg_84_23 <= rddbusreg_84_23_next;
end if;
end if;
end process proc_rddbusreg_84_23;
bankaddr_20_1_slice <= u2u_slice(plbabusreg_13_25, 11, 10);
linearaddr_21_1_slice <= u2u_slice(plbabusreg_13_25, 9, 2);
addrpref_in_32_1_slice <= u2u_slice(plbabusreg_13_25, 31, 12);
rel_33_4 <= addrpref_in_32_1_slice = addrpref_1_166;
proc_if_33_1: process (rel_33_4)
is
begin
if rel_33_4 then
ps1_join_33_1 <= true;
else
ps1_join_33_1 <= false;
end if;
end process proc_if_33_1;
ps_42_1_bit <= ((boolean_to_vector(ps1_join_33_1) and boolean_to_vector(plbpavalidreg_14_28)) = "1");
bitnot_49_49 <= ((not boolean_to_vector(plbrstreg_12_24)) = "1");
bitnot_49_73 <= ((not boolean_to_vector(psreg_47_19)) = "1");
bit_49_49 <= ((boolean_to_vector(bitnot_49_49) and boolean_to_vector(ps_42_1_bit) and boolean_to_vector(bitnot_49_73)) = "1");
addrack_49_1_convert <= u2u_cast(std_logic_vector_to_unsigned(boolean_to_vector(bit_49_49)), 0, 1, 0);
bit_55_43 <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_49_1_convert) and unsigned_to_std_logic_vector(plbrnwreg_15_24));
bitnot_72_35 <= std_logic_vector_to_unsigned(not unsigned_to_std_logic_vector(plbrnwreg_15_24));
wrdackreg_72_1_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_49_1_convert) and unsigned_to_std_logic_vector(bitnot_72_35));
rdsel_76_1_bit <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(rdcompdelay_58_25_back) or unsigned_to_std_logic_vector(rdcompreg_62_23));
rel_78_4 <= rdsel_76_1_bit = std_logic_vector_to_unsigned("1");
proc_if_78_1: process (rddata_1_158, rel_78_4)
is
begin
if rel_78_4 then
rddbus1_join_78_1 <= rddata_1_158;
else
rddbus1_join_78_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000");
end if;
end process proc_if_78_1;
plbwrdbusreg_97_1_slice <= u2u_slice(plbwrdbus_1_147, 31, 0);
plbrstreg_12_24_next_x_000000 <= (plbrst_1_110 /= "0");
plbrstreg_12_24_next <= plbrstreg_12_24_next_x_000000;
plbabusreg_13_25_next <= plbabus_1_118;
plbpavalidreg_14_28_next_x_000000 <= (plbpavalid_1_127 /= "0");
plbpavalidreg_14_28_next <= plbpavalidreg_14_28_next_x_000000;
plbrnwreg_15_24_next <= plbrnw_1_139;
plbwrdbusreg_16_27_next <= plbwrdbusreg_97_1_slice;
avalidreg_28_23_next <= plbpavalidreg_14_28;
ps1reg_39_20_next <= ps1_join_33_1;
psreg_47_19_next <= ps_42_1_bit;
rdcompdelay_58_25_front_din <= bit_55_43;
rdcompdelay_58_25_push_front_pop_back_en <= '1';
rdcompreg_62_23_next <= rdcompdelay_58_25_back;
rddackreg_66_23_next <= rdcompreg_62_23;
wrdackreg_70_23_next <= wrdackreg_72_1_bit;
rddbusreg_84_23_next <= rddbus1_join_78_1;
wrdbusreg <= unsigned_to_std_logic_vector(plbwrdbusreg_16_27);
addrack <= unsigned_to_std_logic_vector(addrack_49_1_convert);
rdcomp <= unsigned_to_std_logic_vector(rdcompreg_62_23);
wrdack <= unsigned_to_std_logic_vector(wrdackreg_70_23);
bankaddr <= unsigned_to_std_logic_vector(bankaddr_20_1_slice);
rnwreg <= unsigned_to_std_logic_vector(plbrnwreg_15_24);
rddack <= unsigned_to_std_logic_vector(rddackreg_66_23);
rddbus <= unsigned_to_std_logic_vector(rddbusreg_84_23);
linearaddr <= unsigned_to_std_logic_vector(linearaddr_21_1_slice);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity mcode_block_6fff803424 is
port (
wrdbus : in std_logic_vector((32 - 1) downto 0);
bankaddr : in std_logic_vector((2 - 1) downto 0);
linearaddr : in std_logic_vector((8 - 1) downto 0);
rnwreg : in std_logic_vector((1 - 1) downto 0);
addrack : in std_logic_vector((1 - 1) downto 0);
sm_coef_update : in std_logic_vector((1 - 1) downto 0);
sm_coef_gain : in std_logic_vector((20 - 1) downto 0);
sm_coef_buffer : in std_logic_vector((7 - 1) downto 0);
read_bank_out : out std_logic_vector((32 - 1) downto 0);
sm_coef_update_din : out std_logic_vector((1 - 1) downto 0);
sm_coef_update_en : out std_logic_vector((1 - 1) downto 0);
sm_coef_gain_din : out std_logic_vector((20 - 1) downto 0);
sm_coef_gain_en : out std_logic_vector((1 - 1) downto 0);
sm_coef_buffer_addr : out std_logic_vector((5 - 1) downto 0);
sm_coef_buffer_din : out std_logic_vector((7 - 1) downto 0);
sm_coef_buffer_we : out std_logic_vector((1 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end mcode_block_6fff803424;
architecture behavior of mcode_block_6fff803424 is
signal wrdbus_1_173: unsigned((32 - 1) downto 0);
signal bankaddr_1_181: unsigned((2 - 1) downto 0);
signal linearaddr_1_191: unsigned((8 - 1) downto 0);
signal rnwreg_1_203: unsigned((1 - 1) downto 0);
signal addrack_1_211: unsigned((1 - 1) downto 0);
signal sm_coef_update_1_220: unsigned((1 - 1) downto 0);
signal sm_coef_gain_1_236: unsigned((20 - 1) downto 0);
signal sm_coef_buffer_1_250: signed((7 - 1) downto 0);
signal reg_bank_out_reg_25_30_next: unsigned((32 - 1) downto 0);
signal reg_bank_out_reg_25_30: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal ram_bank_out_reg_49_30_next: unsigned((32 - 1) downto 0);
signal ram_bank_out_reg_49_30: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal sm_coef_buffer_we_reg_62_35_next: boolean;
signal sm_coef_buffer_we_reg_62_35: boolean := false;
signal sm_coef_buffer_addr_reg_74_1_next: unsigned((5 - 1) downto 0);
signal sm_coef_buffer_addr_reg_74_1: unsigned((5 - 1) downto 0) := "00000";
signal read_bank_out_reg_112_31_next: unsigned((32 - 1) downto 0);
signal read_bank_out_reg_112_31: unsigned((32 - 1) downto 0) := "00000000000000000000000000000000";
signal bankaddr_reg_115_26_next: unsigned((2 - 1) downto 0);
signal bankaddr_reg_115_26: unsigned((2 - 1) downto 0) := "00";
signal sm_coef_buffer_bus_19_1_force: unsigned((7 - 1) downto 0);
signal rel_28_4: boolean;
signal rel_30_8: boolean;
signal reg_bank_out_reg_join_28_1: unsigned((32 - 1) downto 0);
signal opcode_42_1_concat: unsigned((12 - 1) downto 0);
signal slice_56_39: unsigned((7 - 1) downto 0);
signal sm_coef_buffer_din_56_1_force: signed((7 - 1) downto 0);
signal opcode_sm_coef_buffer_64_1_concat: unsigned((4 - 1) downto 0);
signal rel_65_4: boolean;
signal sm_coef_buffer_we_reg_join_65_1: boolean;
signal rel_83_4: boolean;
signal sm_coef_update_en_join_83_1: boolean;
signal rel_89_4: boolean;
signal sm_coef_gain_en_join_89_1: boolean;
signal slice_104_39: unsigned((1 - 1) downto 0);
signal slice_107_37: unsigned((20 - 1) downto 0);
signal rel_117_4: boolean;
signal rel_120_8: boolean;
signal rel_123_8: boolean;
signal rel_126_8: boolean;
signal read_bank_out_reg_join_117_1: unsigned((32 - 1) downto 0);
signal cast_ram_bank_out_reg_49_30_next: unsigned((32 - 1) downto 0);
signal cast_sm_coef_buffer_addr_reg_74_1_next: unsigned((5 - 1) downto 0);
begin
wrdbus_1_173 <= std_logic_vector_to_unsigned(wrdbus);
bankaddr_1_181 <= std_logic_vector_to_unsigned(bankaddr);
linearaddr_1_191 <= std_logic_vector_to_unsigned(linearaddr);
rnwreg_1_203 <= std_logic_vector_to_unsigned(rnwreg);
addrack_1_211 <= std_logic_vector_to_unsigned(addrack);
sm_coef_update_1_220 <= std_logic_vector_to_unsigned(sm_coef_update);
sm_coef_gain_1_236 <= std_logic_vector_to_unsigned(sm_coef_gain);
sm_coef_buffer_1_250 <= std_logic_vector_to_signed(sm_coef_buffer);
proc_reg_bank_out_reg_25_30: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
reg_bank_out_reg_25_30 <= reg_bank_out_reg_25_30_next;
end if;
end if;
end process proc_reg_bank_out_reg_25_30;
proc_ram_bank_out_reg_49_30: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
ram_bank_out_reg_49_30 <= ram_bank_out_reg_49_30_next;
end if;
end if;
end process proc_ram_bank_out_reg_49_30;
proc_sm_coef_buffer_we_reg_62_35: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
sm_coef_buffer_we_reg_62_35 <= sm_coef_buffer_we_reg_62_35_next;
end if;
end if;
end process proc_sm_coef_buffer_we_reg_62_35;
proc_sm_coef_buffer_addr_reg_74_1: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
sm_coef_buffer_addr_reg_74_1 <= sm_coef_buffer_addr_reg_74_1_next;
end if;
end if;
end process proc_sm_coef_buffer_addr_reg_74_1;
proc_read_bank_out_reg_112_31: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
read_bank_out_reg_112_31 <= read_bank_out_reg_112_31_next;
end if;
end if;
end process proc_read_bank_out_reg_112_31;
proc_bankaddr_reg_115_26: process (clk)
is
begin
if (clk'event and (clk = '1')) then
if (ce = '1') then
bankaddr_reg_115_26 <= bankaddr_reg_115_26_next;
end if;
end if;
end process proc_bankaddr_reg_115_26;
sm_coef_buffer_bus_19_1_force <= signed_to_unsigned(sm_coef_buffer_1_250);
rel_28_4 <= linearaddr_1_191 = std_logic_vector_to_unsigned("00000000");
rel_30_8 <= linearaddr_1_191 = std_logic_vector_to_unsigned("00000001");
proc_if_28_1: process (reg_bank_out_reg_25_30, rel_28_4, rel_30_8, sm_coef_gain_1_236, sm_coef_update_1_220)
is
begin
if rel_28_4 then
reg_bank_out_reg_join_28_1 <= u2u_cast(sm_coef_update_1_220, 0, 32, 0);
elsif rel_30_8 then
reg_bank_out_reg_join_28_1 <= u2u_cast(sm_coef_gain_1_236, 0, 32, 0);
else
reg_bank_out_reg_join_28_1 <= reg_bank_out_reg_25_30;
end if;
end process proc_if_28_1;
opcode_42_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_1_211) & unsigned_to_std_logic_vector(rnwreg_1_203) & unsigned_to_std_logic_vector(bankaddr_1_181) & unsigned_to_std_logic_vector(linearaddr_1_191));
slice_56_39 <= u2u_slice(wrdbus_1_173, 6, 0);
sm_coef_buffer_din_56_1_force <= unsigned_to_signed(slice_56_39);
opcode_sm_coef_buffer_64_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(addrack_1_211) & unsigned_to_std_logic_vector(rnwreg_1_203) & unsigned_to_std_logic_vector(bankaddr_1_181));
rel_65_4 <= opcode_sm_coef_buffer_64_1_concat = std_logic_vector_to_unsigned("1000");
proc_if_65_1: process (rel_65_4)
is
begin
if rel_65_4 then
sm_coef_buffer_we_reg_join_65_1 <= true;
else
sm_coef_buffer_we_reg_join_65_1 <= false;
end if;
end process proc_if_65_1;
rel_83_4 <= opcode_42_1_concat = std_logic_vector_to_unsigned("101000000000");
proc_if_83_1: process (rel_83_4)
is
begin
if rel_83_4 then
sm_coef_update_en_join_83_1 <= true;
else
sm_coef_update_en_join_83_1 <= false;
end if;
end process proc_if_83_1;
rel_89_4 <= opcode_42_1_concat = std_logic_vector_to_unsigned("101000000001");
proc_if_89_1: process (rel_89_4)
is
begin
if rel_89_4 then
sm_coef_gain_en_join_89_1 <= true;
else
sm_coef_gain_en_join_89_1 <= false;
end if;
end process proc_if_89_1;
slice_104_39 <= u2u_slice(wrdbus_1_173, 0, 0);
slice_107_37 <= u2u_slice(wrdbus_1_173, 19, 0);
rel_117_4 <= bankaddr_reg_115_26 = std_logic_vector_to_unsigned("00");
rel_120_8 <= bankaddr_reg_115_26 = std_logic_vector_to_unsigned("01");
rel_123_8 <= bankaddr_reg_115_26 = std_logic_vector_to_unsigned("10");
rel_126_8 <= bankaddr_reg_115_26 = std_logic_vector_to_unsigned("11");
proc_if_117_1: process (ram_bank_out_reg_49_30, read_bank_out_reg_112_31, reg_bank_out_reg_25_30, rel_117_4, rel_120_8, rel_123_8, rel_126_8)
is
begin
if rel_117_4 then
read_bank_out_reg_join_117_1 <= ram_bank_out_reg_49_30;
elsif rel_120_8 then
read_bank_out_reg_join_117_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000");
elsif rel_123_8 then
read_bank_out_reg_join_117_1 <= reg_bank_out_reg_25_30;
elsif rel_126_8 then
read_bank_out_reg_join_117_1 <= std_logic_vector_to_unsigned("00000000000000000000000000000000");
else
read_bank_out_reg_join_117_1 <= read_bank_out_reg_112_31;
end if;
end process proc_if_117_1;
reg_bank_out_reg_25_30_next <= reg_bank_out_reg_join_28_1;
cast_ram_bank_out_reg_49_30_next <= u2u_cast(sm_coef_buffer_bus_19_1_force, 0, 32, 0);
ram_bank_out_reg_49_30_next <= cast_ram_bank_out_reg_49_30_next;
sm_coef_buffer_we_reg_62_35_next <= sm_coef_buffer_we_reg_join_65_1;
cast_sm_coef_buffer_addr_reg_74_1_next <= u2u_cast(linearaddr_1_191, 0, 5, 0);
sm_coef_buffer_addr_reg_74_1_next <= cast_sm_coef_buffer_addr_reg_74_1_next;
read_bank_out_reg_112_31_next <= read_bank_out_reg_join_117_1;
bankaddr_reg_115_26_next <= bankaddr_1_181;
read_bank_out <= unsigned_to_std_logic_vector(read_bank_out_reg_112_31);
sm_coef_update_din <= unsigned_to_std_logic_vector(slice_104_39);
sm_coef_update_en <= boolean_to_vector(sm_coef_update_en_join_83_1);
sm_coef_gain_din <= unsigned_to_std_logic_vector(slice_107_37);
sm_coef_gain_en <= boolean_to_vector(sm_coef_gain_en_join_89_1);
sm_coef_buffer_addr <= unsigned_to_std_logic_vector(sm_coef_buffer_addr_reg_74_1);
sm_coef_buffer_din <= signed_to_std_logic_vector(sm_coef_buffer_din_56_1_force);
sm_coef_buffer_we <= boolean_to_vector(sm_coef_buffer_we_reg_62_35);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.conv_pkg.all;
entity concat_d0d1b9533e is
port (
in0 : in std_logic_vector((8 - 1) downto 0);
in1 : in std_logic_vector((8 - 1) downto 0);
in2 : in std_logic_vector((8 - 1) downto 0);
y : out std_logic_vector((24 - 1) downto 0);
clk : in std_logic;
ce : in std_logic;
clr : in std_logic);
end concat_d0d1b9533e;
architecture behavior of concat_d0d1b9533e is
signal in0_1_23: unsigned((8 - 1) downto 0);
signal in1_1_27: unsigned((8 - 1) downto 0);
signal in2_1_31: unsigned((8 - 1) downto 0);
signal y_2_1_concat: unsigned((24 - 1) downto 0);
begin
in0_1_23 <= std_logic_vector_to_unsigned(in0);
in1_1_27 <= std_logic_vector_to_unsigned(in1);
in2_1_31 <= std_logic_vector_to_unsigned(in2);
y_2_1_concat <= std_logic_vector_to_unsigned(unsigned_to_std_logic_vector(in0_1_23) & unsigned_to_std_logic_vector(in1_1_27) & unsigned_to_std_logic_vector(in2_1_31));
y <= unsigned_to_std_logic_vector(y_2_1_concat);
end behavior;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/Blue_Filter/5x5_Filter/2D_FIR/ABS"
entity abs_entity_13c6ead9ca is
port (
ce_1: in std_logic;
clk_1: in std_logic;
in1: in std_logic_vector(21 downto 0);
out1: out std_logic_vector(22 downto 0)
);
end abs_entity_13c6ead9ca;
architecture structural of abs_entity_13c6ead9ca is
signal addsub15_s_net_x0: std_logic_vector(21 downto 0);
signal ce_1_sg_x0: std_logic;
signal clk_1_sg_x0: std_logic;
signal mux_y_net_x0: std_logic_vector(22 downto 0);
signal negate_op_net: std_logic_vector(22 downto 0);
signal register1_q_net: std_logic_vector(21 downto 0);
signal register2_q_net: std_logic;
signal slice_y_net: std_logic;
begin
ce_1_sg_x0 <= ce_1;
clk_1_sg_x0 <= clk_1;
addsub15_s_net_x0 <= in1;
out1 <= mux_y_net_x0;
mux: entity work.mux_029cd20aa9
port map (
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
clr => '0',
d0 => register1_q_net,
d1 => negate_op_net,
sel(0) => register2_q_net,
y => mux_y_net_x0
);
negate: entity work.negate_142bd36a06
port map (
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
clr => '0',
ip => addsub15_s_net_x0,
op => negate_op_net
);
register1: entity work.xlregister
generic map (
d_width => 22,
init_value => b"0000000000000000000000"
)
port map (
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
d => addsub15_s_net_x0,
en => "1",
rst => "0",
q => register1_q_net
);
register2: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x0,
clk => clk_1_sg_x0,
d(0) => slice_y_net,
en => "1",
rst => "0",
q(0) => register2_q_net
);
slice: entity work.xlslice
generic map (
new_lsb => 21,
new_msb => 21,
x_width => 22,
y_width => 1
)
port map (
x => addsub15_s_net_x0,
y(0) => slice_y_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/Blue_Filter/5x5_Filter/2D_FIR/n-tap FIR Compiler Filter1/Rising Edge Detector1"
entity rising_edge_detector1_entity_8b96cf7ac4 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
din: in std_logic;
dout: out std_logic
);
end rising_edge_detector1_entity_8b96cf7ac4;
architecture structural of rising_edge_detector1_entity_8b96cf7ac4 is
signal ce_1_sg_x1: std_logic;
signal clk_1_sg_x1: std_logic;
signal inverter_op_net: std_logic;
signal logical_y_net_x1: std_logic;
signal logical_y_net_x2: std_logic;
signal register1_q_net: std_logic;
begin
ce_1_sg_x1 <= ce_1;
clk_1_sg_x1 <= clk_1;
logical_y_net_x1 <= din;
dout <= logical_y_net_x2;
inverter: entity work.inverter_e5b38cca3b
port map (
ce => ce_1_sg_x1,
clk => clk_1_sg_x1,
clr => '0',
ip(0) => register1_q_net,
op(0) => inverter_op_net
);
logical: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => logical_y_net_x1,
d1(0) => inverter_op_net,
y(0) => logical_y_net_x2
);
register1: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x1,
clk => clk_1_sg_x1,
d(0) => logical_y_net_x1,
en => "1",
rst => "0",
q(0) => register1_q_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/Blue_Filter/5x5_Filter/2D_FIR/n-tap FIR Compiler Filter1"
--entity n_tap_fir_compiler_filter1_entity_2d915a7ccf is
-- port (
-- ce_1: in std_logic;
-- ce_logic_1: in std_logic;
-- clk_1: in std_logic;
-- coef: in std_logic_vector(6 downto 0);
-- din: in std_logic_vector(7 downto 0);
-- load: in std_logic;
-- out_x0: out std_logic_vector(18 downto 0)
-- );
--end n_tap_fir_compiler_filter1_entity_2d915a7ccf;
--architecture structural of n_tap_fir_compiler_filter1_entity_2d915a7ccf is
-- signal ce_1_sg_x2: std_logic;
-- signal ce_logic_1_sg_x0: std_logic;
-- signal clk_1_sg_x2: std_logic;
-- signal fir_compiler_5_0_dout_net: std_logic_vector(18 downto 0);
-- signal fir_compiler_5_0_rdy_net: std_logic;
-- signal l1_x0: std_logic_vector(7 downto 0);
-- signal logical_y_net_x2: std_logic;
-- signal logical_y_net_x3: std_logic;
-- signal register2_q_net: std_logic;
-- signal register_q_net_x0: std_logic_vector(18 downto 0);
-- signal shared_memory_data_out_net_x0: std_logic_vector(6 downto 0);
--begin
-- ce_1_sg_x2 <= ce_1;
-- ce_logic_1_sg_x0 <= ce_logic_1;
-- clk_1_sg_x2 <= clk_1;
-- shared_memory_data_out_net_x0 <= coef;
-- l1_x0 <= din;
-- logical_y_net_x3 <= load;
-- out_x0 <= register_q_net_x0;
-- fir_compiler_5_0: entity work.xlfir_compiler_acc9ad12ef8a3d59fab07d7a4ad1b777
-- port map (
-- ce => ce_1_sg_x2,
-- ce_logic_1 => ce_logic_1_sg_x0,
-- clk => clk_1_sg_x2,
-- clk_logic_1 => clk_1_sg_x2,
-- coef_din => shared_memory_data_out_net_x0,
-- coef_ld => logical_y_net_x2,
-- coef_we => register2_q_net,
-- din => l1_x0,
-- src_ce => ce_1_sg_x2,
-- src_clk => clk_1_sg_x2,
-- dout => fir_compiler_5_0_dout_net,
-- rdy => fir_compiler_5_0_rdy_net
-- );
-- register2: entity work.xlregister
-- generic map (
-- d_width => 1,
-- init_value => b"0"
-- )
-- port map (
-- ce => ce_1_sg_x2,
-- clk => clk_1_sg_x2,
-- d(0) => logical_y_net_x3,
-- en => "1",
-- rst => "0",
-- q(0) => register2_q_net
-- );
-- register_x0: entity work.xlregister
-- generic map (
-- d_width => 19,
-- init_value => b"0000000000000000000"
-- )
-- port map (
-- ce => ce_1_sg_x2,
-- clk => clk_1_sg_x2,
-- d => fir_compiler_5_0_dout_net,
-- en(0) => fir_compiler_5_0_rdy_net,
-- rst => "0",
-- q => register_q_net_x0
-- );
-- rising_edge_detector1_8b96cf7ac4: entity work.rising_edge_detector1_entity_8b96cf7ac4
-- port map (
-- ce_1 => ce_1_sg_x2,
-- clk_1 => clk_1_sg_x2,
-- din => logical_y_net_x3,
-- dout => logical_y_net_x2
-- );
--end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/Blue_Filter/5x5_Filter/2D_FIR"
--entity x2d_fir_entity_587bafe04d is
-- port (
-- ce_1: in std_logic;
-- ce_logic_1: in std_logic;
-- clk_1: in std_logic;
-- coef: in std_logic_vector(6 downto 0);
-- gain: in std_logic_vector(19 downto 0);
-- line1: in std_logic_vector(7 downto 0);
-- line2: in std_logic_vector(7 downto 0);
-- line3: in std_logic_vector(7 downto 0);
-- line4: in std_logic_vector(7 downto 0);
-- line5: in std_logic_vector(7 downto 0);
-- load_1: in std_logic;
-- load_2: in std_logic;
-- load_3: in std_logic;
-- load_4: in std_logic;
-- load_5: in std_logic;
-- dout: out std_logic_vector(7 downto 0)
-- );
--end x2d_fir_entity_587bafe04d;
--architecture structural of x2d_fir_entity_587bafe04d is
-- signal addsub15_s_net_x0: std_logic_vector(21 downto 0);
-- signal addsub2_s_net: std_logic_vector(19 downto 0);
-- signal addsub3_s_net: std_logic_vector(19 downto 0);
-- signal addsub4_s_net: std_logic_vector(20 downto 0);
-- signal assert_dout_net: std_logic_vector(19 downto 0);
-- signal ce_1_sg_x11: std_logic;
-- signal ce_logic_1_sg_x5: std_logic;
-- signal clk_1_sg_x11: std_logic;
-- signal coef_gain_q_net: std_logic_vector(19 downto 0);
-- signal convert1_dout_net_x0: std_logic_vector(7 downto 0);
-- signal from_register_data_out_net_x0: std_logic_vector(19 downto 0);
-- signal l1_x1: std_logic_vector(7 downto 0);
-- signal l2_x1: std_logic_vector(7 downto 0);
-- signal l3_x1: std_logic_vector(7 downto 0);
-- signal l4_x1: std_logic_vector(7 downto 0);
-- signal l5_x1: std_logic_vector(7 downto 0);
-- signal logical1_y_net_x2: std_logic;
-- signal logical2_y_net_x2: std_logic;
-- signal logical3_y_net_x2: std_logic;
-- signal logical4_y_net_x2: std_logic;
-- signal logical_y_net_x4: std_logic;
-- signal mult_p_net: std_logic_vector(42 downto 0);
-- signal mux_y_net_x0: std_logic_vector(22 downto 0);
-- signal register1_q_net: std_logic_vector(18 downto 0);
-- signal register2_q_net: std_logic_vector(18 downto 0);
-- signal register_q_net_x0: std_logic_vector(18 downto 0);
-- signal register_q_net_x1: std_logic_vector(18 downto 0);
-- signal register_q_net_x2: std_logic_vector(18 downto 0);
-- signal register_q_net_x3: std_logic_vector(18 downto 0);
-- signal register_q_net_x4: std_logic_vector(18 downto 0);
-- signal shared_memory_data_out_net_x5: std_logic_vector(6 downto 0);
--begin
-- ce_1_sg_x11 <= ce_1;
-- ce_logic_1_sg_x5 <= ce_logic_1;
-- clk_1_sg_x11 <= clk_1;
-- shared_memory_data_out_net_x5 <= coef;
-- from_register_data_out_net_x0 <= gain;
-- l1_x1 <= line1;
-- l2_x1 <= line2;
-- l3_x1 <= line3;
-- l4_x1 <= line4;
-- l5_x1 <= line5;
-- logical_y_net_x4 <= load_1;
-- logical1_y_net_x2 <= load_2;
-- logical2_y_net_x2 <= load_3;
-- logical3_y_net_x2 <= load_4;
-- logical4_y_net_x2 <= load_5;
-- dout <= convert1_dout_net_x0;
-- abs_13c6ead9ca: entity work.abs_entity_13c6ead9ca
-- port map (
-- ce_1 => ce_1_sg_x11,
-- clk_1 => clk_1_sg_x11,
-- in1 => addsub15_s_net_x0,
-- out1 => mux_y_net_x0
-- );
-- addsub15: entity work.xladdsub
-- generic map (
-- a_arith => xlSigned,
-- a_bin_pt => 0,
-- a_width => 21,
-- b_arith => xlSigned,
-- b_bin_pt => 0,
-- b_width => 19,
-- c_has_c_out => 0,
-- c_latency => 1,
-- c_output_width => 22,
-- core_name0 => "addsb_11_0_e7b4231f2ca96446",
-- extra_registers => 0,
-- full_s_arith => 2,
-- full_s_width => 22,
-- latency => 1,
-- overflow => 1,
-- quantization => 1,
-- s_arith => xlSigned,
-- s_bin_pt => 0,
-- s_width => 22
-- )
-- port map (
-- a => addsub4_s_net,
-- b => register2_q_net,
-- ce => ce_1_sg_x11,
-- clk => clk_1_sg_x11,
-- clr => '0',
-- en => "1",
-- s => addsub15_s_net_x0
-- );
-- addsub2: entity work.xladdsub
-- generic map (
-- a_arith => xlSigned,
-- a_bin_pt => 0,
-- a_width => 19,
-- b_arith => xlSigned,
-- b_bin_pt => 0,
-- b_width => 19,
-- c_has_c_out => 0,
-- c_latency => 1,
-- c_output_width => 20,
-- core_name0 => "addsb_11_0_da33f2d4b3b54185",
-- extra_registers => 0,
-- full_s_arith => 2,
-- full_s_width => 20,
-- latency => 1,
-- overflow => 1,
-- quantization => 1,
-- s_arith => xlSigned,
-- s_bin_pt => 0,
-- s_width => 20
-- )
-- port map (
-- a => register_q_net_x0,
-- b => register_q_net_x1,
-- ce => ce_1_sg_x11,
-- clk => clk_1_sg_x11,
-- clr => '0',
-- en => "1",
-- s => addsub2_s_net
-- );
-- addsub3: entity work.xladdsub
-- generic map (
-- a_arith => xlSigned,
-- a_bin_pt => 0,
-- a_width => 19,
-- b_arith => xlSigned,
-- b_bin_pt => 0,
-- b_width => 19,
-- c_has_c_out => 0,
-- c_latency => 1,
-- c_output_width => 20,
-- core_name0 => "addsb_11_0_da33f2d4b3b54185",
-- extra_registers => 0,
-- full_s_arith => 2,
-- full_s_width => 20,
-- latency => 1,
-- overflow => 1,
-- quantization => 1,
-- s_arith => xlSigned,
-- s_bin_pt => 0,
-- s_width => 20
-- )
-- port map (
-- a => register_q_net_x2,
-- b => register_q_net_x3,
-- ce => ce_1_sg_x11,
-- clk => clk_1_sg_x11,
-- clr => '0',
-- en => "1",
-- s => addsub3_s_net
-- );
-- addsub4: entity work.xladdsub
-- generic map (
-- a_arith => xlSigned,
-- a_bin_pt => 0,
-- a_width => 20,
-- b_arith => xlSigned,
-- b_bin_pt => 0,
-- b_width => 20,
-- c_has_c_out => 0,
-- c_latency => 1,
-- c_output_width => 21,
-- core_name0 => "addsb_11_0_48bcbc42a6774592",
-- extra_registers => 0,
-- full_s_arith => 2,
-- full_s_width => 21,
-- latency => 1,
-- overflow => 1,
-- quantization => 1,
-- s_arith => xlSigned,
-- s_bin_pt => 0,
-- s_width => 21
-- )
-- port map (
-- a => addsub2_s_net,
-- b => addsub3_s_net,
-- ce => ce_1_sg_x11,
-- clk => clk_1_sg_x11,
-- clr => '0',
-- en => "1",
-- s => addsub4_s_net
-- );
-- assert_x0: entity work.xlpassthrough
-- generic map (
-- din_width => 20,
-- dout_width => 20
-- )
-- port map (
-- din => from_register_data_out_net_x0,
-- dout => assert_dout_net
-- );
-- coef_gain: entity work.xlregister
-- generic map (
-- d_width => 20,
-- init_value => b"00000000000000000000"
-- )
-- port map (
-- ce => ce_1_sg_x11,
-- clk => clk_1_sg_x11,
-- d => assert_dout_net,
-- en(0) => logical4_y_net_x2,
-- rst => "0",
-- q => coef_gain_q_net
-- );
-- convert1: entity work.xlconvert
-- generic map (
-- bool_conversion => 0,
-- din_arith => 2,
-- din_bin_pt => 17,
-- din_width => 43,
-- dout_arith => 1,
-- dout_bin_pt => 0,
-- dout_width => 8,
-- latency => 1,
-- overflow => xlSaturate,
-- quantization => xlTruncate
-- )
-- port map (
-- ce => ce_1_sg_x11,
-- clk => clk_1_sg_x11,
-- clr => '0',
-- din => mult_p_net,
-- en => "1",
-- dout => convert1_dout_net_x0
-- );
-- mult: entity work.xlmult
-- generic map (
-- a_arith => xlSigned,
-- a_bin_pt => 0,
-- a_width => 23,
-- b_arith => xlUnsigned,
-- b_bin_pt => 17,
-- b_width => 20,
-- c_a_type => 0,
-- c_a_width => 23,
-- c_b_type => 1,
-- c_b_width => 20,
-- c_baat => 23,
-- c_output_width => 43,
-- c_type => 0,
-- core_name0 => "mult_11_2_fe92ad55b7635191",
-- extra_registers => 0,
-- multsign => 2,
-- overflow => 1,
-- p_arith => xlSigned,
-- p_bin_pt => 17,
-- p_width => 43,
-- quantization => 1
-- )
-- port map (
-- a => mux_y_net_x0,
-- b => coef_gain_q_net,
-- ce => ce_1_sg_x11,
-- clk => clk_1_sg_x11,
-- clr => '0',
-- core_ce => ce_1_sg_x11,
-- core_clk => clk_1_sg_x11,
-- core_clr => '1',
-- en => "1",
-- rst => "0",
-- p => mult_p_net
-- );
-- n_tap_fir_compiler_filter1_2d915a7ccf: entity work.fir_1d_trn_load
-- generic map(
-- IN_DW => 8,
-- OUT_DW => 19,
-- COEF_DW => 7,
-- TAPS => 5,
-- DELAY => 8
-- )
-- port map (
-- ce_1 => ce_1_sg_x11,
-- clk_1 => clk_1_sg_x11,
-- coef => shared_memory_data_out_net_x5,
-- din => l1_x1,
-- load => logical_y_net_x4,
-- out_data => register_q_net_x0
-- );
-- n_tap_fir_compiler_filter2_89a7e4bb68: entity work.fir_1d_trn_load
-- generic map(
-- IN_DW => 8,
-- OUT_DW => 19,
-- COEF_DW => 7,
-- TAPS => 5,
-- DELAY => 8
-- )
-- port map (
-- ce_1 => ce_1_sg_x11,
-- clk_1 => clk_1_sg_x11,
-- coef => shared_memory_data_out_net_x5,
-- din => l2_x1,
-- load => logical1_y_net_x2,
-- out_data => register_q_net_x1
-- );
-- n_tap_fir_compiler_filter3_2a2055e6f6: entity work.fir_1d_trn_load
-- generic map(
-- IN_DW => 8,
-- OUT_DW => 19,
-- COEF_DW => 7,
-- TAPS => 5,
-- DELAY => 8
-- )
-- port map (
-- ce_1 => ce_1_sg_x11,
-- clk_1 => clk_1_sg_x11,
-- coef => shared_memory_data_out_net_x5,
-- din => l3_x1,
-- load => logical2_y_net_x2,
-- out_data => register_q_net_x2
-- );
-- n_tap_fir_compiler_filter4_777d8a504f: entity work.fir_1d_trn_load
-- generic map(
-- IN_DW => 8,
-- OUT_DW => 19,
-- COEF_DW => 7,
-- TAPS => 5,
-- DELAY => 8
-- )
-- port map (
-- ce_1 => ce_1_sg_x11,
-- clk_1 => clk_1_sg_x11,
-- coef => shared_memory_data_out_net_x5,
-- din => l4_x1,
-- load => logical3_y_net_x2,
-- out_data => register_q_net_x3
-- );
-- n_tap_fir_compiler_filter5_552fc58734: entity work.fir_1d_trn_load
-- generic map(
-- IN_DW => 8,
-- OUT_DW => 19,
-- COEF_DW => 7,
-- TAPS => 5,
-- DELAY => 8
-- )
-- port map (
-- ce_1 => ce_1_sg_x11,
-- clk_1 => clk_1_sg_x11,
-- coef => shared_memory_data_out_net_x5,
-- din => l5_x1,
-- load => logical4_y_net_x2,
-- out_data => register_q_net_x4
-- );
-- register1: entity work.xlregister
-- generic map (
-- d_width => 19,
-- init_value => b"0000000000000000000"
-- )
-- port map (
-- ce => ce_1_sg_x11,
-- clk => clk_1_sg_x11,
-- d => register_q_net_x4,
-- en => "1",
-- rst => "0",
-- q => register1_q_net
-- );
-- register2: entity work.xlregister
-- generic map (
-- d_width => 19,
-- init_value => b"0000000000000000000"
-- )
-- port map (
-- ce => ce_1_sg_x11,
-- clk => clk_1_sg_x11,
-- d => register1_q_net,
-- en => "1",
-- rst => "0",
-- q => register2_q_net
-- );
--end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/Blue_Filter/5x5_Filter/load_sequencer"
entity load_sequencer_entity_8724dffd75 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
load: in std_logic;
load_1: out std_logic;
load_2: out std_logic;
load_3: out std_logic;
load_4: out std_logic;
load_5: out std_logic
);
end load_sequencer_entity_8724dffd75;
architecture structural of load_sequencer_entity_8724dffd75 is
signal ce_1_sg_x12: std_logic;
signal clk_1_sg_x12: std_logic;
signal constant1_op_net: std_logic_vector(2 downto 0);
signal constant2_op_net: std_logic_vector(2 downto 0);
signal constant3_op_net: std_logic_vector(2 downto 0);
signal constant4_op_net: std_logic_vector(2 downto 0);
signal constant5_op_net: std_logic_vector(2 downto 0);
signal constant7_op_net: std_logic_vector(2 downto 0);
signal counter_op_net: std_logic_vector(2 downto 0);
signal index_count_op_net: std_logic_vector(2 downto 0);
signal logical1_y_net_x3: std_logic;
signal logical2_y_net_x3: std_logic;
signal logical3_y_net_x3: std_logic;
signal logical4_y_net_x3: std_logic;
signal logical5_y_net: std_logic;
signal logical_y_net_x5: std_logic;
signal relational1_op_net: std_logic;
signal relational2_op_net: std_logic;
signal relational3_op_net: std_logic;
signal relational3_op_net_x1: std_logic;
signal relational4_op_net: std_logic;
signal relational5_op_net: std_logic;
signal relational6_op_net: std_logic;
signal relational_op_net: std_logic;
begin
ce_1_sg_x12 <= ce_1;
clk_1_sg_x12 <= clk_1;
relational3_op_net_x1 <= load;
load_1 <= logical_y_net_x5;
load_2 <= logical1_y_net_x3;
load_3 <= logical2_y_net_x3;
load_4 <= logical3_y_net_x3;
load_5 <= logical4_y_net_x3;
constant1: entity work.constant_822933f89b
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant1_op_net
);
constant2: entity work.constant_a1c496ea88
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant2_op_net
);
constant3: entity work.constant_1f5cc32f1e
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant3_op_net
);
constant4: entity work.constant_0f59f02ba5
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant4_op_net
);
constant5: entity work.constant_469094441c
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant5_op_net
);
constant7: entity work.constant_469094441c
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant7_op_net
);
counter: entity work.xlcounter_limit
generic map (
cnt_15_0 => 4,
cnt_31_16 => 0,
cnt_47_32 => 0,
cnt_63_48 => 0,
core_name0 => "cntr_11_0_e859c6662c373192",
count_limited => 1,
op_arith => xlUnsigned,
op_width => 3
)
port map (
ce => ce_1_sg_x12,
clk => clk_1_sg_x12,
clr => '0',
en(0) => relational3_op_net_x1,
rst(0) => relational6_op_net,
op => counter_op_net
);
index_count: entity work.xlcounter_limit
generic map (
cnt_15_0 => 4,
cnt_31_16 => 0,
cnt_47_32 => 0,
cnt_63_48 => 0,
core_name0 => "cntr_11_0_e859c6662c373192",
count_limited => 1,
op_arith => xlUnsigned,
op_width => 3
)
port map (
ce => ce_1_sg_x12,
clk => clk_1_sg_x12,
clr => '0',
en(0) => relational6_op_net,
rst(0) => logical5_y_net,
op => index_count_op_net
);
logical: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational1_op_net,
d1(0) => relational3_op_net_x1,
y(0) => logical_y_net_x5
);
logical1: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational2_op_net,
d1(0) => relational3_op_net_x1,
y(0) => logical1_y_net_x3
);
logical2: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational3_op_net,
d1(0) => relational3_op_net_x1,
y(0) => logical2_y_net_x3
);
logical3: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational4_op_net,
d1(0) => relational3_op_net_x1,
y(0) => logical3_y_net_x3
);
logical4: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational5_op_net,
d1(0) => relational3_op_net_x1,
y(0) => logical4_y_net_x3
);
logical5: entity work.logical_80f90b97d0
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => relational_op_net,
d1(0) => relational6_op_net,
y(0) => logical5_y_net
);
relational: entity work.relational_8fc7f5539b
port map (
a => index_count_op_net,
b => constant7_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational_op_net
);
relational1: entity work.relational_8fc7f5539b
port map (
a => index_count_op_net,
b => constant1_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational1_op_net
);
relational2: entity work.relational_8fc7f5539b
port map (
a => index_count_op_net,
b => constant2_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational2_op_net
);
relational3: entity work.relational_8fc7f5539b
port map (
a => index_count_op_net,
b => constant3_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational3_op_net
);
relational4: entity work.relational_8fc7f5539b
port map (
a => index_count_op_net,
b => constant4_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational4_op_net
);
relational5: entity work.relational_8fc7f5539b
port map (
a => index_count_op_net,
b => constant5_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational5_op_net
);
relational6: entity work.relational_8fc7f5539b
port map (
a => counter_op_net,
b => constant7_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational6_op_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/Blue_Filter/5x5_Filter"
entity x5x5_filter_entity_e192f59c95 is
port (
ce_1: in std_logic;
ce_logic_1: in std_logic;
clk_1: in std_logic;
coef: in std_logic_vector(6 downto 0);
gain: in std_logic_vector(19 downto 0);
line1: in std_logic_vector(7 downto 0);
line2: in std_logic_vector(7 downto 0);
line3: in std_logic_vector(7 downto 0);
line4: in std_logic_vector(7 downto 0);
line5: in std_logic_vector(7 downto 0);
load: in std_logic;
dout: out std_logic_vector(7 downto 0)
);
end x5x5_filter_entity_e192f59c95;
architecture structural of x5x5_filter_entity_e192f59c95 is
signal ce_1_sg_x13: std_logic;
signal ce_logic_1_sg_x6: std_logic;
signal clk_1_sg_x13: std_logic;
signal convert1_dout_net_x1: std_logic_vector(7 downto 0);
signal from_register_data_out_net_x1: std_logic_vector(19 downto 0);
signal l1_x2: std_logic_vector(7 downto 0);
signal l2_x2: std_logic_vector(7 downto 0);
signal l3_x2: std_logic_vector(7 downto 0);
signal l4_x2: std_logic_vector(7 downto 0);
signal l5_x2: std_logic_vector(7 downto 0);
signal logical1_y_net_x3: std_logic;
signal logical2_y_net_x3: std_logic;
signal logical3_y_net_x3: std_logic;
signal logical4_y_net_x3: std_logic;
signal logical_y_net_x5: std_logic;
signal relational3_op_net_x2: std_logic;
signal shared_memory_data_out_net_x6: std_logic_vector(6 downto 0);
begin
ce_1_sg_x13 <= ce_1;
ce_logic_1_sg_x6 <= ce_logic_1;
clk_1_sg_x13 <= clk_1;
shared_memory_data_out_net_x6 <= coef;
from_register_data_out_net_x1 <= gain;
l1_x2 <= line1;
l2_x2 <= line2;
l3_x2 <= line3;
l4_x2 <= line4;
l5_x2 <= line5;
relational3_op_net_x2 <= load;
dout <= convert1_dout_net_x1;
load_sequencer_8724dffd75: entity work.load_sequencer_entity_8724dffd75
port map (
ce_1 => ce_1_sg_x13,
clk_1 => clk_1_sg_x13,
load => relational3_op_net_x2,
load_1 => logical_y_net_x5,
load_2 => logical1_y_net_x3,
load_3 => logical2_y_net_x3,
load_4 => logical3_y_net_x3,
load_5 => logical4_y_net_x3
);
x2d_fir_587bafe04d: entity work.fir_2d_trn_load -- Waj
port map (
ce_1 => ce_1_sg_x13,
clk_1 => clk_1_sg_x13,
coef => shared_memory_data_out_net_x6,
gain => from_register_data_out_net_x1,
line1 => l1_x2,
line2 => l2_x2,
line3 => l3_x2,
line4 => l4_x2,
line5 => l5_x2,
load_1 => logical_y_net_x5,
load_2 => logical1_y_net_x3,
load_3 => logical2_y_net_x3,
load_4 => logical3_y_net_x3,
load_5 => logical4_y_net_x3,
dout => convert1_dout_net_x1
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/Blue_Filter/Line_Buffer"
entity line_buffer_entity_edde027544 is
port (
addr: in std_logic_vector(11 downto 0);
ce_1: in std_logic;
clk_1: in std_logic;
data: in std_logic_vector(7 downto 0);
l1: out std_logic_vector(7 downto 0);
l2: out std_logic_vector(7 downto 0);
l3: out std_logic_vector(7 downto 0);
l4: out std_logic_vector(7 downto 0);
l5: out std_logic_vector(7 downto 0)
);
end line_buffer_entity_edde027544;
architecture structural of line_buffer_entity_edde027544 is
signal blue_x0: std_logic_vector(7 downto 0);
signal ce_1_sg_x14: std_logic;
signal clk_1_sg_x14: std_logic;
signal constant6_op_net: std_logic;
signal delay9_q_net: std_logic_vector(7 downto 0);
signal l1_x3: std_logic_vector(7 downto 0);
signal l2_x3: std_logic_vector(7 downto 0);
signal l3_x3: std_logic_vector(7 downto 0);
signal l4_x3: std_logic_vector(7 downto 0);
signal l5_x3: std_logic_vector(7 downto 0);
signal rctr_q_net_x0: std_logic_vector(11 downto 0);
signal single_port_ram2_data_out_net: std_logic_vector(7 downto 0);
signal single_port_ram3_data_out_net: std_logic_vector(7 downto 0);
signal single_port_ram_data_out_net: std_logic_vector(7 downto 0);
begin
rctr_q_net_x0 <= addr;
ce_1_sg_x14 <= ce_1;
clk_1_sg_x14 <= clk_1;
blue_x0 <= data;
l1 <= l1_x3;
l2 <= l2_x3;
l3 <= l3_x3;
l4 <= l4_x3;
l5 <= l5_x3;
constant6: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant6_op_net
);
delay1: entity work.delay_23f848c85b
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
d => single_port_ram2_data_out_net,
q => l3_x3
);
delay2: entity work.delay_9565135955
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
d => single_port_ram3_data_out_net,
q => l4_x3
);
delay7: entity work.delay_fb08f2e938
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
d => delay9_q_net,
q => l5_x3
);
delay8: entity work.delay_ebec135d8a
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
d => single_port_ram_data_out_net,
q => l2_x3
);
delay9: entity work.delay_23f848c85b
port map (
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
clr => '0',
d => blue_x0,
q => delay9_q_net
);
single_port_ram: entity work.xlspram
generic map (
c_address_width => 12,
c_width => 8,
core_name0 => "bmg_62_54b11b852dca329b",
latency => 1
)
port map (
addr => rctr_q_net_x0,
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
data_in => single_port_ram2_data_out_net,
en => "1",
rst => "0",
we(0) => constant6_op_net,
data_out => single_port_ram_data_out_net
);
single_port_ram1: entity work.xlspram
generic map (
c_address_width => 12,
c_width => 8,
core_name0 => "bmg_62_54b11b852dca329b",
latency => 1
)
port map (
addr => rctr_q_net_x0,
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
data_in => single_port_ram_data_out_net,
en => "1",
rst => "0",
we(0) => constant6_op_net,
data_out => l1_x3
);
single_port_ram2: entity work.xlspram
generic map (
c_address_width => 12,
c_width => 8,
core_name0 => "bmg_62_54b11b852dca329b",
latency => 1
)
port map (
addr => rctr_q_net_x0,
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
data_in => single_port_ram3_data_out_net,
en => "1",
rst => "0",
we(0) => constant6_op_net,
data_out => single_port_ram2_data_out_net
);
single_port_ram3: entity work.xlspram
generic map (
c_address_width => 12,
c_width => 8,
core_name0 => "bmg_62_54b11b852dca329b",
latency => 1
)
port map (
addr => rctr_q_net_x0,
ce => ce_1_sg_x14,
clk => clk_1_sg_x14,
data_in => delay9_q_net,
en => "1",
rst => "0",
we(0) => constant6_op_net,
data_out => single_port_ram3_data_out_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/Blue_Filter"
entity blue_filter_entity_d29ca0c8b1 is
port (
addr: in std_logic_vector(11 downto 0);
ce_1: in std_logic;
ce_logic_1: in std_logic;
clk_1: in std_logic;
coef: in std_logic_vector(6 downto 0);
din: in std_logic_vector(7 downto 0);
gain: in std_logic_vector(19 downto 0);
load: in std_logic;
dout: out std_logic_vector(7 downto 0)
);
end blue_filter_entity_d29ca0c8b1;
architecture structural of blue_filter_entity_d29ca0c8b1 is
signal blue_x1: std_logic_vector(7 downto 0);
signal ce_1_sg_x15: std_logic;
signal ce_logic_1_sg_x7: std_logic;
signal clk_1_sg_x15: std_logic;
signal convert1_dout_net_x2: std_logic_vector(7 downto 0);
signal from_register_data_out_net_x2: std_logic_vector(19 downto 0);
signal l1_x3: std_logic_vector(7 downto 0);
signal l2_x3: std_logic_vector(7 downto 0);
signal l3_x3: std_logic_vector(7 downto 0);
signal l4_x3: std_logic_vector(7 downto 0);
signal l5_x3: std_logic_vector(7 downto 0);
signal rctr_q_net_x1: std_logic_vector(11 downto 0);
signal relational3_op_net_x3: std_logic;
signal shared_memory_data_out_net_x7: std_logic_vector(6 downto 0);
begin
rctr_q_net_x1 <= addr;
ce_1_sg_x15 <= ce_1;
ce_logic_1_sg_x7 <= ce_logic_1;
clk_1_sg_x15 <= clk_1;
shared_memory_data_out_net_x7 <= coef;
blue_x1 <= din;
from_register_data_out_net_x2 <= gain;
relational3_op_net_x3 <= load;
dout <= convert1_dout_net_x2;
line_buffer_edde027544: entity work.line_buffer_entity_edde027544
port map (
addr => rctr_q_net_x1,
ce_1 => ce_1_sg_x15,
clk_1 => clk_1_sg_x15,
data => blue_x1,
l1 => l1_x3,
l2 => l2_x3,
l3 => l3_x3,
l4 => l4_x3,
l5 => l5_x3
);
x5x5_filter_e192f59c95: entity work.x5x5_filter_entity_e192f59c95
port map (
ce_1 => ce_1_sg_x15,
ce_logic_1 => ce_logic_1_sg_x7,
clk_1 => clk_1_sg_x15,
coef => shared_memory_data_out_net_x7,
gain => from_register_data_out_net_x2,
line1 => l1_x3,
line2 => l2_x3,
line3 => l3_x3,
line4 => l4_x3,
line5 => l5_x3,
load => relational3_op_net_x3,
dout => convert1_dout_net_x2
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/Ctrl_Delay/Line_Buffer"
entity line_buffer_entity_d14b7609fd is
port (
addr: in std_logic_vector(11 downto 0);
ce_1: in std_logic;
clk_1: in std_logic;
data: in std_logic_vector(4 downto 0);
l3_x0: out std_logic_vector(4 downto 0)
);
end line_buffer_entity_d14b7609fd;
architecture structural of line_buffer_entity_d14b7609fd is
signal ce_1_sg_x16: std_logic;
signal clk_1_sg_x16: std_logic;
signal concat_y_net_x0: std_logic_vector(4 downto 0);
signal constant6_op_net: std_logic;
signal delay9_q_net: std_logic_vector(4 downto 0);
signal l3_x1: std_logic_vector(4 downto 0);
signal rctr_q_net_x2: std_logic_vector(11 downto 0);
signal single_port_ram2_data_out_net: std_logic_vector(4 downto 0);
signal single_port_ram3_data_out_net: std_logic_vector(4 downto 0);
begin
rctr_q_net_x2 <= addr;
ce_1_sg_x16 <= ce_1;
clk_1_sg_x16 <= clk_1;
concat_y_net_x0 <= data;
l3_x0 <= l3_x1;
constant6: entity work.constant_6293007044
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant6_op_net
);
delay1: entity work.delay_38f665f8aa
port map (
ce => ce_1_sg_x16,
clk => clk_1_sg_x16,
clr => '0',
d => single_port_ram2_data_out_net,
q => l3_x1
);
delay9: entity work.delay_38f665f8aa
port map (
ce => ce_1_sg_x16,
clk => clk_1_sg_x16,
clr => '0',
d => concat_y_net_x0,
q => delay9_q_net
);
single_port_ram2: entity work.xlspram
generic map (
c_address_width => 12,
c_width => 5,
core_name0 => "bmg_62_05852d43925e39b8",
latency => 1
)
port map (
addr => rctr_q_net_x2,
ce => ce_1_sg_x16,
clk => clk_1_sg_x16,
data_in => single_port_ram3_data_out_net,
en => "1",
rst => "0",
we(0) => constant6_op_net,
data_out => single_port_ram2_data_out_net
);
single_port_ram3: entity work.xlspram
generic map (
c_address_width => 12,
c_width => 5,
core_name0 => "bmg_62_05852d43925e39b8",
latency => 1
)
port map (
addr => rctr_q_net_x2,
ce => ce_1_sg_x16,
clk => clk_1_sg_x16,
data_in => delay9_q_net,
en => "1",
rst => "0",
we(0) => constant6_op_net,
data_out => single_port_ram3_data_out_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/Ctrl_Delay"
entity ctrl_delay_entity_b2aeac3e46 is
port (
addr: in std_logic_vector(11 downto 0);
av_i: in std_logic;
ce_1: in std_logic;
clk_1: in std_logic;
hb_i: in std_logic;
hs_i: in std_logic;
vb_i: in std_logic;
vs_i: in std_logic;
av_o: out std_logic;
hb_o: out std_logic;
hs_o: out std_logic;
vb_o: out std_logic;
vs_o: out std_logic
);
end ctrl_delay_entity_b2aeac3e46;
architecture structural of ctrl_delay_entity_b2aeac3e46 is
signal active_video_i_net_x0: std_logic;
signal bit0_y_net_x0: std_logic;
signal bit1_y_net_x0: std_logic;
signal bit2_y_net_x0: std_logic;
signal bit3_y_net_x0: std_logic;
signal bit4_y_net_x0: std_logic;
signal ce_1_sg_x17: std_logic;
signal clk_1_sg_x17: std_logic;
signal concat_y_net_x0: std_logic_vector(4 downto 0);
signal delay7_q_net: std_logic_vector(4 downto 0);
signal hblank_i_net_x0: std_logic;
signal hsync_i_net_x0: std_logic;
signal l3_x1: std_logic_vector(4 downto 0);
signal rctr_q_net_x3: std_logic_vector(11 downto 0);
signal vblank_i_net_x0: std_logic;
signal vsync_i_net_x0: std_logic;
begin
rctr_q_net_x3 <= addr;
active_video_i_net_x0 <= av_i;
ce_1_sg_x17 <= ce_1;
clk_1_sg_x17 <= clk_1;
hblank_i_net_x0 <= hb_i;
hsync_i_net_x0 <= hs_i;
vblank_i_net_x0 <= vb_i;
vsync_i_net_x0 <= vs_i;
av_o <= bit4_y_net_x0;
hb_o <= bit0_y_net_x0;
hs_o <= bit2_y_net_x0;
vb_o <= bit1_y_net_x0;
vs_o <= bit3_y_net_x0;
bit0: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 0,
x_width => 5,
y_width => 1
)
port map (
x => delay7_q_net,
y(0) => bit0_y_net_x0
);
bit1: entity work.xlslice
generic map (
new_lsb => 1,
new_msb => 1,
x_width => 5,
y_width => 1
)
port map (
x => delay7_q_net,
y(0) => bit1_y_net_x0
);
bit2: entity work.xlslice
generic map (
new_lsb => 2,
new_msb => 2,
x_width => 5,
y_width => 1
)
port map (
x => delay7_q_net,
y(0) => bit2_y_net_x0
);
bit3: entity work.xlslice
generic map (
new_lsb => 3,
new_msb => 3,
x_width => 5,
y_width => 1
)
port map (
x => delay7_q_net,
y(0) => bit3_y_net_x0
);
bit4: entity work.xlslice
generic map (
new_lsb => 4,
new_msb => 4,
x_width => 5,
y_width => 1
)
port map (
x => delay7_q_net,
y(0) => bit4_y_net_x0
);
concat: entity work.concat_2b3acb49f4
port map (
ce => '0',
clk => '0',
clr => '0',
in0(0) => active_video_i_net_x0,
in1(0) => vsync_i_net_x0,
in2(0) => hsync_i_net_x0,
in3(0) => vblank_i_net_x0,
in4(0) => hblank_i_net_x0,
y => concat_y_net_x0
);
delay7: entity work.delay_4714bdf2a7
port map (
ce => ce_1_sg_x17,
clk => clk_1_sg_x17,
clr => '0',
d => l3_x1,
q => delay7_q_net
);
line_buffer_d14b7609fd: entity work.line_buffer_entity_d14b7609fd
port map (
addr => rctr_q_net_x3,
ce_1 => ce_1_sg_x17,
clk_1 => clk_1_sg_x17,
data => concat_y_net_x0,
l3_x0 => l3_x1
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/coefficient_memory"
entity coefficient_memory_entity_d275723ee2 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
from_register1: in std_logic;
vsync: in std_logic;
constant1_x0: out std_logic;
constant2_x0: out std_logic_vector(6 downto 0);
counter_x0: out std_logic_vector(4 downto 0);
load: out std_logic
);
end coefficient_memory_entity_d275723ee2;
architecture structural of coefficient_memory_entity_d275723ee2 is
signal ce_1_sg_x50: std_logic;
signal clk_1_sg_x50: std_logic;
signal constant1_op_net_x0: std_logic;
signal constant2_op_net_x0: std_logic_vector(6 downto 0);
signal constant_op_net: std_logic_vector(4 downto 0);
signal convert1_dout_net: std_logic;
signal convert_dout_net: std_logic;
signal counter_op_net_x0: std_logic_vector(4 downto 0);
signal expression_dout_net: std_logic;
signal from_register1_data_out_net_x0: std_logic;
signal inverter_op_net: std_logic;
signal register1_q_net: std_logic;
signal register_q_net: std_logic;
signal relational3_op_net_x10: std_logic;
signal vsync_i_net_x1: std_logic;
begin
ce_1_sg_x50 <= ce_1;
clk_1_sg_x50 <= clk_1;
from_register1_data_out_net_x0 <= from_register1;
vsync_i_net_x1 <= vsync;
constant1_x0 <= constant1_op_net_x0;
constant2_x0 <= constant2_op_net_x0;
counter_x0 <= counter_op_net_x0;
load <= relational3_op_net_x10;
constant1: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => constant1_op_net_x0
);
constant2: entity work.constant_7244cd602b
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant2_op_net_x0
);
constant_x0: entity work.constant_fdce3802d7
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant_op_net
);
convert: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => ce_1_sg_x50,
clk => clk_1_sg_x50,
clr => '0',
din(0) => register1_q_net,
en => "1",
dout(0) => convert_dout_net
);
convert1: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => ce_1_sg_x50,
clk => clk_1_sg_x50,
clr => '0',
din(0) => inverter_op_net,
en => "1",
dout(0) => convert1_dout_net
);
counter: entity work.xlcounter_limit
generic map (
cnt_15_0 => 25,
cnt_31_16 => 0,
cnt_47_32 => 0,
cnt_63_48 => 0,
core_name0 => "cntr_11_0_862f833518f4973a",
count_limited => 1,
op_arith => xlUnsigned,
op_width => 5
)
port map (
ce => ce_1_sg_x50,
clk => clk_1_sg_x50,
clr => '0',
en(0) => relational3_op_net_x10,
rst(0) => convert_dout_net,
op => counter_op_net_x0
);
expression: entity work.expr_1e33fcde03
port map (
a(0) => vsync_i_net_x1,
b(0) => register_q_net,
ce => '0',
clk => '0',
clr => '0',
dout(0) => expression_dout_net
);
inverter: entity work.inverter_e2b989a05e
port map (
ce => ce_1_sg_x50,
clk => clk_1_sg_x50,
clr => '0',
ip(0) => from_register1_data_out_net_x0,
op(0) => inverter_op_net
);
register1: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x50,
clk => clk_1_sg_x50,
d(0) => expression_dout_net,
en => "1",
rst(0) => convert1_dout_net,
q(0) => register1_q_net
);
register_x0: entity work.xlregister
generic map (
d_width => 1,
init_value => b"0"
)
port map (
ce => ce_1_sg_x50,
clk => clk_1_sg_x50,
d(0) => vsync_i_net_x1,
en => "1",
rst => "0",
q(0) => register_q_net
);
relational3: entity work.relational_dc5bc996c9
port map (
a => constant_op_net,
b => counter_op_net_x0,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational3_op_net_x10
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/line_ctrs/loop_ctr"
entity loop_ctr_entity_861427efa6 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
reset: in std_logic;
count: out std_logic_vector(11 downto 0)
);
end loop_ctr_entity_861427efa6;
architecture structural of loop_ctr_entity_861427efa6 is
signal addsub1_s_net: std_logic_vector(11 downto 0);
signal bool2_dout_net: std_logic_vector(12 downto 0);
signal bool_dout_net: std_logic;
signal ce_1_sg_x51: std_logic;
signal clk_1_sg_x51: std_logic;
signal constant1_op_net: std_logic_vector(11 downto 0);
signal constant6_op_net: std_logic_vector(11 downto 0);
signal constant7_op_net: std_logic_vector(11 downto 0);
signal expression_dout_net_x0: std_logic;
signal expression_dout_net_x1: std_logic;
signal mux_y_net: std_logic_vector(12 downto 0);
signal rctr_q_net_x8: std_logic_vector(11 downto 0);
signal relational5_op_net: std_logic;
signal tcfb1_q_net: std_logic;
signal tcfb2_q_net: std_logic;
begin
ce_1_sg_x51 <= ce_1;
clk_1_sg_x51 <= clk_1;
expression_dout_net_x1 <= reset;
count <= rctr_q_net_x8;
addsub1: entity work.addsub_ba7fff8397
port map (
a => mux_y_net,
b => constant6_op_net,
ce => ce_1_sg_x51,
clk => clk_1_sg_x51,
clr => '0',
s => addsub1_s_net
);
bool: entity work.xlconvert
generic map (
bool_conversion => 1,
din_arith => 1,
din_bin_pt => 0,
din_width => 1,
dout_arith => 1,
dout_bin_pt => 0,
dout_width => 1,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => ce_1_sg_x51,
clk => clk_1_sg_x51,
clr => '0',
din(0) => expression_dout_net_x1,
en => "1",
dout(0) => bool_dout_net
);
bool2: entity work.xlconvert
generic map (
bool_conversion => 0,
din_arith => 1,
din_bin_pt => 0,
din_width => 12,
dout_arith => 2,
dout_bin_pt => 0,
dout_width => 13,
latency => 0,
overflow => xlWrap,
quantization => xlTruncate
)
port map (
ce => ce_1_sg_x51,
clk => clk_1_sg_x51,
clr => '0',
din => rctr_q_net_x8,
en => "1",
dout => bool2_dout_net
);
constant1: entity work.constant_9b805894ff
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant1_op_net
);
constant6: entity work.constant_7c91b1b314
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant6_op_net
);
constant7: entity work.constant_be6eece885
port map (
ce => '0',
clk => '0',
clr => '0',
op => constant7_op_net
);
expression: entity work.expr_f50101e101
port map (
ce => '0',
clk => '0',
clr => '0',
reset(0) => tcfb2_q_net,
tc(0) => tcfb1_q_net,
dout(0) => expression_dout_net_x0
);
mux: entity work.mux_b53670f063
port map (
ce => '0',
clk => '0',
clr => '0',
d0 => constant1_op_net,
d1 => bool2_dout_net,
sel(0) => expression_dout_net_x0,
y => mux_y_net
);
rctr: entity work.xlregister
generic map (
d_width => 12,
init_value => b"000000000000"
)
port map (
ce => ce_1_sg_x51,
clk => clk_1_sg_x51,
d => addsub1_s_net,
en => "1",
rst => "0",
q => rctr_q_net_x8
);
relational5: entity work.relational_d36fe12c1c
port map (
a => rctr_q_net_x8,
b => constant7_op_net,
ce => '0',
clk => '0',
clr => '0',
op(0) => relational5_op_net
);
tcfb1: entity work.delay_9f02caa990
port map (
ce => ce_1_sg_x51,
clk => clk_1_sg_x51,
clr => '0',
d(0) => relational5_op_net,
q(0) => tcfb1_q_net
);
tcfb2: entity work.delay_9f02caa990
port map (
ce => ce_1_sg_x51,
clk => clk_1_sg_x51,
clr => '0',
d(0) => bool_dout_net,
q(0) => tcfb2_q_net
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters/line_ctrs"
entity line_ctrs_entity_8878c4bf27 is
port (
ce_1: in std_logic;
clk_1: in std_logic;
h: in std_logic;
rst: in std_logic;
addr: out std_logic_vector(11 downto 0)
);
end line_ctrs_entity_8878c4bf27;
architecture structural of line_ctrs_entity_8878c4bf27 is
signal ce_1_sg_x52: std_logic;
signal clk_1_sg_x52: std_logic;
signal delay_q_net: std_logic;
signal expression_dout_net_x1: std_logic;
signal hsync_i_net_x1: std_logic;
signal rctr_q_net_x9: std_logic_vector(11 downto 0);
signal reset_net_x0: std_logic;
begin
ce_1_sg_x52 <= ce_1;
clk_1_sg_x52 <= clk_1;
hsync_i_net_x1 <= h;
reset_net_x0 <= rst;
addr <= rctr_q_net_x9;
delay: entity work.delay_5753e4c658
port map (
ce => ce_1_sg_x52,
clk => clk_1_sg_x52,
clr => '0',
d(0) => hsync_i_net_x1,
q(0) => delay_q_net
);
expression: entity work.expr_305312c97b
port map (
ce => '0',
clk => '0',
clr => '0',
d0(0) => hsync_i_net_x1,
d1(0) => delay_q_net,
rst(0) => reset_net_x0,
dout(0) => expression_dout_net_x1
);
loop_ctr_861427efa6: entity work.loop_ctr_entity_861427efa6
port map (
ce_1 => ce_1_sg_x52,
clk_1 => clk_1_sg_x52,
reset => expression_dout_net_x1,
count => rctr_q_net_x9
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/5x5_Filters"
entity x5x5_filters_entity_1ec75b0e3e is
port (
av_i: in std_logic;
b: in std_logic_vector(7 downto 0);
ce_1: in std_logic;
ce_logic_1: in std_logic;
clk_1: in std_logic;
from_register: in std_logic_vector(19 downto 0);
from_register1: in std_logic;
g: in std_logic_vector(7 downto 0);
hb_i: in std_logic;
hs_i: in std_logic;
r: in std_logic_vector(7 downto 0);
rst: in std_logic;
shared_memory: in std_logic_vector(6 downto 0);
vb_i: in std_logic;
vs_i: in std_logic;
b_o: out std_logic_vector(7 downto 0);
coefficient_memory: out std_logic;
coefficient_memory_x0: out std_logic_vector(6 downto 0);
coefficient_memory_x1: out std_logic_vector(4 downto 0);
de_o: out std_logic;
g_o: out std_logic_vector(7 downto 0);
hb_o: out std_logic;
hs_o: out std_logic;
r_o: out std_logic_vector(7 downto 0);
vb_o: out std_logic;
vs_o: out std_logic
);
end x5x5_filters_entity_1ec75b0e3e;
architecture structural of x5x5_filters_entity_1ec75b0e3e is
signal active_video_i_net_x1: std_logic;
signal bit0_y_net_x1: std_logic;
signal bit1_y_net_x1: std_logic;
signal bit2_y_net_x1: std_logic;
signal bit3_y_net_x1: std_logic;
signal bit4_y_net_x1: std_logic;
signal blue_x2: std_logic_vector(7 downto 0);
signal ce_1_sg_x53: std_logic;
signal ce_logic_1_sg_x24: std_logic;
signal clk_1_sg_x53: std_logic;
signal constant1_op_net_x1: std_logic;
signal constant2_op_net_x1: std_logic_vector(6 downto 0);
signal convert1_dout_net_x5: std_logic_vector(7 downto 0);
signal convert1_dout_net_x6: std_logic_vector(7 downto 0);
signal convert1_dout_net_x7: std_logic_vector(7 downto 0);
signal counter_op_net_x1: std_logic_vector(4 downto 0);
signal from_register1_data_out_net_x1: std_logic;
signal from_register_data_out_net_x9: std_logic_vector(19 downto 0);
signal green_x2: std_logic_vector(7 downto 0);
signal hblank_i_net_x1: std_logic;
signal hsync_i_net_x2: std_logic;
signal rctr_q_net_x9: std_logic_vector(11 downto 0);
signal red_x2: std_logic_vector(7 downto 0);
signal relational3_op_net_x10: std_logic;
signal reset_net_x1: std_logic;
signal shared_memory_data_out_net_x24: std_logic_vector(6 downto 0);
signal vblank_i_net_x1: std_logic;
signal vsync_i_net_x2: std_logic;
begin
active_video_i_net_x1 <= av_i;
blue_x2 <= b;
ce_1_sg_x53 <= ce_1;
ce_logic_1_sg_x24 <= ce_logic_1;
clk_1_sg_x53 <= clk_1;
from_register_data_out_net_x9 <= from_register;
from_register1_data_out_net_x1 <= from_register1;
green_x2 <= g;
hblank_i_net_x1 <= hb_i;
hsync_i_net_x2 <= hs_i;
red_x2 <= r;
reset_net_x1 <= rst;
shared_memory_data_out_net_x24 <= shared_memory;
vblank_i_net_x1 <= vb_i;
vsync_i_net_x2 <= vs_i;
b_o <= convert1_dout_net_x5;
coefficient_memory <= constant1_op_net_x1;
coefficient_memory_x0 <= constant2_op_net_x1;
coefficient_memory_x1 <= counter_op_net_x1;
de_o <= bit4_y_net_x1;
g_o <= convert1_dout_net_x6;
hb_o <= bit0_y_net_x1;
hs_o <= bit2_y_net_x1;
r_o <= convert1_dout_net_x7;
vb_o <= bit1_y_net_x1;
vs_o <= bit3_y_net_x1;
blue_filter_d29ca0c8b1: entity work.blue_filter_entity_d29ca0c8b1
port map (
addr => rctr_q_net_x9,
ce_1 => ce_1_sg_x53,
ce_logic_1 => ce_logic_1_sg_x24,
clk_1 => clk_1_sg_x53,
coef => shared_memory_data_out_net_x24,
din => blue_x2,
gain => from_register_data_out_net_x9,
load => relational3_op_net_x10,
dout => convert1_dout_net_x5
);
coefficient_memory_d275723ee2: entity work.coefficient_memory_entity_d275723ee2
port map (
ce_1 => ce_1_sg_x53,
clk_1 => clk_1_sg_x53,
from_register1 => from_register1_data_out_net_x1,
vsync => vsync_i_net_x2,
constant1_x0 => constant1_op_net_x1,
constant2_x0 => constant2_op_net_x1,
counter_x0 => counter_op_net_x1,
load => relational3_op_net_x10
);
ctrl_delay_b2aeac3e46: entity work.ctrl_delay_entity_b2aeac3e46
port map (
addr => rctr_q_net_x9,
av_i => active_video_i_net_x1,
ce_1 => ce_1_sg_x53,
clk_1 => clk_1_sg_x53,
hb_i => hblank_i_net_x1,
hs_i => hsync_i_net_x2,
vb_i => vblank_i_net_x1,
vs_i => vsync_i_net_x2,
av_o => bit4_y_net_x1,
hb_o => bit0_y_net_x1,
hs_o => bit2_y_net_x1,
vb_o => bit1_y_net_x1,
vs_o => bit3_y_net_x1
);
green_filter_dc51fce7d5: entity work.blue_filter_entity_d29ca0c8b1
port map (
addr => rctr_q_net_x9,
ce_1 => ce_1_sg_x53,
ce_logic_1 => ce_logic_1_sg_x24,
clk_1 => clk_1_sg_x53,
coef => shared_memory_data_out_net_x24,
din => green_x2,
gain => from_register_data_out_net_x9,
load => relational3_op_net_x10,
dout => convert1_dout_net_x6
);
line_ctrs_8878c4bf27: entity work.line_ctrs_entity_8878c4bf27
port map (
ce_1 => ce_1_sg_x53,
clk_1 => clk_1_sg_x53,
h => hsync_i_net_x2,
rst => reset_net_x1,
addr => rctr_q_net_x9
);
red_filter_078d79d78e: entity work.blue_filter_entity_d29ca0c8b1
port map (
addr => rctr_q_net_x9,
ce_1 => ce_1_sg_x53,
ce_logic_1 => ce_logic_1_sg_x24,
clk_1 => clk_1_sg_x53,
coef => shared_memory_data_out_net_x24,
din => red_x2,
gain => from_register_data_out_net_x9,
load => relational3_op_net_x10,
dout => convert1_dout_net_x7
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir/EDK Processor"
entity edk_processor_entity_45d14a6139 is
port (
plb_abus: in std_logic_vector(31 downto 0);
plb_ce_1: in std_logic;
plb_clk_1: in std_logic;
plb_pavalid: in std_logic;
plb_rnw: in std_logic;
plb_wrdbus: in std_logic_vector(31 downto 0);
sg_plb_addrpref: in std_logic_vector(19 downto 0);
shared_memory: in std_logic_vector(6 downto 0);
splb_rst: in std_logic;
to_register: in std_logic;
to_register1: in std_logic_vector(19 downto 0);
constant5_x0: out std_logic;
plb_decode_x0: out std_logic;
plb_decode_x1: out std_logic;
plb_decode_x2: out std_logic;
plb_decode_x3: out std_logic;
plb_decode_x4: out std_logic_vector(31 downto 0);
plb_memmap_x0: out std_logic;
plb_memmap_x1: out std_logic;
plb_memmap_x2: out std_logic_vector(19 downto 0);
plb_memmap_x3: out std_logic;
plb_memmap_x4: out std_logic_vector(4 downto 0);
plb_memmap_x5: out std_logic_vector(6 downto 0);
plb_memmap_x6: out std_logic
);
end edk_processor_entity_45d14a6139;
architecture structural of edk_processor_entity_45d14a6139 is
signal bankaddr: std_logic_vector(1 downto 0);
signal coef_buffer_addr_x0: std_logic_vector(4 downto 0);
signal coef_buffer_din_x0: std_logic_vector(6 downto 0);
signal coef_buffer_dout_x0: std_logic_vector(6 downto 0);
signal coef_buffer_we_x0: std_logic;
signal coef_gain_din_x0: std_logic_vector(19 downto 0);
signal coef_gain_dout_x0: std_logic_vector(19 downto 0);
signal coef_gain_en_x0: std_logic;
signal coef_update_din_x0: std_logic;
signal coef_update_dout_x0: std_logic;
signal coef_update_en_x0: std_logic;
signal linearaddr: std_logic_vector(7 downto 0);
signal plb_abus_net_x0: std_logic_vector(31 downto 0);
signal plb_ce_1_sg_x0: std_logic;
signal plb_clk_1_sg_x0: std_logic;
signal plb_pavalid_net_x0: std_logic;
signal plb_rnw_net_x0: std_logic;
signal plb_wrdbus_net_x0: std_logic_vector(31 downto 0);
signal rddata: std_logic_vector(31 downto 0);
signal rnwreg: std_logic;
signal sg_plb_addrpref_net_x0: std_logic_vector(19 downto 0);
signal sl_addrack_x0: std_logic;
signal sl_rdcomp_x0: std_logic;
signal sl_rddack_x0: std_logic;
signal sl_rddbus_x0: std_logic_vector(31 downto 0);
signal sl_wait_x0: std_logic;
signal sl_wrdack_x0: std_logic;
signal splb_rst_net_x0: std_logic;
signal wrdbusreg: std_logic_vector(31 downto 0);
begin
plb_abus_net_x0 <= plb_abus;
plb_ce_1_sg_x0 <= plb_ce_1;
plb_clk_1_sg_x0 <= plb_clk_1;
plb_pavalid_net_x0 <= plb_pavalid;
plb_rnw_net_x0 <= plb_rnw;
plb_wrdbus_net_x0 <= plb_wrdbus;
sg_plb_addrpref_net_x0 <= sg_plb_addrpref;
coef_buffer_dout_x0 <= shared_memory;
splb_rst_net_x0 <= splb_rst;
coef_update_dout_x0 <= to_register;
coef_gain_dout_x0 <= to_register1;
constant5_x0 <= sl_wait_x0;
plb_decode_x0 <= sl_addrack_x0;
plb_decode_x1 <= sl_rdcomp_x0;
plb_decode_x2 <= sl_wrdack_x0;
plb_decode_x3 <= sl_rddack_x0;
plb_decode_x4 <= sl_rddbus_x0;
plb_memmap_x0 <= coef_update_din_x0;
plb_memmap_x1 <= coef_update_en_x0;
plb_memmap_x2 <= coef_gain_din_x0;
plb_memmap_x3 <= coef_gain_en_x0;
plb_memmap_x4 <= coef_buffer_addr_x0;
plb_memmap_x5 <= coef_buffer_din_x0;
plb_memmap_x6 <= coef_buffer_we_x0;
constant5: entity work.constant_963ed6358a
port map (
ce => '0',
clk => '0',
clr => '0',
op(0) => sl_wait_x0
);
plb_decode: entity work.mcode_block_f4d0462e0e
port map (
addrpref => sg_plb_addrpref_net_x0,
ce => plb_ce_1_sg_x0,
clk => plb_clk_1_sg_x0,
clr => '0',
plbabus => plb_abus_net_x0,
plbpavalid(0) => plb_pavalid_net_x0,
plbrnw(0) => plb_rnw_net_x0,
plbrst(0) => splb_rst_net_x0,
plbwrdbus => plb_wrdbus_net_x0,
rddata => rddata,
addrack(0) => sl_addrack_x0,
bankaddr => bankaddr,
linearaddr => linearaddr,
rdcomp(0) => sl_rdcomp_x0,
rddack(0) => sl_rddack_x0,
rddbus => sl_rddbus_x0,
rnwreg(0) => rnwreg,
wrdack(0) => sl_wrdack_x0,
wrdbusreg => wrdbusreg
);
plb_memmap: entity work.mcode_block_6fff803424
port map (
addrack(0) => sl_addrack_x0,
bankaddr => bankaddr,
ce => plb_ce_1_sg_x0,
clk => plb_clk_1_sg_x0,
clr => '0',
linearaddr => linearaddr,
rnwreg(0) => rnwreg,
sm_coef_buffer => coef_buffer_dout_x0,
sm_coef_gain => coef_gain_dout_x0,
sm_coef_update(0) => coef_update_dout_x0,
wrdbus => wrdbusreg,
read_bank_out => rddata,
sm_coef_buffer_addr => coef_buffer_addr_x0,
sm_coef_buffer_din => coef_buffer_din_x0,
sm_coef_buffer_we(0) => coef_buffer_we_x0,
sm_coef_gain_din => coef_gain_din_x0,
sm_coef_gain_en(0) => coef_gain_en_x0,
sm_coef_update_din(0) => coef_update_din_x0,
sm_coef_update_en(0) => coef_update_en_x0
);
end structural;
library IEEE;
use IEEE.std_logic_1164.all;
use work.conv_pkg.all;
-- Generated from Simulink block "sg_2d_fir"
entity sg_2d_fir is
port (
active_video_i: in std_logic;
ce_1: in std_logic;
ce_logic_1: in std_logic;
clk_1: in std_logic;
data_out: in std_logic_vector(19 downto 0);
data_out_x0: in std_logic;
data_out_x1: in std_logic_vector(6 downto 0);
data_out_x2: in std_logic_vector(6 downto 0);
dout: in std_logic;
dout_x0: in std_logic_vector(19 downto 0);
hblank_i: in std_logic;
hsync_i: in std_logic;
plb_abus: in std_logic_vector(31 downto 0);
plb_ce_1: in std_logic;
plb_clk_1: in std_logic;
plb_pavalid: in std_logic;
plb_rnw: in std_logic;
plb_wrdbus: in std_logic_vector(31 downto 0);
reset: in std_logic;
sg_plb_addrpref: in std_logic_vector(19 downto 0);
splb_rst: in std_logic;
vblank_i: in std_logic;
video_data_i: in std_logic_vector(23 downto 0);
vsync_i: in std_logic;
active_video_o: out std_logic;
addr: out std_logic_vector(4 downto 0);
addr_x0: out std_logic_vector(4 downto 0);
data_in: out std_logic_vector(6 downto 0);
data_in_x0: out std_logic;
data_in_x1: out std_logic_vector(19 downto 0);
data_in_x2: out std_logic_vector(6 downto 0);
en: out std_logic;
en_x0: out std_logic;
hblank_o: out std_logic;
hsync_o: out std_logic;
sl_addrack: out std_logic;
sl_rdcomp: out std_logic;
sl_rddack: out std_logic;
sl_rddbus: out std_logic_vector(31 downto 0);
sl_wait: out std_logic;
sl_wrcomp: out std_logic;
sl_wrdack: out std_logic;
vblank_o: out std_logic;
video_data_o: out std_logic_vector(23 downto 0);
vsync_o: out std_logic;
we: out std_logic;
we_x0: out std_logic
);
end sg_2d_fir;
architecture structural of sg_2d_fir is
attribute core_generation_info: string;
attribute core_generation_info of structural : architecture is "sg_2d_fir,sysgen_core,{clock_period=10.00000000,clocking=Clock_Enables,sample_periods=1.00000000000 1.00000000000,testbench=0,total_blocks=711,xilinx_adder_subtracter_block=13,xilinx_arithmetic_relational_operator_block=23,xilinx_assert_block=3,xilinx_bit_slice_extractor_block=11,xilinx_bitwise_expression_evaluator_block=3,xilinx_bus_concatenator_block=2,xilinx_bus_multiplexer_block=4,xilinx_constant_block_block=29,xilinx_counter_block=7,xilinx_delay_block=25,xilinx_edk_processor_block=1,xilinx_fir_compiler_5_0_block=15,xilinx_gateway_in_block=13,xilinx_gateway_out_block=13,xilinx_inverter_block=16,xilinx_logical_block_block=33,xilinx_mcode_block_block=2,xilinx_multiplier_block=3,xilinx_negate_block_block=3,xilinx_register_block=63,xilinx_shared_memory_based_from_register_block=2,xilinx_shared_memory_based_to_register_block=2,xilinx_shared_memory_random_access_memory_block=2,xilinx_single_port_random_access_memory_block=16,xilinx_system_generator_block=1,xilinx_type_converter_block=7,}";
signal active_video_i_net: std_logic;
signal active_video_o_net: std_logic;
signal addr_net: std_logic_vector(4 downto 0);
signal addr_x0_net: std_logic_vector(4 downto 0);
signal blue_x2: std_logic_vector(7 downto 0);
signal ce_1_sg_x54: std_logic;
signal ce_logic_1_sg_x25: std_logic;
signal clk_1_sg_x54: std_logic;
signal convert1_dout_net_x5: std_logic_vector(7 downto 0);
signal convert1_dout_net_x6: std_logic_vector(7 downto 0);
signal convert1_dout_net_x7: std_logic_vector(7 downto 0);
signal data_in_net: std_logic_vector(6 downto 0);
signal data_in_x0_net: std_logic;
signal data_in_x1_net: std_logic_vector(19 downto 0);
signal data_in_x2_net: std_logic_vector(6 downto 0);
signal data_out_net: std_logic_vector(19 downto 0);
signal data_out_x0_net: std_logic;
signal data_out_x1_net: std_logic_vector(6 downto 0);
signal data_out_x2_net: std_logic_vector(6 downto 0);
signal dout_net: std_logic;
signal dout_x0_net: std_logic_vector(19 downto 0);
signal en_net: std_logic;
signal en_x0_net: std_logic;
signal green_x2: std_logic_vector(7 downto 0);
signal hblank_i_net: std_logic;
signal hblank_o_net: std_logic;
signal hsync_i_net: std_logic;
signal hsync_o_net: std_logic;
signal plb_abus_net: std_logic_vector(31 downto 0);
signal plb_ce_1_sg_x1: std_logic;
signal plb_clk_1_sg_x1: std_logic;
signal plb_pavalid_net: std_logic;
signal plb_rnw_net: std_logic;
signal plb_wrdbus_net: std_logic_vector(31 downto 0);
signal red_x2: std_logic_vector(7 downto 0);
signal reset_net: std_logic;
signal sg_plb_addrpref_net: std_logic_vector(19 downto 0);
signal sl_addrack_net: std_logic;
signal sl_rdcomp_net: std_logic;
signal sl_rddack_net: std_logic;
signal sl_rddbus_net: std_logic_vector(31 downto 0);
signal sl_wait_net: std_logic;
signal sl_wrdack_x1: std_logic;
signal splb_rst_net: std_logic;
signal vblank_i_net: std_logic;
signal vblank_o_net: std_logic;
signal video_data_i_net: std_logic_vector(23 downto 0);
signal video_data_o_net: std_logic_vector(23 downto 0);
signal vsync_i_net: std_logic;
signal vsync_o_net: std_logic;
signal we_net: std_logic;
signal we_x0_net: std_logic;
begin
active_video_i_net <= active_video_i;
ce_1_sg_x54 <= ce_1;
ce_logic_1_sg_x25 <= ce_logic_1;
clk_1_sg_x54 <= clk_1;
data_out_net <= data_out;
data_out_x0_net <= data_out_x0;
data_out_x1_net <= data_out_x1;
data_out_x2_net <= data_out_x2;
dout_net <= dout;
dout_x0_net <= dout_x0;
hblank_i_net <= hblank_i;
hsync_i_net <= hsync_i;
plb_abus_net <= plb_abus;
plb_ce_1_sg_x1 <= plb_ce_1;
plb_clk_1_sg_x1 <= plb_clk_1;
plb_pavalid_net <= plb_pavalid;
plb_rnw_net <= plb_rnw;
plb_wrdbus_net <= plb_wrdbus;
reset_net <= reset;
sg_plb_addrpref_net <= sg_plb_addrpref;
splb_rst_net <= splb_rst;
vblank_i_net <= vblank_i;
video_data_i_net <= video_data_i;
vsync_i_net <= vsync_i;
active_video_o <= active_video_o_net;
addr <= addr_net;
addr_x0 <= addr_x0_net;
data_in <= data_in_net;
data_in_x0 <= data_in_x0_net;
data_in_x1 <= data_in_x1_net;
data_in_x2 <= data_in_x2_net;
en <= en_net;
en_x0 <= en_x0_net;
hblank_o <= hblank_o_net;
hsync_o <= hsync_o_net;
sl_addrack <= sl_addrack_net;
sl_rdcomp <= sl_rdcomp_net;
sl_rddack <= sl_rddack_net;
sl_rddbus <= sl_rddbus_net;
sl_wait <= sl_wait_net;
sl_wrcomp <= sl_wrdack_x1;
sl_wrdack <= sl_wrdack_x1;
vblank_o <= vblank_o_net;
video_data_o <= video_data_o_net;
vsync_o <= vsync_o_net;
we <= we_net;
we_x0 <= we_x0_net;
concat: entity work.concat_d0d1b9533e
port map (
ce => '0',
clk => '0',
clr => '0',
in0 => convert1_dout_net_x7,
in1 => convert1_dout_net_x6,
in2 => convert1_dout_net_x5,
y => video_data_o_net
);
edk_processor_45d14a6139: entity work.edk_processor_entity_45d14a6139
port map (
plb_abus => plb_abus_net,
plb_ce_1 => plb_ce_1_sg_x1,
plb_clk_1 => plb_clk_1_sg_x1,
plb_pavalid => plb_pavalid_net,
plb_rnw => plb_rnw_net,
plb_wrdbus => plb_wrdbus_net,
sg_plb_addrpref => sg_plb_addrpref_net,
shared_memory => data_out_x2_net,
splb_rst => splb_rst_net,
to_register => dout_net,
to_register1 => dout_x0_net,
constant5_x0 => sl_wait_net,
plb_decode_x0 => sl_addrack_net,
plb_decode_x1 => sl_rdcomp_net,
plb_decode_x2 => sl_wrdack_x1,
plb_decode_x3 => sl_rddack_net,
plb_decode_x4 => sl_rddbus_net,
plb_memmap_x0 => data_in_x0_net,
plb_memmap_x1 => en_net,
plb_memmap_x2 => data_in_x1_net,
plb_memmap_x3 => en_x0_net,
plb_memmap_x4 => addr_x0_net,
plb_memmap_x5 => data_in_x2_net,
plb_memmap_x6 => we_x0_net
);
slice15downto8: entity work.xlslice
generic map (
new_lsb => 8,
new_msb => 15,
x_width => 24,
y_width => 8
)
port map (
x => video_data_i_net,
y => green_x2
);
slice23downto16: entity work.xlslice
generic map (
new_lsb => 16,
new_msb => 23,
x_width => 24,
y_width => 8
)
port map (
x => video_data_i_net,
y => red_x2
);
slice7downto0: entity work.xlslice
generic map (
new_lsb => 0,
new_msb => 7,
x_width => 24,
y_width => 8
)
port map (
x => video_data_i_net,
y => blue_x2
);
x5x5_filters_1ec75b0e3e: entity work.x5x5_filters_entity_1ec75b0e3e
port map (
av_i => active_video_i_net,
b => blue_x2,
ce_1 => ce_1_sg_x54,
ce_logic_1 => ce_logic_1_sg_x25,
clk_1 => clk_1_sg_x54,
from_register => data_out_net,
from_register1 => data_out_x0_net,
g => green_x2,
hb_i => hblank_i_net,
hs_i => hsync_i_net,
r => red_x2,
rst => reset_net,
shared_memory => data_out_x1_net,
vb_i => vblank_i_net,
vs_i => vsync_i_net,
b_o => convert1_dout_net_x5,
coefficient_memory => we_net,
coefficient_memory_x0 => data_in_net,
coefficient_memory_x1 => addr_net,
de_o => active_video_o_net,
g_o => convert1_dout_net_x6,
hb_o => hblank_o_net,
hs_o => hsync_o_net,
r_o => convert1_dout_net_x7,
vb_o => vblank_o_net,
vs_o => vsync_o_net
);
end structural;
|
-- smlttion for HDB1 decoder.
entity smlt_hdb1_dec is
end smlt_hdb1_dec;
architecture behaviour of smlt_hdb1_dec is
--data type:
component hdb1_dec
port (
clr_bar,
clk, e0, e1 : in bit;
s : out bit);
end component;
--binding:
for a: hdb1_dec use entity work.hdb1_dec;
--declaring the signals present in this architecture:
signal CLK, S, E0, E1, clrb: bit;
signal input0, input1: bit_vector(0 to 24);
begin --architecture.
a: hdb1_dec port map
( clr_bar => clrb, clk=> CLK, e0 => E0, e1 => E1,
s => S );
input0 <= "0100010110001011001001101";
input1 <= "0001001000100100100110010";
process begin
clrb <= '1';
for i in 0 to 24 loop
E0 <= input0(i);
E1 <= input1(i);
CLK <= '0';
wait for 9 ns;
CLK <= '1';
wait for 1 ns;
end loop;
wait;
end process;
end behaviour;
|
---- IO ------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
USE work.processor_functions.all;
------------------------------------------------------------------------------------------------------------------
ENTITY io IS
PORT (clk, nrst: IN STD_LOGIC; -- reset ativo em zero
IODR_load: IN STD_LOGIC; -- sinal de carregamento do BUS para IODR
IOAR_load: IN STD_LOGIC; -- sinal de carregamento do BUS para IOAR
IO_valid: IN STD_LOGIC; -- sinal que indica que o resultado da IODR deve ser colocado em IO_bus (ou Z se 0)
IO_en: IN STD_LOGIC; -- ativacao do componente para operacoes de leitura e escrita
IO_rw: IN STD_LOGIC; -- flag que indica se a operacao a ser realizada eh de leitura ou escrita
IO_bus: INOUT STD_LOGIC_VECTOR(n-1 DOWNTO 0); -- barramento de entrada/saida
-- Switches
switches: IN std_logic_vector(17 downto 0);
-- Displays
hex3: OUT std_logic_vector(0 TO 7);
hex2: OUT std_logic_vector(0 TO 7);
hex1: OUT std_logic_vector(0 TO 7);
hex0: OUT std_logic_vector(0 TO 7));
END ENTITY io;
ARCHITECTURE processor_io OF io IS
SIGNAL iodr: STD_LOGIC_VECTOR(wordlen-1 DOWNTO 0); -- registrador de dados
SIGNAL ioar: UNSIGNED(wordlen-oplen-1 downto 0); -- registrador de enderecos
SIGNAL bcd0: STD_LOGIC_VECTOR(3 downto 0);
SIGNAL bcd1: STD_LOGIC_VECTOR(3 downto 0);
SIGNAL bcd2: STD_LOGIC_VECTOR(3 downto 0);
SIGNAL bcd3: STD_LOGIC_VECTOR(3 downto 0);
SIGNAL bcd_en: STD_LOGIC;
COMPONENT bcd_to_7seg IS
PORT (bcd: IN STD_LOGIC_VECTOR(3 DOWNTO 0);
en: IN std_logic;
output: OUT STD_LOGIC_VECTOR (0 TO 7));
END COMPONENT;
BEGIN
-- Se o IO_valid = '1', manda o valor do resultado do iodr pro barramento. Caso contrario, manda Z.
IO_bus <= iodr
WHEN IO_valid = '1' AND ioar(7) = '1'
ELSE (others => 'Z');
-- Gera a visualizacao 7seg
bcd0_7seg: bcd_to_7seg PORT MAP(bcd0, seg_en, hex0);
bcd1_7seg: bcd_to_7seg PORT MAP(bcd1, seg_en, hex1);
bcd2_7seg: bcd_to_7seg PORT MAP(bcd2, seg_en, hex2);
bcd3_7seg: bcd_to_7seg PORT MAP(bcd3, seg_en, hex3);
PROCESS (clk, nrst) IS
BEGIN
-- De forma assincrona, se o reset ficar em nivel 0, reseta os registradores e conteudo da memoria
IF nrst = '0' THEN
iodr <= (OTHERS => '0');
ioar <= (OTHERS => '0');
bcd0 <= "0000";
bcd1 <= "0000";
bcd2 <= "0000";
bcd3 <= "0000";
-- Se teve uma borda de subida no clock, faz as outras coisas
ELSIF rising_edge(clk) THEN
IF IOAR_load = '1' THEN
ioar <= UNSIGNED(IO_bus(n-oplen-1 DOWNTO 0)); -- Para carregar IOAR, basta ler o endereco do que tem no BUS (desconsidera o OPCODE)
ELSIF IODR_load = '1' THEN
iodr <= IO_BUS; -- Para carregar IODR, basta ler direto do BUS
ELSIF IO_en = '1' THEN
IF IO_rw = '0' THEN
-- Porta '0' de IO é de leitura (switches)
IF to_integer(ioar) = mem_limit + 0 THEN
iodr <= switches(11 downto 0);
END IF;
ELSE
-- Porta '1' de IO é de saída.
IF ioar = mem_limit + 1 THEN
bcd0 <= iodr(3 downto 0);
bcd1 <= iodr(7 downto 4);
-- Porta '2' de IO é de saída.
ELSIF ioar = mem_limit + 2 THEN
bcd2 <= iodr(3 downto 0);
bcd3 <= iodr(7 downto 4);
END IF;
END IF;
END IF;
END IF;
END PROCESS;
END ARCHITECTURE processor_io; |
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:21:40 07/20/2014
-- Design Name:
-- Module Name: decryption_module - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.types.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity decryption_module is
port(
clk : in std_logic;
reset : in std_logic;
dec_start : in std_logic;
dec_end : out std_logic;
din : in state;
dout : out state;
addr_rkey : out std_logic_vector (3 downto 0);
rkey_in : in state
);
end decryption_module;
architecture Behavioral of decryption_module is
signal x_last_round : std_logic;
signal y_1_2, y_3_4 : std_logic_vector (1 downto 0);
signal addr_rkey_tmp : byte;
begin
control_unit : entity work.inv_cipher_cu port map (clk => clk,
reset => reset,
x_start => dec_start,
x_comp => x_last_round,
y_1_2 => y_1_2,
y_3_4 => y_3_4,
y_end => dec_end
);
cipher_unit : entity work.inv_cipher port map (clk => clk,
reset => reset,
y => y_1_2,
din => din,
rkey_in => rkey_in,
dout => dout
);
counter : entity work.decrementor port map (clk => clk,
reset => reset,
y => y_3_4,
d_out => addr_rkey_tmp,
x => x_last_round
);
addr_rkey <= addr_rkey_tmp(3 downto 0);
end Behavioral;
|
/***************************************************************************************************
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Xilinx's Vivado
/ 3 space tabs are used throughout the document
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This design of a parameterized real constant multiplier implements the multiplierless multiple
/ constants multiplier by Voronenko-Püschel.
/ The input signal is multiplied by the multiplicands and the result is sent to the output on
/ each clk cycle.
/
**************************************************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use std.textio.all;
library work;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
use work.fixed_float_types.all;
use work.fixed_generic_pkg.all;
use work.real_const_mult_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity real_const_mult_core_s is
generic(
SPEED_opt : T_speed := t_exc; --exception: value not set
ROUND_STYLE_opt : T_round_style := fixed_truncate; --default
ROUND_TO_BIT_opt : integer_exc := integer'low; --exception: value not set
MAX_ERROR_PCT_opt : real_exc := real'low; --exception: value not set
CONSTANTS : real_v; --compulsory
input_high : integer;
input_low : integer
);
port(
input : in u_sfixed;
clk : in std_ulogic;
valid_input : in std_ulogic;
output : out u_sfixed_v(1 to CONSTANTS'length)
(real_const_mult_OH(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
CONSTANTS,
input_high,
input_low,
is_signed => true)
downto
real_const_mult_OL(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
CONSTANTS,
input_low,
is_signed => true)
);
valid_output : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture real_const_mult_core_s_1 of real_const_mult_core_s is
/* corrected generics */
/***********************************************************************************************/
constant CHECKS : integer := real_const_mult_CHECKS(input_high,
input_low,
false, --unsigned_2comp_opt
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
CONSTANTS);
/* constants for the calculation of port sizes */
/***********************************************************************************************/
--the common output size
constant OUT_HIGH : integer := real_const_mult_OH(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
CONSTANTS,
input_high,
input_low,
is_signed => true);
constant OUT_LOW : integer := real_const_mult_OL(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
CONSTANTS,
input_low,
is_signed => true);
/* constants related to the multiplicands */
/***********************************************************************************************/
--vector to preserve the sign of each constant
constant MULT_SIGN_POSITIVE : boolean_v := is_positive_vector_from_constants(CONSTANTS);
--vector with the values of the constants in fixed point (applying parameters of error percentage,
--round style and bit to which to round)
constant NO_NEGATIONS : boolean := all_positive(MULT_SIGN_POSITIVE);
constant MULT_FIXED : u_ufixed_v := fixed_from_real_constants(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
abs(CONSTANTS),
input_high,
input_low,
is_signed => true);
--vector with the left shift needed(possibly negative) to transform the constants to odd natural values
constant PRE_VP_SHIFT : integer_v := calculate_pre_vp_shift(MULT_FIXED);
--maximum left shift needed
constant MAX_PRE_VP_SHIFT : integer := maximum(PRE_VP_SHIFT);
--vector with the constants in positive odd form, ready for the Voronenko-Püschel algorithm
constant MULT_FUNDAMENTAL : positive_v := calculate_mult_fundamental(MULT_FIXED, PRE_VP_SHIFT);
constant INTER_LOW : integer := input_low - MAX_PRE_VP_SHIFT;
/* file constants */
/***********************************************************************************************/
constant FILE_NAME : string := generate_file_name(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
MULT_FUNDAMENTAL);
constant FILE_PATH : string := DATA_FILE_DIRECTORY & "\" /*"*/ & FILE_NAME; --comment inserted to prevent nonsense syntax highlighting on sublime text 3
file solution_input : text;
/* constants obtained from files */
/***********************************************************************************************/
--carries out the Voronenko_Püschel algorithm and saves the solution to a file
procedure generate_solutions_file
is
--pragma translate off
--synthesis translate_off
package mmcm is new work.mmcm_pkg
generic map(
MAX_TARGET => maximum(MULT_FUNDAMENTAL),
FILE_PATH => FILE_PATH
);
--pragma translate on
--synthesis translate_on
begin
--pragma translate off
--synthesis translate_off
mmcm.VorPus(MULT_FUNDAMENTAL);
--pragma translate on
--synthesis translate_on
end procedure;
--reads the number of vertexes in the solution that is in the file. Additionally, as it is the first
--function that is called in the module, the file with the solutions is also generated, or produces
--an error if the file doesn't exist and we are in synthesis trying to read it
impure function read_number_of_vertexes
return natural is
variable currentline : line;
variable currentchar : character;
variable solution : natural := 0;
begin
--pragma translate off
--synthesis translate_off
file_open(solution_input, FILE_PATH, WRITE_MODE);
file_close(solution_input);
generate_solutions_file;
--pragma translate on
--synthesis translate_on
file_open(solution_input, FILE_PATH, READ_MODE);
if endfile(solution_input) then
assert false
report "The values needed to generate multiplication/divisions have not yet been " &
"generated. It is first required to launch the simulation in order to achieve this"
severity error;
end if;
if not endfile(solution_input) then
readline(solution_input, currentline);
for i in 1 to currentline'length loop
read(currentline, currentchar);
solution := 10*solution + (character'pos(currentchar)-character'pos('0'));
end loop;
end if;
file_close(solution_input);
return solution;
end function;
constant NUMBER_OF_VERTEXES : natural := read_number_of_vertexes;
type T_solutions is record
fundamental : positive;
u : positive;
l1 : natural;
v : positive;
l2 : natural;
s : boolean; --true: v is positive, false: v is negative
is_target : boolean;
flevel : natural;
max_child_flevel : natural;
high : integer;
end record;
type T_solutions_v is array(natural range <>) of T_solutions;
procedure insert(
vector : inout T_solutions_v;
index : in positive;
member : in natural;
value : in natural)
is
begin
case member is
when 0 => vector(index).fundamental := value;
when 1 => vector(index).u := value;
when 2 => vector(index).l1 := value;
when 3 => vector(index).v := value;
when 4 => vector(index).l2 := value;
when others => vector(index).s := ite(value=1, true, false);
end case;
end procedure;
function contains(
vector : positive_v;
number : positive)
return boolean is
begin
for i in vector'range loop
if vector(i) = number then
return true;
end if;
end loop;
return false;
end function;
function index_from_fund(
vertexes : T_solutions_v;
fund : positive)
return natural is
variable result : natural := 0;
begin
for1:
for i in 1 to NUMBER_OF_VERTEXES loop
if vertexes(i).fundamental = fund then
return i;
end if;
end loop;
return result;
end function;
function calculate_max_flevel(
vertexes : T_solutions_v)
return natural is
variable vertex : T_solutions_v(vertexes'range) := vertexes;
variable max_f : natural := 0;
variable aux : natural;
begin
--calculate max_flevel
if NUMBER_OF_VERTEXES > 0 then
vertex(1).flevel := 0;
end if;
if NUMBER_OF_VERTEXES > 1 then
for i in 2 to NUMBER_OF_VERTEXES loop
aux := 1 + maximum(vertex(index_from_fund(vertexes, vertexes(i).u)).flevel,
vertex(index_from_fund(vertexes, vertexes(i).v)).flevel);
vertex(i).flevel := aux;
max_f := maximum(max_f, aux);
end loop;
end if;
return max_f;
end function;
procedure populate_vertexes(
vertexes : inout T_solutions_v;
max_flevel : in natural)
is
variable aux : natural;
variable lowest_child_flevel : natural_v(1 to NUMBER_OF_VERTEXES) := (others => natural'high);
begin
if NUMBER_OF_VERTEXES>0 then
vertexes(1).flevel := 0;
vertexes(1).high := OUT_LOW + input_high - input_low;
end if;
if NUMBER_OF_VERTEXES>1 then
--generate high values for all fundamentals but the first
for i in 2 to NUMBER_OF_VERTEXES loop
vertexes(i).high := calculate_high(vertexes(i).fundamental,
vertexes(1).high,
is_signed => true);
end loop;
--assign values of flevel for all fundamentals' parents but the first
for i in 2 to NUMBER_OF_VERTEXES loop
aux := 1 + maximum(vertexes(index_from_fund(vertexes, vertexes(i).u)).flevel,
vertexes(index_from_fund(vertexes, vertexes(i).v)).flevel);
vertexes(i).flevel := aux;
end loop;
--increase the flevel value of each fundamental to the highest possible(so as to delay the
--operations the most and reduce the registers used in the pipelining)
for j in 1 to NUMBER_OF_VERTEXES loop
--update the lowest flevel of the children for each vertex
for i in 2 to NUMBER_OF_VERTEXES loop
aux := index_from_fund(vertexes, vertexes(i).u);
lowest_child_flevel(aux) := minimum(lowest_child_flevel(aux), vertexes(i).flevel);
aux := index_from_fund(vertexes, vertexes(i).v);
lowest_child_flevel(aux) := minimum(lowest_child_flevel(aux), vertexes(i).flevel);
end loop;
--then increase the flevel when possible
for i in NUMBER_OF_VERTEXES downto 2 loop
if lowest_child_flevel(i) = natural'high then
vertexes(i).flevel := max_flevel;
else
vertexes(i).flevel := lowest_child_flevel(i) - 1;
end if;
end loop;
end loop;
--assign values to max_child_flevel for all fundamentals
for i in 2 to NUMBER_OF_VERTEXES loop
aux := index_from_fund(vertexes, vertexes(i).u);
vertexes(aux).max_child_flevel := maximum(vertexes(aux).max_child_flevel, vertexes(i).flevel);
aux := index_from_fund(vertexes, vertexes(i).v);
vertexes(aux).max_child_flevel := maximum(vertexes(aux).max_child_flevel, vertexes(i).flevel);
end loop;
--if the fundamental is a target increase the max_child_flevel to max_flevel
for i in 1 to NUMBER_OF_VERTEXES loop
if vertexes(i).is_target then
vertexes(i).max_child_flevel := max_flevel + 1;
end if;
end loop;
end if;
end procedure;
--reads the solution vertexes and returns a static structure with the data
impure function read_vertexes
return T_solutions_v is
variable result : T_solutions_v(1 to NUMBER_OF_VERTEXES);
variable currentline : line;
variable currentchar : character;
variable aux : natural := 0;
variable member : natural := 0;
variable max_f : natural;
begin
file_open(solution_input, FILE_PATH, READ_MODE);
if not endfile(solution_input) then
readline(solution_input, currentline);--discard first line
if not endfile(solution_input) then
for i in 1 to NUMBER_OF_VERTEXES loop
readline(solution_input, currentline);
member := 0;
for j in 1 to currentline'length loop
read(currentline, currentchar);
if currentchar = ' ' then
insert(result, i, member, aux);
aux := 0;
member := member + 1;
else
aux := 10*aux + (character'pos(currentchar)-character'pos('0'));
end if;
end loop;
--add the last read member
insert(result, i, member, aux);
--add whether the actual fundamental is a target (which is not read from the file)
result(i).is_target := contains(MULT_FUNDAMENTAL, result(i).fundamental);
aux := 0;
end loop;
end if;
end if;
file_close(solution_input);
max_f := calculate_max_flevel(result);
populate_vertexes(result, max_f);
return result;
end function;
constant VERTEXES : T_solutions_v(1 to NUMBER_OF_VERTEXES) := read_vertexes;
--same as before but referred directly to the constant VERTEXES
function index_from_fund(
fund : positive)
return natural is
begin
for1:
for i in 1 to NUMBER_OF_VERTEXES loop
if VERTEXES(i).fundamental = fund then
return i;
end if;
end loop;
return 0; --when not found return 0
end function;
constant MAX_FLEVEL : natural := calculate_max_flevel(VERTEXES);
/* constants related to pipelines */
/***********************************************************************************************/
--number of possible positions to place pipelines
constant PIPELINE_POSITIONS : natural := MAX_FLEVEL + ite(NO_NEGATIONS, 1, 2);
--boolean vector which indicates whether a pipeline is placed or not on each possible position
constant IS_PIPELINED : boolean_v(0 to PIPELINE_POSITIONS-1) := generate_pipelines(PIPELINE_POSITIONS,
SPEED_opt);
--number of pipelines
constant PIPELINES : natural := number_of_pipelines(PIPELINE_POSITIONS,
SPEED_opt);
/* signals */
/***********************************************************************************************/
signal fundamental_signals : u_sfixed_vv(1 to NUMBER_OF_VERTEXES)(0 to MAX_FLEVEL)(OUT_HIGH downto INTER_LOW);
signal valid_input_sh : std_ulogic_vector(1 to PIPELINES);
signal pre_output : u_sfixed_v(1 to MULT_FUNDAMENTAL'length)(OUT_HIGH downto OUT_LOW);
/*================================================================================================*/
/*================================================================================================*/
begin
generate_valid_output:
if PIPELINES > 0 generate
begin
valid_output <= valid_input_sh(PIPELINES);
process(clk) is
begin
if rising_edge(clk) then
valid_input_sh <= valid_input_sh srl 1;
valid_input_sh(1) <= valid_input;
end if;
end process;
end;
else generate
begin
valid_output <= valid_input;
end;
end generate;
msg_debug("real_const_mult_core_s VERTEXES(1).high: " & image(VERTEXES(1).high));
msg_debug("real_const_mult_core_s input'low: " & image(input'low));
msg_debug("real_const_mult_core_s input'high: " & image(input'high));
msg_debug("real_const_mult_core_s OUT_LOW: " & image(OUT_LOW));
msg_debug("real_const_mult_core_s OUT_HIGH: " & image(OUT_HIGH));
msg_debug("real_const_mult_core_s INTER_LOW: " & image(INTER_LOW));
msg_debug("real_const_mult_core_s FILE_PATH: " & string'(FILE_PATH));
msg_debug("real_const_mult_core_s NUMBER_OF_VERTEXES: " & image(NUMBER_OF_VERTEXES));
msg_debug("real_const_mult_core_s OUT_HIGH: " & image(OUT_HIGH));
msg_debug("real_const_mult_core_s OUT_LOW: " & image(OUT_LOW));
generate_each_constant:
for i in 1 to CONSTANTS'length generate
begin
msg_debug("real_const_mult_core_s CONSTANTS(" & image(i) & "): " & image(CONSTANTS(i)));
msg_debug("real_const_mult_core_s MULT_FUNDAMENTAL(" & image(i) & "): " & image(MULT_FUNDAMENTAL(i)));
msg_debug("real_const_mult_core_s PRE_VP_SHIFT(" & image(i) & "): " & image(PRE_VP_SHIFT(i)));
end generate;
pipeline_or_connection_of_input:
if IS_PIPELINED(0) generate
begin
process(clk) is
begin
if rising_edge(clk) then
fundamental_signals(1)(0)(VERTEXES(1).high downto OUT_LOW) <= input;
end if;
end process;
end;
else generate
fundamental_signals(1)(0)(VERTEXES(1).high downto OUT_LOW) <= input;
end generate;
if_max_child_of_input_is_higher_than_1:
if VERTEXES(1).max_child_flevel > 1 generate
generate_pipelines_fundamental_for_1_for_each_flevel:
for j in 1 to VERTEXES(1).max_child_flevel - 1 generate
constant high : integer := VERTEXES(1).high;
begin
pipeline_or_connection:
if IS_PIPELINED(j) generate
begin
process(clk) is
begin
if rising_edge(clk) then
fundamental_signals(1)(j)(high downto OUT_LOW)
<= fundamental_signals(1)(j-1)(high downto OUT_LOW);
end if;
end process;
end;
else generate
fundamental_signals(1)(j)(high downto OUT_LOW)
<= fundamental_signals(1)(j-1)(high downto OUT_LOW);
end generate;
end;
end generate;
end generate;
generate_pipelines_for_other_fundamentals:
for i in 2 to NUMBER_OF_VERTEXES generate
constant first : natural := VERTEXES(i).flevel;
constant last : natural := VERTEXES(i).max_child_flevel - 1;
constant high : integer := vertexes(i).high;
begin
if_last_greater_than_first:
if last > first generate
for_each_flevel:
for j in first+1 to last generate
pipeline_or_connection:
if IS_PIPELINED(j) generate
begin
process(clk) is
begin
if rising_edge(clk) then
fundamental_signals(i)(j)(high downto OUT_LOW)
<= fundamental_signals(i)(j-1)(high downto OUT_LOW);
end if;
end process;
end;
else generate
fundamental_signals(i)(j)(high downto OUT_LOW)
<= fundamental_signals(i)(j-1)(high downto OUT_LOW);
end generate;
end generate;
end generate;
end;
end generate;
generate_fundamental_signals:
for i in 2 to NUMBER_OF_VERTEXES generate
constant current : T_solutions := VERTEXES(i);
constant u : positive := current.u;
constant l1 : natural := current.l1;
constant v : positive := current.v;
constant l2 : natural := current.l2;
constant s : boolean := current.s;
constant flevel : natural := current.flevel;
constant high : integer := current.high;
constant u_high : integer := vertexes(index_from_fund(u)).high;
constant v_high : integer := vertexes(index_from_fund(v)).high;
signal signal1 : u_sfixed(u_high downto OUT_LOW);
signal signal2 : u_sfixed(v_high downto OUT_LOW);
signal signal3 : u_sfixed(u_high+1 downto OUT_LOW);
signal aux1 : u_sfixed(high downto OUT_LOW);
signal aux2 : u_sfixed(high downto OUT_LOW);
signal aux3 : u_sfixed(high+1 downto OUT_LOW);
signal result1 : u_sfixed(high downto OUT_LOW);
signal result2 : u_sfixed(high+1 downto OUT_LOW);
begin
signal1 <= fundamental_signals(index_from_fund(u))(flevel-1)(u_high downto OUT_LOW);
signal2 <= fundamental_signals(index_from_fund(v))(flevel-1)(v_high downto OUT_LOW);
signal3 <= resize(fundamental_signals(index_from_fund(u))(flevel-1)(u_high downto OUT_LOW), signal3);
aux1 <= resize(signal1, aux1) sll l1;
aux2 <= resize(signal2, aux2) sll l2;
aux3 <= resize(signal3, aux3) sll l1;
result1 <= resize(aux1 + aux2, result1);
result2 <= resize(aux3 - resize(aux2, aux3), result2);
pipeline_or_connection:
if IS_PIPELINED(flevel) generate
begin
process(clk) is
begin
if rising_edge(clk) then
fundamental_signals(i)(flevel)(high downto OUT_LOW)
<= result1(high downto OUT_LOW) when s else
result2(high downto OUT_LOW);
end if;
end process;
end;
else generate
begin
positive_or_negative:
if s generate
fundamental_signals(i)(flevel)(high downto OUT_LOW)
<= result1(high downto OUT_LOW);
else generate
fundamental_signals(i)(flevel)(high downto OUT_LOW)
<= result2(high downto OUT_LOW);
end generate;
end;
end generate;
end;
end generate;
invert_output:
for i in 1 to CONSTANTS'length generate
constant index : integer := index_from_fund(MULT_FUNDAMENTAL(i));
constant high : integer := VERTEXES(index).high;
signal aux : u_sfixed(high downto OUT_LOW);
signal result1 : u_sfixed(high downto OUT_LOW);
signal result2 : u_sfixed(high downto OUT_LOW);
begin
aux <= fundamental_signals(index)(MAX_FLEVEL)(high downto OUT_LOW);
result1 <= resize(aux, result1);
result2 <= resize(-aux, result2);
pipeline_or_connection:
if NO_NEGATIONS or not IS_PIPELINED(IS_PIPELINED'high) generate
begin
positive_or_negative:
if MULT_SIGN_POSITIVE(i) generate
pre_output(i)(result1'range) <= result1;
else generate
pre_output(i)(result1'range) <= result2;
end generate;
end;
else generate
begin
process(clk) is
begin
if rising_edge(clk) then
pre_output(i)(result1'range)
<= result1 when MULT_SIGN_POSITIVE(i) else
result2;
end if;
end process;
end;
end generate;
end;
end generate;
generate_output_shifts:
for i in 1 to CONSTANTS'length generate
constant index : integer := index_from_fund(MULT_FUNDAMENTAL(i));
constant high : integer := VERTEXES(index).high;
constant adjustment : integer := -PRE_VP_SHIFT(i) - (OUT_LOW - input_low);
begin
depending_on_adjustment_value:
if adjustment > 0 generate
output(i) <= resize(pre_output(i)(high downto OUT_LOW),
output(i))
sll
adjustment;
else generate
output(i) <= resize(pre_output(i)(high downto OUT_LOW),
output(i))
sra
abs(adjustment); --to introduce the leftmost bit when shifting to the right
end generate;
end;
end generate;
end architecture; |
-- VHDL Entity R6502_TC.FSM_Execution_Unit.symbol
--
-- Created:
-- by - eda.UNKNOWN (ENTWICKL4-XP-PR)
-- at - 22:42:53 04.01.2009
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
entity FSM_Execution_Unit is
port(
adr_nxt_pc_i : in std_logic_vector (15 downto 0);
adr_pc_i : in std_logic_vector (15 downto 0);
adr_sp_i : in std_logic_vector (15 downto 0);
clk_clk_i : in std_logic;
d_alu_i : in std_logic_vector ( 7 downto 0 );
d_i : in std_logic_vector ( 7 downto 0 );
d_regs_out_i : in std_logic_vector ( 7 downto 0 );
irq_n_i : in std_logic;
nmi_i : in std_logic;
q_a_i : in std_logic_vector ( 7 downto 0 );
q_x_i : in std_logic_vector ( 7 downto 0 );
q_y_i : in std_logic_vector ( 7 downto 0 );
rdy_i : in std_logic;
reg_0flag_i : in std_logic;
reg_1flag_i : in std_logic;
reg_7flag_i : in std_logic;
rst_rst_n_i : in std_logic;
so_n_i : in std_logic;
a_o : out std_logic_vector (15 downto 0);
adr_o : out std_logic_vector (15 downto 0);
ch_a_o : out std_logic_vector ( 7 downto 0 );
ch_b_o : out std_logic_vector ( 7 downto 0 );
d_o : out std_logic_vector ( 7 downto 0 );
d_regs_in_o : out std_logic_vector ( 7 downto 0 );
fetch_o : out std_logic;
ld_o : out std_logic_vector ( 1 downto 0 );
ld_pc_o : out std_logic;
ld_sp_o : out std_logic;
load_regs_o : out std_logic;
offset_o : out std_logic_vector ( 15 downto 0 );
rd_o : out std_logic;
sel_pc_as_o : out std_logic;
sel_pc_in_o : out std_logic;
sel_pc_val_o : out std_logic_vector ( 1 downto 0 );
sel_rb_in_o : out std_logic_vector ( 1 downto 0 );
sel_rb_out_o : out std_logic_vector ( 1 downto 0 );
sel_reg_o : out std_logic_vector ( 1 downto 0 );
sel_sp_as_o : out std_logic;
sel_sp_in_o : out std_logic;
sync_o : out std_logic;
wr_n_o : out std_logic;
wr_o : out std_logic
);
-- Declarations
end FSM_Execution_Unit ;
-- Jens-D. Gutschmidt Project: R6502_TC
-- [email protected]
-- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG
--
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or any later version.
--
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- CVS Revisins History
--
-- $Log: not supported by cvs2svn $
-- <<-- more -->>
-- Title: FSM Execution Unit for all op codes
-- Path: R6502_TC/FSM_Execution_Unit/fsm
-- Edited: by eda on 04 Jan 2009
--
-- VHDL Architecture R6502_TC.FSM_Execution_Unit.fsm
--
-- Created:
-- by - eda.UNKNOWN (ENTWICKL4-XP-PR)
-- at - 22:42:55 04.01.2009
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
architecture fsm of FSM_Execution_Unit is
-- Architecture Declarations
signal reg_F : std_logic_vector( 7 DOWNTO 0 );
signal reg_PC : std_logic_vector(15 DOWNTO 0);
signal reg_PC1 : std_logic_vector( 15 DOWNTO 0 );
signal reg_sel_pc_as : std_logic;
signal reg_sel_pc_in : std_logic;
signal reg_sel_pc_val : std_logic_vector( 1 DOWNTO 0 );
signal reg_sel_rb_in : std_logic_vector( 1 DOWNTO 0 );
signal reg_sel_rb_out : std_logic_vector( 1 DOWNTO 0 );
signal reg_sel_reg : std_logic_vector( 1 DOWNTO 0 );
signal reg_sel_sp_as : std_logic;
signal reg_sel_sp_in : std_logic;
signal sig_D_OUT : std_logic_vector( 7 DOWNTO 0 );
signal sig_PC : std_logic_vector(15 DOWNTO 0);
signal sig_RD : std_logic;
signal sig_RWn : std_logic;
signal sig_SYNC : std_logic;
signal sig_WR : std_logic;
signal zw_ALU : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU1 : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU2 : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU3 : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU4 : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU5 : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU6 : std_logic_vector( 8 DOWNTO 0 );
signal zw_PC : std_logic_vector( 15 DOWNTO 0 );
signal zw_REG_ALU : std_logic_vector( 8 DOWNTO 0 );
signal zw_REG_NMI : std_logic;
signal zw_REG_OP : std_logic_vector( 7 DOWNTO 0 );
signal zw_REG_sig_PC : std_logic_vector(15 DOWNTO 0);
signal zw_b1 : std_logic_vector( 7 DOWNTO 0 );
signal zw_b2 : std_logic_vector( 7 DOWNTO 0 );
signal zw_b3 : std_logic_vector( 7 DOWNTO 0 );
signal zw_b4 : std_logic_vector( 7 DOWNTO 0 );
signal zw_so : std_logic;
signal zw_w1 : std_logic_vector( 15 DOWNTO 0 );
signal zw_w2 : std_logic_vector( 15 DOWNTO 0 );
signal zw_w3 : std_logic_vector( 15 DOWNTO 0 );
subtype state_type is
std_logic_vector(7 downto 0);
-- State vector declaration
attribute state_vector : string;
attribute state_vector of fsm : architecture is "current_state";
-- Hard encoding
constant FETCH : state_type := "00000000";
constant s1 : state_type := "00000001";
constant s2 : state_type := "00000011";
constant s5 : state_type := "00000010";
constant s3 : state_type := "00000110";
constant s4 : state_type := "00000111";
constant s12 : state_type := "00000101";
constant s16 : state_type := "00000100";
constant s17 : state_type := "00001100";
constant s24 : state_type := "00001101";
constant s25 : state_type := "00001111";
constant s271 : state_type := "00001110";
constant s273 : state_type := "00001010";
constant s304 : state_type := "00001011";
constant s307 : state_type := "00001001";
constant s177 : state_type := "00001000";
constant s180 : state_type := "00011000";
constant s181 : state_type := "00011001";
constant s182 : state_type := "00011011";
constant s183 : state_type := "00011010";
constant s184 : state_type := "00011110";
constant s185 : state_type := "00011111";
constant s186 : state_type := "00011101";
constant s187 : state_type := "00011100";
constant s188 : state_type := "00010100";
constant s189 : state_type := "00010101";
constant s190 : state_type := "00010111";
constant s191 : state_type := "00010110";
constant s192 : state_type := "00010010";
constant s193 : state_type := "00010011";
constant s377 : state_type := "00010001";
constant s381 : state_type := "00010000";
constant s378 : state_type := "00110000";
constant s382 : state_type := "00110001";
constant s379 : state_type := "00110011";
constant s383 : state_type := "00110010";
constant s384 : state_type := "00110110";
constant s380 : state_type := "00110111";
constant s385 : state_type := "00110101";
constant s386 : state_type := "00110100";
constant s387 : state_type := "00111100";
constant s388 : state_type := "00111101";
constant s389 : state_type := "00111111";
constant s391 : state_type := "00111110";
constant s392 : state_type := "00111010";
constant s390 : state_type := "00111011";
constant s393 : state_type := "00111001";
constant s394 : state_type := "00111000";
constant s395 : state_type := "00101000";
constant s396 : state_type := "00101001";
constant s397 : state_type := "00101011";
constant s398 : state_type := "00101010";
constant s399 : state_type := "00101110";
constant s400 : state_type := "00101111";
constant s401 : state_type := "00101101";
constant s526 : state_type := "00101100";
constant s527 : state_type := "00100100";
constant s528 : state_type := "00100101";
constant s529 : state_type := "00100111";
constant s530 : state_type := "00100110";
constant s531 : state_type := "00100010";
constant s544 : state_type := "00100011";
constant s545 : state_type := "00100001";
constant s546 : state_type := "00100000";
constant s547 : state_type := "01100000";
constant s549 : state_type := "01100001";
constant s550 : state_type := "01100011";
constant s404 : state_type := "01100010";
constant s556 : state_type := "01100110";
constant s557 : state_type := "01100111";
constant s579 : state_type := "01100101";
constant s201 : state_type := "01100100";
constant s202 : state_type := "01101100";
constant s210 : state_type := "01101101";
constant s211 : state_type := "01101111";
constant s215 : state_type := "01101110";
constant s217 : state_type := "01101010";
constant s218 : state_type := "01101011";
constant s222 : state_type := "01101001";
constant s223 : state_type := "01101000";
constant s224 : state_type := "01111000";
constant s225 : state_type := "01111001";
constant s226 : state_type := "01111011";
constant s243 : state_type := "01111010";
constant s244 : state_type := "01111110";
constant s247 : state_type := "01111111";
constant s344 : state_type := "01111101";
constant s343 : state_type := "01111100";
constant s250 : state_type := "01110100";
constant s251 : state_type := "01110101";
constant s351 : state_type := "01110111";
constant s361 : state_type := "01110110";
constant s360 : state_type := "01110010";
constant s403 : state_type := "01110011";
constant s406 : state_type := "01110001";
constant s407 : state_type := "01110000";
constant s409 : state_type := "01010000";
constant s412 : state_type := "01010001";
constant s413 : state_type := "01010011";
constant s416 : state_type := "01010010";
constant s418 : state_type := "01010110";
constant s510 : state_type := "01010111";
constant s553 : state_type := "01010101";
constant s555 : state_type := "01010100";
constant s558 : state_type := "01011100";
constant s560 : state_type := "01011101";
constant s561 : state_type := "01011111";
constant s563 : state_type := "01011110";
constant s564 : state_type := "01011010";
constant s565 : state_type := "01011011";
constant s566 : state_type := "01011001";
constant s266 : state_type := "01011000";
constant s301 : state_type := "01001000";
constant s302 : state_type := "01001001";
constant RES : state_type := "01001011";
constant s511 : state_type := "01001010";
constant s559 : state_type := "01001110";
constant s562 : state_type := "01001111";
constant s567 : state_type := "01001101";
constant s568 : state_type := "01001100";
constant s569 : state_type := "01000100";
constant s570 : state_type := "01000101";
constant s571 : state_type := "01000111";
constant s572 : state_type := "01000110";
constant s573 : state_type := "01000010";
constant s574 : state_type := "01000011";
constant s548 : state_type := "01000001";
constant s551 : state_type := "01000000";
constant s552 : state_type := "11000000";
constant s575 : state_type := "11000001";
constant s576 : state_type := "11000011";
constant s577 : state_type := "11000010";
constant s532 : state_type := "11000110";
constant s533 : state_type := "11000111";
constant s534 : state_type := "11000101";
constant s535 : state_type := "11000100";
constant s536 : state_type := "11001100";
constant s537 : state_type := "11001101";
-- Declare current and next state signals
signal current_state : state_type;
signal next_state : state_type;
-- Declare any pre-registered internal signals
signal d_o_cld : std_logic_vector ( 7 downto 0 );
signal rd_o_cld : std_logic ;
signal sync_o_cld : std_logic ;
signal wr_n_o_cld : std_logic ;
signal wr_o_cld : std_logic ;
begin
-----------------------------------------------------------------
clocked_proc : process (
clk_clk_i,
rst_rst_n_i
)
-----------------------------------------------------------------
begin
if (rst_rst_n_i = '0') then
current_state <= RES;
-- Default Reset Values
d_o_cld <= X"00";
rd_o_cld <= '0';
sync_o_cld <= '0';
wr_n_o_cld <= '1';
wr_o_cld <= '0';
reg_F <= "00000100";
reg_PC <= X"0000";
reg_PC1 <= X"0000";
reg_sel_pc_as <= '0';
reg_sel_pc_in <= '0';
reg_sel_pc_val <= "00";
reg_sel_rb_in <= "00";
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_sp_as <= '0';
reg_sel_sp_in <= '0';
sig_PC <= X"0000";
zw_PC <= X"0000";
zw_REG_ALU <= '0' & X"00";
zw_REG_NMI <= '0';
zw_REG_OP <= X"00";
zw_REG_sig_PC <= X"0000";
zw_b1 <= X"00";
zw_b2 <= X"00";
zw_b3 <= X"00";
zw_b4 <= X"00";
zw_so <= '0';
zw_w1 <= X"0000";
zw_w2 <= X"0000";
zw_w3 <= X"0000";
elsif (clk_clk_i'event and clk_clk_i = '1') then
current_state <= next_state;
-- Default Assignment To Internals
reg_F <= reg_F(7) & (zw_so OR reg_F(6)) & reg_F(5 downto 0);
reg_PC <= reg_PC;
reg_PC1 <= reg_PC1;
reg_sel_pc_as <= reg_sel_pc_as;
reg_sel_pc_in <= reg_sel_pc_in;
reg_sel_pc_val <= reg_sel_pc_val;
reg_sel_rb_in <= reg_sel_rb_in;
reg_sel_rb_out <= reg_sel_rb_out;
reg_sel_reg <= reg_sel_reg;
reg_sel_sp_as <= reg_sel_sp_as;
reg_sel_sp_in <= reg_sel_sp_in;
sig_PC <= sig_PC;
zw_PC <= zw_PC;
zw_REG_ALU <= zw_REG_ALU;
zw_REG_NMI <= zw_REG_NMI or nmi_i;
zw_REG_OP <= zw_REG_OP;
zw_REG_sig_PC <= zw_REG_sig_PC;
zw_b1 <= zw_b1;
zw_b2 <= zw_b2;
zw_b3 <= zw_b3;
zw_b4 <= zw_b4;
zw_so <= (zw_so OR (NOT(so_n_i))) AND (NOT(reg_F(6)));
zw_w1 <= zw_w1;
zw_w2 <= zw_w2;
zw_w3 <= zw_w3;
d_o_cld <= sig_D_OUT;
rd_o_cld <= sig_RD;
sync_o_cld <= sig_SYNC;
wr_n_o_cld <= sig_RWn;
wr_o_cld <= sig_WR;
-- Combined Actions
case current_state is
when FETCH =>
zw_REG_OP <= d_i;
if ((nmi_i = '1') and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
zw_REG_NMI <= '0';
elsif ((irq_n_i = '0' and
reg_F(2) = '0') and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"69" or
d_i = X"65" or
d_i = X"75" or
d_i = X"6D" or
d_i = X"7D" or
d_i = X"79" or
d_i = X"61" or
d_i = X"71") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
zw_b1(0) <= reg_F(7);
elsif ((d_i = X"06" or
d_i = X"16" or
d_i = X"0E" or
d_i = X"1E") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"90" or
d_i = X"B0" or
d_i = X"F0" or
d_i = X"30" or
d_i = X"D0" or
d_i = X"10" or
d_i = X"50" or
d_i = X"70") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
zw_b3 <= adr_nxt_pc_i (15 downto 8);
elsif ((d_i = X"24" or
d_i = X"2C") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"00") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"18") and (rdy_i = '1')) then
elsif ((d_i = X"D8") and (rdy_i = '1')) then
elsif ((d_i = X"58") and (rdy_i = '1')) then
elsif ((d_i = X"B8") and (rdy_i = '1')) then
elsif ((d_i = X"E0" or
d_i = X"E4" or
d_i = X"EC") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"C0" or
d_i = X"C4" or
d_i = X"CC") and (rdy_i = '1')) then
reg_sel_rb_out <= "10";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"C6" or
d_i = X"D6" or
d_i = X"CE" or
d_i = X"DE") and (rdy_i = '1')) then
zw_b4 <= X"FF";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"CA") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
reg_sel_reg <= "01";
reg_sel_rb_in <= "11";
zw_b4 <= X"FF";
elsif ((d_i = X"88") and (rdy_i = '1')) then
reg_sel_rb_out <= "10";
reg_sel_reg <= "10";
reg_sel_rb_in <= "11";
zw_b4 <= X"FF";
elsif ((d_i = X"49" or
d_i = X"45" or
d_i = X"55" or
d_i = X"4D" or
d_i = X"5D" or
d_i = X"59" or
d_i = X"41" or
d_i = X"51" or
d_i = X"09" or
d_i = X"05" or
d_i = X"15" or
d_i = X"0D" or
d_i = X"1D" or
d_i = X"19" or
d_i = X"01" or
d_i = X"11" or
d_i = X"29" or
d_i = X"25" or
d_i = X"35" or
d_i = X"2D" or
d_i = X"3D" or
d_i = X"39" or
d_i = X"21" or
d_i = X"31" or
d_i = X"C9" or
d_i = X"C5" or
d_i = X"D5" or
d_i = X"CD" or
d_i = X"DD" or
d_i = X"D9" or
d_i = X"C1" or
d_i = X"D1") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"E6" or
d_i = X"F6" or
d_i = X"EE" or
d_i = X"FE") and (rdy_i = '1')) then
zw_b4 <= X"01";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"E8") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
reg_sel_reg <= "01";
reg_sel_rb_in <= "11";
zw_b4 <= X"01";
elsif ((d_i = X"C8") and (rdy_i = '1')) then
reg_sel_rb_out <= "10";
reg_sel_reg <= "10";
reg_sel_rb_in <= "11";
zw_b4 <= X"01";
elsif ((d_i = X"4C" or
d_i = X"6C") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"20") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"A9" or
d_i = X"A5" or
d_i = X"B5" or
d_i = X"AD" or
d_i = X"BD" or
d_i = X"B9" or
d_i = X"A1" or
d_i = X"B1") and (rdy_i = '1')) then
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"A2" or
d_i = X"A6" or
d_i = X"B6" or
d_i = X"AE" or
d_i = X"BE") and (rdy_i = '1')) then
reg_sel_reg <= "01";
reg_sel_rb_in <= "11";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"A0" or
d_i = X"A4" or
d_i = X"B4" or
d_i = X"AC" or
d_i = X"BC") and (rdy_i = '1')) then
reg_sel_reg <= "10";
reg_sel_rb_in <= "11";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"46" or
d_i = X"56" or
d_i = X"4E" or
d_i = X"5E") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"EA") and (rdy_i = '1')) then
elsif ((d_i = X"48") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"08") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"68") and (rdy_i = '1')) then
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '0';
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
elsif ((d_i = X"28") and (rdy_i = '1')) then
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '0';
elsif ((d_i = X"26" or
d_i = X"36" or
d_i = X"2E" or
d_i = X"3E") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"66" or
d_i = X"76" or
d_i = X"6E" or
d_i = X"7E") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"40") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"60") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '0';
elsif ((d_i = X"E9" or
d_i = X"E5" or
d_i = X"F5" or
d_i = X"ED" or
d_i = X"FD" or
d_i = X"F9" or
d_i = X"E1" or
d_i = X"F1") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
zw_b1(0) <= reg_F(7);
elsif ((d_i = X"38") and (rdy_i = '1')) then
elsif ((d_i = X"F8") and (rdy_i = '1')) then
elsif ((d_i = X"78") and (rdy_i = '1')) then
elsif ((d_i = X"85" or
d_i = X"95" or
d_i = X"8D" or
d_i = X"9D" or
d_i = X"99" or
d_i = X"81" or
d_i = X"91") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"86" or
d_i = X"96" or
d_i = X"8E") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"84" or
d_i = X"94" or
d_i = X"8C") and (rdy_i = '1')) then
reg_sel_rb_out <= "10";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"AA") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "01";
reg_sel_rb_in <= "00";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
elsif ((d_i = X"0A") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
elsif ((d_i = X"4A") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
elsif ((d_i = X"2A") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
elsif ((d_i = X"6A") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
elsif ((d_i = X"A8") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "10";
reg_sel_rb_in <= "00";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
elsif ((d_i = X"98") and (rdy_i = '1')) then
reg_sel_rb_out <= "10";
reg_sel_reg <= "00";
reg_sel_rb_in <= "01";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
elsif ((d_i = X"BA") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
reg_sel_reg <= "01";
reg_sel_rb_in <= "11";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
elsif ((d_i = X"8A") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
reg_sel_reg <= "00";
reg_sel_rb_in <= "10";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
elsif ((d_i = X"9A") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
reg_sel_reg <= "11";
reg_sel_rb_in <= "11";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
end if;
when s1 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s2 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= '1';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s5 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(3) <= '1';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s3 =>
sig_PC <= adr_pc_i;
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(2) <= '1';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s4 =>
if (rdy_i = '1' and
zw_REG_OP = X"9A") then
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"BA") then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s12 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= '0';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s16 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(3) <= '0';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s17 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(2) <= '0';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s24 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(6) <= '0';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s25 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s271 =>
if (rdy_i = '1' and
zw_REG_OP = X"4C") then
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
zw_b1 <= d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"6C") then
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
zw_b1 <= d_i;
end if;
when s273 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
zw_b2 <= d_i;
end if;
when s304 =>
if (rdy_i = '1') then
sig_PC <= zw_b2 & adr_pc_i(7 downto 0);
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
zw_b1 <= d_i;
end if;
when s307 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s177 =>
if (rdy_i = '1' and
(zw_REG_OP = X"85" OR
zw_REG_OP = X"86" OR
zw_REG_OP = X"84")) then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"95" OR
zw_REG_OP = X"94")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"8D" OR
zw_REG_OP = X"8E" OR
zw_REG_OP = X"8C")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"9D") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"99") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"91") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"81") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"96") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
end if;
when s180 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s181 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
end if;
when s182 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s183 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s184 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s185 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s186 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s187 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s188 =>
if (rdy_i = '1') then
sig_PC <= X"00" & d_alu_i;
zw_b1 <= d_i;
end if;
when s189 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s190 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s191 =>
sig_PC <= zw_b3 & zw_b1;
when s192 =>
sig_PC <= d_i & zw_b1;
when s193 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s377 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s381 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s378 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s382 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s383 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s384 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s385 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s386 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F <= d_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s387 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s388 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s389 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
reg_F <= d_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
end if;
when s391 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
zw_b1 <= d_i;
end if;
when s392 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s390 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s393 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s394 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
zw_b1 <= d_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
end if;
when s395 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s396 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s397 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
zw_b1 <= d_i;
end if;
when s399 =>
sig_PC <= adr_sp_i;
when s400 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
when s401 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1 (7 downto 0);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s526 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s527 =>
sig_PC <= adr_sp_i;
when s528 =>
sig_PC <= adr_sp_i;
when s529 =>
sig_PC <= X"FFFE";
when s530 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_F(2) <= '1';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s531 =>
if (rdy_i = '1') then
sig_PC <= X"FFFF";
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
zw_b1 <= d_i;
end if;
when s544 =>
sig_PC <= adr_sp_i;
when s545 =>
sig_PC <= adr_sp_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
when s546 =>
sig_PC <= adr_pc_i;
when s547 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
zw_w1 (7 downto 0) <= d_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
end if;
when s549 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_w1 (7 downto 0);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s550 =>
sig_PC <= adr_sp_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
when s404 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= q_a_i(7);
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s556 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= q_a_i(0);
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s557 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= q_a_i(7);
reg_F(0) <= q_a_i(7);
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s579 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= q_a_i(0);
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s201 =>
if (rdy_i = '1' and
(zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then
sig_PC <= X"00" & d_i;
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(0) <= zw_ALU(8);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"B5" OR
zw_REG_OP = X"B4" OR
zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
zw_REG_OP = X"35" OR
zw_REG_OP = X"D5")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"AD" OR
zw_REG_OP = X"AE" OR
zw_REG_OP = X"AC" OR
zw_REG_OP = X"4D" OR
zw_REG_OP = X"0D" OR
zw_REG_OP = X"2D" OR
zw_REG_OP = X"CD" OR
zw_REG_OP = X"EC" OR
zw_REG_OP = X"CC")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"BD" OR
zw_REG_OP = X"BC" OR
zw_REG_OP = X"5D" OR
zw_REG_OP = X"1D" OR
zw_REG_OP = X"3D" OR
zw_REG_OP = X"DD")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B9" OR
zw_REG_OP = X"BE" OR
zw_REG_OP = X"59" OR
zw_REG_OP = X"19" OR
zw_REG_OP = X"39" OR
zw_REG_OP = X"D9")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B1" OR
zw_REG_OP = X"51" OR
zw_REG_OP = X"11" OR
zw_REG_OP = X"31" OR
zw_REG_OP = X"D1")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"A1" OR
zw_REG_OP = X"41" OR
zw_REG_OP = X"01" OR
zw_REG_OP = X"21" OR
zw_REG_OP = X"C1")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"B6") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
end if;
when s202 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s210 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s211 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s215 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
end if;
when s217 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s218 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s222 =>
if (rdy_i = '1') then
sig_PC <= X"00" & d_alu_i;
zw_b1 <= d_i;
end if;
when s223 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s224 =>
if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(0) <= zw_ALU(8);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s225 =>
if ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(0) <= zw_ALU(8);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0') then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= zw_b3 & zw_b1;
end if;
when s226 =>
if (rdy_i = '1' and
(zw_REG_OP = X"C6" OR
zw_REG_OP = X"E6")) then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"D6" OR
zw_REG_OP = X"F6")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"CE" OR
zw_REG_OP = X"EE")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"DE" OR
zw_REG_OP = X"FE")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
end if;
when s243 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s244 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s247 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s344 =>
if (rdy_i = '1') then
sig_PC <= zw_b3 & zw_b1;
end if;
when s343 =>
if (rdy_i = '1') then
zw_b1 <= d_alu_i;
end if;
when s251 =>
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s351 =>
if (rdy_i = '1' and
zw_REG_OP = X"24") then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"2C") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
end if;
when s361 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= d_i(7);
reg_F(6) <= d_i(6);
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s360 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s403 =>
if (rdy_i = '1' and
(zw_REG_OP = X"1E" or
zw_REG_OP = X"7E" or
zw_REG_OP = X"3E" or
zw_REG_OP = X"5E")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"66" or
zw_REG_OP = X"26" or
zw_REG_OP = X"46")) then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"16" or
zw_REG_OP = X"76" or
zw_REG_OP = X"36" or
zw_REG_OP = X"56")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"0E" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"4E")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
end if;
when s406 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s407 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s409 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s412 =>
if (rdy_i = '1') then
sig_PC <= zw_b3 & zw_b1;
end if;
when s416 =>
if (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"16" or
zw_REG_OP = X"0E" or
zw_REG_OP = X"1E")) then
zw_b1 <= d_i(6 downto 0) & '0';
zw_b2(0) <= d_i(7);
elsif (rdy_i = '1' and
(zw_REG_OP = X"46" or
zw_REG_OP = X"56" or
zw_REG_OP = X"4E" or
zw_REG_OP = X"5E")) then
zw_b1 <= '0' & d_i(7 downto 1);
zw_b2(0) <= d_i(0);
elsif (rdy_i = '1' and
(zw_REG_OP = X"26" or
zw_REG_OP = X"36" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"3E")) then
zw_b1 <= d_i(6 downto 0) & reg_F(0);
zw_b2(0) <= d_i(7);
elsif (rdy_i = '1' and
(zw_REG_OP = X"66" or
zw_REG_OP = X"76" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"7E")) then
zw_b1 <= reg_F(0) & d_i(7 downto 1);
zw_b2(0) <= d_i(0);
end if;
when s418 =>
sig_PC <= adr_pc_i;
reg_F(0) <= zw_b2(0);
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s510 =>
if (rdy_i = '1' and
zw_REG_OP = X"65") then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '0') then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"75") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"6D") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"7D") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"79") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"71") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"61") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '1') then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU4(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s553 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s555 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s558 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
end if;
when s560 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s561 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s563 =>
if (rdy_i = '1') then
sig_PC <= X"00" & d_alu_i;
zw_b1 <= d_i;
end if;
when s564 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU4(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= zw_b3 & zw_b1;
end if;
when s565 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
reg_F(3) = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU4(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s566 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s266 =>
if (rdy_i = '1' and (
(reg_F(0) = '1' and zw_REG_OP = X"90") or
(reg_F(0) = '0' and zw_REG_OP = X"B0") or
(reg_F(1) = '0' and zw_REG_OP = X"F0") or
(reg_F(7) = '0' and zw_REG_OP = X"30") or
(reg_F(1) = '1' and zw_REG_OP = X"D0") or
(reg_F(7) = '1' and zw_REG_OP = X"10") or
(reg_F(6) = '1' and zw_REG_OP = X"50") or
(reg_F(6) = '0' and zw_REG_OP = X"70"))) then
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "10";
zw_b2 <= d_i;
end if;
when s301 =>
if (rdy_i = '1' and
zw_b3 = adr_nxt_pc_i (15 downto 8)) then
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= zw_b3 & adr_nxt_pc_i (7 downto 0);
end if;
when s302 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when RES =>
reg_sel_pc_in <= '0';
reg_sel_pc_val <= "00";
reg_sel_pc_as <= '0';
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s511 =>
if (rdy_i = '1' and
zw_REG_OP = X"E5") then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '0') then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"F5") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"ED") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"FD") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"F9") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"F1") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"E1") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '1') then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU2(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s559 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s562 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s567 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s568 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
end if;
when s569 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s570 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s571 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s572 =>
if (rdy_i = '1') then
sig_PC <= X"00" & d_alu_i;
zw_b1 <= d_i;
end if;
when s573 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU2(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= zw_b3 & zw_b1;
end if;
when s574 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
reg_F(3) = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU2(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s548 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s551 =>
sig_PC <= adr_sp_i;
when s552 =>
sig_PC <= adr_sp_i;
when s575 =>
if (rdy_i = '1') then
sig_PC <= X"FFFF";
zw_b1 <= d_i;
end if;
when s576 =>
sig_PC <= X"FFFE";
when s577 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_F(2) <= '1';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s532 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s533 =>
sig_PC <= adr_sp_i;
when s534 =>
sig_PC <= adr_sp_i;
when s535 =>
if (rdy_i = '1') then
sig_PC <= X"FFFB";
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
zw_b1 <= d_i;
end if;
when s536 =>
sig_PC <= X"FFFA";
when s537 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when others =>
null;
end case;
end if;
end process clocked_proc;
-----------------------------------------------------------------
nextstate_proc : process (
adr_nxt_pc_i,
current_state,
d_i,
irq_n_i,
nmi_i,
rdy_i,
reg_F,
zw_REG_OP,
zw_b2,
zw_b3
)
-----------------------------------------------------------------
begin
case current_state is
when FETCH =>
if ((nmi_i = '1') and (rdy_i = '1')) then
next_state <= s532;
elsif ((irq_n_i = '0' and
reg_F(2) = '0') and (rdy_i = '1')) then
next_state <= s548;
elsif ((d_i = X"69" or
d_i = X"65" or
d_i = X"75" or
d_i = X"6D" or
d_i = X"7D" or
d_i = X"79" or
d_i = X"61" or
d_i = X"71") and (rdy_i = '1')) then
next_state <= s510;
elsif ((d_i = X"06" or
d_i = X"16" or
d_i = X"0E" or
d_i = X"1E") and (rdy_i = '1')) then
next_state <= s403;
elsif ((d_i = X"90" or
d_i = X"B0" or
d_i = X"F0" or
d_i = X"30" or
d_i = X"D0" or
d_i = X"10" or
d_i = X"50" or
d_i = X"70") and (rdy_i = '1')) then
next_state <= s266;
elsif ((d_i = X"24" or
d_i = X"2C") and (rdy_i = '1')) then
next_state <= s351;
elsif ((d_i = X"00") and (rdy_i = '1')) then
next_state <= s526;
elsif ((d_i = X"18") and (rdy_i = '1')) then
next_state <= s12;
elsif ((d_i = X"D8") and (rdy_i = '1')) then
next_state <= s16;
elsif ((d_i = X"58") and (rdy_i = '1')) then
next_state <= s17;
elsif ((d_i = X"B8") and (rdy_i = '1')) then
next_state <= s24;
elsif ((d_i = X"E0" or
d_i = X"E4" or
d_i = X"EC") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"C0" or
d_i = X"C4" or
d_i = X"CC") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"C6" or
d_i = X"D6" or
d_i = X"CE" or
d_i = X"DE") and (rdy_i = '1')) then
next_state <= s226;
elsif ((d_i = X"CA") and (rdy_i = '1')) then
next_state <= s25;
elsif ((d_i = X"88") and (rdy_i = '1')) then
next_state <= s25;
elsif ((d_i = X"49" or
d_i = X"45" or
d_i = X"55" or
d_i = X"4D" or
d_i = X"5D" or
d_i = X"59" or
d_i = X"41" or
d_i = X"51" or
d_i = X"09" or
d_i = X"05" or
d_i = X"15" or
d_i = X"0D" or
d_i = X"1D" or
d_i = X"19" or
d_i = X"01" or
d_i = X"11" or
d_i = X"29" or
d_i = X"25" or
d_i = X"35" or
d_i = X"2D" or
d_i = X"3D" or
d_i = X"39" or
d_i = X"21" or
d_i = X"31" or
d_i = X"C9" or
d_i = X"C5" or
d_i = X"D5" or
d_i = X"CD" or
d_i = X"DD" or
d_i = X"D9" or
d_i = X"C1" or
d_i = X"D1") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"E6" or
d_i = X"F6" or
d_i = X"EE" or
d_i = X"FE") and (rdy_i = '1')) then
next_state <= s226;
elsif ((d_i = X"E8") and (rdy_i = '1')) then
next_state <= s25;
elsif ((d_i = X"C8") and (rdy_i = '1')) then
next_state <= s25;
elsif ((d_i = X"4C" or
d_i = X"6C") and (rdy_i = '1')) then
next_state <= s271;
elsif ((d_i = X"20") and (rdy_i = '1')) then
next_state <= s397;
elsif ((d_i = X"A9" or
d_i = X"A5" or
d_i = X"B5" or
d_i = X"AD" or
d_i = X"BD" or
d_i = X"B9" or
d_i = X"A1" or
d_i = X"B1") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"A2" or
d_i = X"A6" or
d_i = X"B6" or
d_i = X"AE" or
d_i = X"BE") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"A0" or
d_i = X"A4" or
d_i = X"B4" or
d_i = X"AC" or
d_i = X"BC") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"46" or
d_i = X"56" or
d_i = X"4E" or
d_i = X"5E") and (rdy_i = '1')) then
next_state <= s403;
elsif ((d_i = X"EA") and (rdy_i = '1')) then
next_state <= s1;
elsif ((d_i = X"48") and (rdy_i = '1')) then
next_state <= s377;
elsif ((d_i = X"08") and (rdy_i = '1')) then
next_state <= s378;
elsif ((d_i = X"68") and (rdy_i = '1')) then
next_state <= s379;
elsif ((d_i = X"28") and (rdy_i = '1')) then
next_state <= s380;
elsif ((d_i = X"26" or
d_i = X"36" or
d_i = X"2E" or
d_i = X"3E") and (rdy_i = '1')) then
next_state <= s403;
elsif ((d_i = X"66" or
d_i = X"76" or
d_i = X"6E" or
d_i = X"7E") and (rdy_i = '1')) then
next_state <= s403;
elsif ((d_i = X"40") and (rdy_i = '1')) then
next_state <= s387;
elsif ((d_i = X"60") and (rdy_i = '1')) then
next_state <= s390;
elsif ((d_i = X"E9" or
d_i = X"E5" or
d_i = X"F5" or
d_i = X"ED" or
d_i = X"FD" or
d_i = X"F9" or
d_i = X"E1" or
d_i = X"F1") and (rdy_i = '1')) then
next_state <= s511;
elsif ((d_i = X"38") and (rdy_i = '1')) then
next_state <= s2;
elsif ((d_i = X"F8") and (rdy_i = '1')) then
next_state <= s5;
elsif ((d_i = X"78") and (rdy_i = '1')) then
next_state <= s3;
elsif ((d_i = X"85" or
d_i = X"95" or
d_i = X"8D" or
d_i = X"9D" or
d_i = X"99" or
d_i = X"81" or
d_i = X"91") and (rdy_i = '1')) then
next_state <= s177;
elsif ((d_i = X"86" or
d_i = X"96" or
d_i = X"8E") and (rdy_i = '1')) then
next_state <= s177;
elsif ((d_i = X"84" or
d_i = X"94" or
d_i = X"8C") and (rdy_i = '1')) then
next_state <= s177;
elsif ((d_i = X"AA") and (rdy_i = '1')) then
next_state <= s4;
elsif ((d_i = X"0A") and (rdy_i = '1')) then
next_state <= s404;
elsif ((d_i = X"4A") and (rdy_i = '1')) then
next_state <= s556;
elsif ((d_i = X"2A") and (rdy_i = '1')) then
next_state <= s557;
elsif ((d_i = X"6A") and (rdy_i = '1')) then
next_state <= s579;
elsif ((d_i = X"A8") and (rdy_i = '1')) then
next_state <= s4;
elsif ((d_i = X"98") and (rdy_i = '1')) then
next_state <= s4;
elsif ((d_i = X"BA") and (rdy_i = '1')) then
next_state <= s4;
elsif ((d_i = X"8A") and (rdy_i = '1')) then
next_state <= s4;
elsif ((d_i = X"9A") and (rdy_i = '1')) then
next_state <= s4;
elsif (rdy_i = '1') then
next_state <= s1;
else
next_state <= FETCH;
end if;
when s1 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s1;
end if;
when s2 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s2;
end if;
when s5 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s5;
end if;
when s3 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s3;
end if;
when s4 =>
if (rdy_i = '1' and
zw_REG_OP = X"9A") then
next_state <= FETCH;
elsif (rdy_i = '1' and
zw_REG_OP = X"BA") then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s4;
end if;
when s12 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s12;
end if;
when s16 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s16;
end if;
when s17 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s17;
end if;
when s24 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s24;
end if;
when s25 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s25;
end if;
when s271 =>
if (rdy_i = '1' and
zw_REG_OP = X"4C") then
next_state <= s307;
elsif (rdy_i = '1' and
zw_REG_OP = X"6C") then
next_state <= s273;
else
next_state <= s271;
end if;
when s273 =>
if (rdy_i = '1') then
next_state <= s304;
else
next_state <= s273;
end if;
when s304 =>
if (rdy_i = '1') then
next_state <= s307;
else
next_state <= s304;
end if;
when s307 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s307;
end if;
when s177 =>
if (rdy_i = '1' and
(zw_REG_OP = X"85" OR
zw_REG_OP = X"86" OR
zw_REG_OP = X"84")) then
next_state <= s184;
elsif (rdy_i = '1' and
(zw_REG_OP = X"95" OR
zw_REG_OP = X"94")) then
next_state <= s185;
elsif (rdy_i = '1' and
(zw_REG_OP = X"8D" OR
zw_REG_OP = X"8E" OR
zw_REG_OP = X"8C")) then
next_state <= s183;
elsif (rdy_i = '1' and
zw_REG_OP = X"9D") then
next_state <= s182;
elsif (rdy_i = '1' and
zw_REG_OP = X"99") then
next_state <= s180;
elsif (rdy_i = '1' and
zw_REG_OP = X"91") then
next_state <= s181;
elsif (rdy_i = '1' and
zw_REG_OP = X"81") then
next_state <= s186;
elsif (rdy_i = '1' and
zw_REG_OP = X"96") then
next_state <= s185;
else
next_state <= s177;
end if;
when s180 =>
if (rdy_i = '1') then
next_state <= s191;
else
next_state <= s180;
end if;
when s181 =>
if (rdy_i = '1') then
next_state <= s189;
else
next_state <= s181;
end if;
when s182 =>
if (rdy_i = '1') then
next_state <= s191;
else
next_state <= s182;
end if;
when s183 =>
if (rdy_i = '1') then
next_state <= s187;
else
next_state <= s183;
end if;
when s184 =>
next_state <= FETCH;
when s185 =>
if (rdy_i = '1') then
next_state <= s190;
else
next_state <= s185;
end if;
when s186 =>
if (rdy_i = '1') then
next_state <= s188;
else
next_state <= s186;
end if;
when s187 =>
next_state <= FETCH;
when s188 =>
if (rdy_i = '1') then
next_state <= s192;
else
next_state <= s188;
end if;
when s189 =>
if (rdy_i = '1') then
next_state <= s191;
else
next_state <= s189;
end if;
when s190 =>
next_state <= FETCH;
when s191 =>
next_state <= s193;
when s192 =>
next_state <= s193;
when s193 =>
next_state <= FETCH;
when s377 =>
if (rdy_i = '1') then
next_state <= s381;
else
next_state <= s377;
end if;
when s381 =>
next_state <= FETCH;
when s378 =>
if (rdy_i = '1') then
next_state <= s382;
else
next_state <= s378;
end if;
when s382 =>
next_state <= FETCH;
when s379 =>
if (rdy_i = '1') then
next_state <= s383;
else
next_state <= s379;
end if;
when s383 =>
if (rdy_i = '1') then
next_state <= s384;
else
next_state <= s383;
end if;
when s384 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s384;
end if;
when s380 =>
if (rdy_i = '1') then
next_state <= s385;
else
next_state <= s380;
end if;
when s385 =>
if (rdy_i = '1') then
next_state <= s386;
else
next_state <= s385;
end if;
when s386 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s386;
end if;
when s387 =>
if (rdy_i = '1') then
next_state <= s388;
else
next_state <= s387;
end if;
when s388 =>
if (rdy_i = '1') then
next_state <= s389;
else
next_state <= s388;
end if;
when s389 =>
if (rdy_i = '1') then
next_state <= s391;
else
next_state <= s389;
end if;
when s391 =>
if (rdy_i = '1') then
next_state <= s392;
else
next_state <= s391;
end if;
when s392 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s392;
end if;
when s390 =>
if (rdy_i = '1') then
next_state <= s393;
else
next_state <= s390;
end if;
when s393 =>
if (rdy_i = '1') then
next_state <= s394;
else
next_state <= s393;
end if;
when s394 =>
if (rdy_i = '1') then
next_state <= s395;
else
next_state <= s394;
end if;
when s395 =>
if (rdy_i = '1') then
next_state <= s396;
else
next_state <= s395;
end if;
when s396 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s396;
end if;
when s397 =>
if (rdy_i = '1') then
next_state <= s398;
else
next_state <= s397;
end if;
when s398 =>
if (rdy_i = '1') then
next_state <= s399;
else
next_state <= s398;
end if;
when s399 =>
next_state <= s400;
when s400 =>
next_state <= s401;
when s401 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s401;
end if;
when s526 =>
if (rdy_i = '1') then
next_state <= s527;
else
next_state <= s526;
end if;
when s527 =>
next_state <= s528;
when s528 =>
next_state <= s529;
when s529 =>
next_state <= s531;
when s530 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s530;
end if;
when s531 =>
if (rdy_i = '1') then
next_state <= s530;
else
next_state <= s531;
end if;
when s544 =>
next_state <= s550;
when s545 =>
next_state <= s546;
when s546 =>
next_state <= s547;
when s547 =>
if (rdy_i = '1') then
next_state <= s549;
else
next_state <= s547;
end if;
when s549 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s549;
end if;
when s550 =>
next_state <= s545;
when s404 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s404;
end if;
when s556 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s556;
end if;
when s557 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s557;
end if;
when s579 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s579;
end if;
when s201 =>
if (rdy_i = '1' and
(zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then
next_state <= s224;
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
next_state <= FETCH;
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
next_state <= FETCH;
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
next_state <= FETCH;
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
next_state <= FETCH;
elsif (rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then
next_state <= FETCH;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B5" OR
zw_REG_OP = X"B4" OR
zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
zw_REG_OP = X"35" OR
zw_REG_OP = X"D5")) then
next_state <= s217;
elsif (rdy_i = '1' and
(zw_REG_OP = X"AD" OR
zw_REG_OP = X"AE" OR
zw_REG_OP = X"AC" OR
zw_REG_OP = X"4D" OR
zw_REG_OP = X"0D" OR
zw_REG_OP = X"2D" OR
zw_REG_OP = X"CD" OR
zw_REG_OP = X"EC" OR
zw_REG_OP = X"CC")) then
next_state <= s202;
elsif (rdy_i = '1' and
(zw_REG_OP = X"BD" OR
zw_REG_OP = X"BC" OR
zw_REG_OP = X"5D" OR
zw_REG_OP = X"1D" OR
zw_REG_OP = X"3D" OR
zw_REG_OP = X"DD")) then
next_state <= s210;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B9" OR
zw_REG_OP = X"BE" OR
zw_REG_OP = X"59" OR
zw_REG_OP = X"19" OR
zw_REG_OP = X"39" OR
zw_REG_OP = X"D9")) then
next_state <= s211;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B1" OR
zw_REG_OP = X"51" OR
zw_REG_OP = X"11" OR
zw_REG_OP = X"31" OR
zw_REG_OP = X"D1")) then
next_state <= s215;
elsif (rdy_i = '1' and
(zw_REG_OP = X"A1" OR
zw_REG_OP = X"41" OR
zw_REG_OP = X"01" OR
zw_REG_OP = X"21" OR
zw_REG_OP = X"C1")) then
next_state <= s218;
elsif (rdy_i = '1' and
zw_REG_OP = X"B6") then
next_state <= s217;
else
next_state <= s201;
end if;
when s202 =>
if (rdy_i = '1') then
next_state <= s224;
else
next_state <= s202;
end if;
when s210 =>
if (rdy_i = '1') then
next_state <= s225;
else
next_state <= s210;
end if;
when s211 =>
if (rdy_i = '1') then
next_state <= s225;
else
next_state <= s211;
end if;
when s215 =>
if (rdy_i = '1') then
next_state <= s223;
else
next_state <= s215;
end if;
when s217 =>
if (rdy_i = '1') then
next_state <= s224;
else
next_state <= s217;
end if;
when s218 =>
if (rdy_i = '1') then
next_state <= s222;
else
next_state <= s218;
end if;
when s222 =>
if (rdy_i = '1') then
next_state <= s202;
else
next_state <= s222;
end if;
when s223 =>
if (rdy_i = '1') then
next_state <= s225;
else
next_state <= s223;
end if;
when s224 =>
if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
next_state <= FETCH;
elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
next_state <= FETCH;
elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
next_state <= FETCH;
elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s224;
end if;
when s225 =>
if ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
next_state <= FETCH;
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
next_state <= FETCH;
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
next_state <= FETCH;
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
next_state <= FETCH;
elsif (rdy_i = '1' AND
zw_b2(0) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= s224;
else
next_state <= s225;
end if;
when s226 =>
if (rdy_i = '1' and
(zw_REG_OP = X"C6" OR
zw_REG_OP = X"E6")) then
next_state <= s343;
elsif (rdy_i = '1' and
(zw_REG_OP = X"D6" OR
zw_REG_OP = X"F6")) then
next_state <= s247;
elsif (rdy_i = '1' and
(zw_REG_OP = X"CE" OR
zw_REG_OP = X"EE")) then
next_state <= s243;
elsif (rdy_i = '1' and
(zw_REG_OP = X"DE" OR
zw_REG_OP = X"FE")) then
next_state <= s244;
else
next_state <= s226;
end if;
when s243 =>
if (rdy_i = '1') then
next_state <= s343;
else
next_state <= s243;
end if;
when s244 =>
if (rdy_i = '1') then
next_state <= s344;
else
next_state <= s244;
end if;
when s247 =>
if (rdy_i = '1') then
next_state <= s343;
else
next_state <= s247;
end if;
when s344 =>
if (rdy_i = '1') then
next_state <= s343;
else
next_state <= s344;
end if;
when s343 =>
if (rdy_i = '1') then
next_state <= s250;
else
next_state <= s343;
end if;
when s250 =>
if (rdy_i = '1') then
next_state <= s251;
else
next_state <= s250;
end if;
when s251 =>
next_state <= FETCH;
when s351 =>
if (rdy_i = '1' and
zw_REG_OP = X"24") then
next_state <= s361;
elsif (rdy_i = '1' and
zw_REG_OP = X"2C") then
next_state <= s360;
else
next_state <= s351;
end if;
when s361 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s361;
end if;
when s360 =>
if (rdy_i = '1') then
next_state <= s361;
else
next_state <= s360;
end if;
when s403 =>
if (rdy_i = '1' and
(zw_REG_OP = X"1E" or
zw_REG_OP = X"7E" or
zw_REG_OP = X"3E" or
zw_REG_OP = X"5E")) then
next_state <= s407;
elsif (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"66" or
zw_REG_OP = X"26" or
zw_REG_OP = X"46")) then
next_state <= s413;
elsif (rdy_i = '1' and
(zw_REG_OP = X"16" or
zw_REG_OP = X"76" or
zw_REG_OP = X"36" or
zw_REG_OP = X"56")) then
next_state <= s409;
elsif (rdy_i = '1' and
(zw_REG_OP = X"0E" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"4E")) then
next_state <= s406;
else
next_state <= s403;
end if;
when s406 =>
if (rdy_i = '1') then
next_state <= s413;
else
next_state <= s406;
end if;
when s407 =>
if (rdy_i = '1') then
next_state <= s412;
else
next_state <= s407;
end if;
when s409 =>
if (rdy_i = '1') then
next_state <= s413;
else
next_state <= s409;
end if;
when s412 =>
if (rdy_i = '1') then
next_state <= s413;
else
next_state <= s412;
end if;
when s413 =>
if (rdy_i = '1') then
next_state <= s416;
else
next_state <= s413;
end if;
when s416 =>
if (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"16" or
zw_REG_OP = X"0E" or
zw_REG_OP = X"1E")) then
next_state <= s418;
elsif (rdy_i = '1' and
(zw_REG_OP = X"46" or
zw_REG_OP = X"56" or
zw_REG_OP = X"4E" or
zw_REG_OP = X"5E")) then
next_state <= s418;
elsif (rdy_i = '1' and
(zw_REG_OP = X"26" or
zw_REG_OP = X"36" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"3E")) then
next_state <= s418;
elsif (rdy_i = '1' and
(zw_REG_OP = X"66" or
zw_REG_OP = X"76" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"7E")) then
next_state <= s418;
else
next_state <= s416;
end if;
when s418 =>
next_state <= FETCH;
when s510 =>
if (rdy_i = '1' and
zw_REG_OP = X"65") then
next_state <= s565;
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' and
zw_REG_OP = X"75") then
next_state <= s560;
elsif (rdy_i = '1' and
zw_REG_OP = X"6D") then
next_state <= s553;
elsif (rdy_i = '1' and
zw_REG_OP = X"7D") then
next_state <= s555;
elsif (rdy_i = '1' and
zw_REG_OP = X"79") then
next_state <= s555;
elsif (rdy_i = '1' and
zw_REG_OP = X"71") then
next_state <= s558;
elsif (rdy_i = '1' and
zw_REG_OP = X"61") then
next_state <= s561;
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '1') then
next_state <= FETCH;
else
next_state <= s510;
end if;
when s553 =>
if (rdy_i = '1') then
next_state <= s565;
else
next_state <= s553;
end if;
when s555 =>
if (rdy_i = '1') then
next_state <= s564;
else
next_state <= s555;
end if;
when s558 =>
if (rdy_i = '1') then
next_state <= s566;
else
next_state <= s558;
end if;
when s560 =>
if (rdy_i = '1') then
next_state <= s565;
else
next_state <= s560;
end if;
when s561 =>
if (rdy_i = '1') then
next_state <= s563;
else
next_state <= s561;
end if;
when s563 =>
if (rdy_i = '1') then
next_state <= s553;
else
next_state <= s563;
end if;
when s564 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= s565;
else
next_state <= s564;
end if;
when s565 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' and
reg_F(3) = '1') then
next_state <= FETCH;
else
next_state <= s565;
end if;
when s566 =>
if (rdy_i = '1') then
next_state <= s564;
else
next_state <= s566;
end if;
when s266 =>
if (rdy_i = '1' and (
(reg_F(0) = '1' and zw_REG_OP = X"90") or
(reg_F(0) = '0' and zw_REG_OP = X"B0") or
(reg_F(1) = '0' and zw_REG_OP = X"F0") or
(reg_F(7) = '0' and zw_REG_OP = X"30") or
(reg_F(1) = '1' and zw_REG_OP = X"D0") or
(reg_F(7) = '1' and zw_REG_OP = X"10") or
(reg_F(6) = '1' and zw_REG_OP = X"50") or
(reg_F(6) = '0' and zw_REG_OP = X"70"))) then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= s301;
else
next_state <= s266;
end if;
when s301 =>
if (rdy_i = '1' and
zw_b3 = adr_nxt_pc_i (15 downto 8)) then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= s302;
else
next_state <= s301;
end if;
when s302 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s302;
end if;
when RES =>
next_state <= s544;
when s511 =>
if (rdy_i = '1' and
zw_REG_OP = X"E5") then
next_state <= s574;
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' and
zw_REG_OP = X"F5") then
next_state <= s569;
elsif (rdy_i = '1' and
zw_REG_OP = X"ED") then
next_state <= s559;
elsif (rdy_i = '1' and
zw_REG_OP = X"FD") then
next_state <= s562;
elsif (rdy_i = '1' and
zw_REG_OP = X"F9") then
next_state <= s567;
elsif (rdy_i = '1' and
zw_REG_OP = X"F1") then
next_state <= s568;
elsif (rdy_i = '1' and
zw_REG_OP = X"E1") then
next_state <= s570;
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '1') then
next_state <= FETCH;
else
next_state <= s511;
end if;
when s559 =>
if (rdy_i = '1') then
next_state <= s574;
else
next_state <= s559;
end if;
when s562 =>
if (rdy_i = '1') then
next_state <= s573;
else
next_state <= s562;
end if;
when s567 =>
if (rdy_i = '1') then
next_state <= s573;
else
next_state <= s567;
end if;
when s568 =>
if (rdy_i = '1') then
next_state <= s571;
else
next_state <= s568;
end if;
when s569 =>
if (rdy_i = '1') then
next_state <= s574;
else
next_state <= s569;
end if;
when s570 =>
if (rdy_i = '1') then
next_state <= s572;
else
next_state <= s570;
end if;
when s571 =>
if (rdy_i = '1') then
next_state <= s573;
else
next_state <= s571;
end if;
when s572 =>
if (rdy_i = '1') then
next_state <= s559;
else
next_state <= s572;
end if;
when s573 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= s574;
else
next_state <= s573;
end if;
when s574 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' and
reg_F(3) = '1') then
next_state <= FETCH;
else
next_state <= s574;
end if;
when s548 =>
if (rdy_i = '1') then
next_state <= s551;
else
next_state <= s548;
end if;
when s551 =>
next_state <= s552;
when s552 =>
next_state <= s576;
when s575 =>
if (rdy_i = '1') then
next_state <= s577;
else
next_state <= s575;
end if;
when s576 =>
next_state <= s575;
when s577 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s577;
end if;
when s532 =>
if (rdy_i = '1') then
next_state <= s533;
else
next_state <= s532;
end if;
when s533 =>
next_state <= s534;
when s534 =>
next_state <= s536;
when s535 =>
if (rdy_i = '1') then
next_state <= s537;
else
next_state <= s535;
end if;
when s536 =>
next_state <= s535;
when s537 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s537;
end if;
when others =>
next_state <= RES;
end case;
end process nextstate_proc;
-----------------------------------------------------------------
output_proc : process (
adr_nxt_pc_i,
adr_pc_i,
adr_sp_i,
current_state,
d_alu_i,
d_i,
d_regs_out_i,
irq_n_i,
nmi_i,
q_a_i,
q_x_i,
q_y_i,
rdy_i,
reg_F,
reg_sel_pc_as,
reg_sel_pc_in,
reg_sel_pc_val,
reg_sel_rb_in,
reg_sel_rb_out,
reg_sel_reg,
reg_sel_sp_as,
reg_sel_sp_in,
sig_PC,
zw_ALU,
zw_ALU1,
zw_ALU2,
zw_ALU3,
zw_ALU4,
zw_ALU5,
zw_ALU6,
zw_REG_OP,
zw_b1,
zw_b2,
zw_b3,
zw_b4,
zw_w1
)
-----------------------------------------------------------------
begin
-- Default Assignment
a_o <= sig_PC;
adr_o <= X"0000";
ch_a_o <= X"00";
ch_b_o <= X"00";
d_regs_in_o <= X"00";
fetch_o <= '0';
ld_o <= "00";
ld_pc_o <= '0';
ld_sp_o <= '0';
load_regs_o <= '0';
offset_o <= X"0000";
sel_pc_as_o <= reg_sel_pc_as;
sel_pc_in_o <= reg_sel_pc_in;
sel_pc_val_o <= reg_sel_pc_val;
sel_rb_in_o <= reg_sel_rb_in;
sel_rb_out_o <= reg_sel_rb_out;
sel_reg_o <= reg_sel_reg;
sel_sp_as_o <= reg_sel_sp_as;
sel_sp_in_o <= reg_sel_sp_in;
-- Default Assignment To Internals
sig_D_OUT <= X"00";
sig_RD <= '1';
sig_RWn <= '1';
sig_SYNC <= '0';
sig_WR <= '0';
zw_ALU <= '0' & X"00";
zw_ALU1 <= '0' & X"00";
zw_ALU2 <= '0' & X"00";
zw_ALU3 <= '0' & X"00";
zw_ALU4 <= '0' & X"00";
zw_ALU5 <= '0' & X"00";
zw_ALU6 <= '0' & X"00";
-- Combined Actions
case current_state is
when FETCH =>
sig_RWn <= '1';
sig_RD <= '1';
sig_SYNC <= NOT (rdy_i);
if ((nmi_i = '1') and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((irq_n_i = '0' and
reg_F(2) = '0') and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"69" or
d_i = X"65" or
d_i = X"75" or
d_i = X"6D" or
d_i = X"7D" or
d_i = X"79" or
d_i = X"61" or
d_i = X"71") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"06" or
d_i = X"16" or
d_i = X"0E" or
d_i = X"1E") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"90" or
d_i = X"B0" or
d_i = X"F0" or
d_i = X"30" or
d_i = X"D0" or
d_i = X"10" or
d_i = X"50" or
d_i = X"70") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"24" or
d_i = X"2C") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"00") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"18") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"D8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"58") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"B8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"E0" or
d_i = X"E4" or
d_i = X"EC") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"C0" or
d_i = X"C4" or
d_i = X"CC") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"C6" or
d_i = X"D6" or
d_i = X"CE" or
d_i = X"DE") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"CA") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"88") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"49" or
d_i = X"45" or
d_i = X"55" or
d_i = X"4D" or
d_i = X"5D" or
d_i = X"59" or
d_i = X"41" or
d_i = X"51" or
d_i = X"09" or
d_i = X"05" or
d_i = X"15" or
d_i = X"0D" or
d_i = X"1D" or
d_i = X"19" or
d_i = X"01" or
d_i = X"11" or
d_i = X"29" or
d_i = X"25" or
d_i = X"35" or
d_i = X"2D" or
d_i = X"3D" or
d_i = X"39" or
d_i = X"21" or
d_i = X"31" or
d_i = X"C9" or
d_i = X"C5" or
d_i = X"D5" or
d_i = X"CD" or
d_i = X"DD" or
d_i = X"D9" or
d_i = X"C1" or
d_i = X"D1") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"E6" or
d_i = X"F6" or
d_i = X"EE" or
d_i = X"FE") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"E8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"C8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"4C" or
d_i = X"6C") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"20") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"A9" or
d_i = X"A5" or
d_i = X"B5" or
d_i = X"AD" or
d_i = X"BD" or
d_i = X"B9" or
d_i = X"A1" or
d_i = X"B1") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"A2" or
d_i = X"A6" or
d_i = X"B6" or
d_i = X"AE" or
d_i = X"BE") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"A0" or
d_i = X"A4" or
d_i = X"B4" or
d_i = X"AC" or
d_i = X"BC") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"46" or
d_i = X"56" or
d_i = X"4E" or
d_i = X"5E") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"EA") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"48") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"08") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"68") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"28") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"26" or
d_i = X"36" or
d_i = X"2E" or
d_i = X"3E") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"66" or
d_i = X"76" or
d_i = X"6E" or
d_i = X"7E") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"40") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"60") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"E9" or
d_i = X"E5" or
d_i = X"F5" or
d_i = X"ED" or
d_i = X"FD" or
d_i = X"F9" or
d_i = X"E1" or
d_i = X"F1") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"38") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"F8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"78") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"85" or
d_i = X"95" or
d_i = X"8D" or
d_i = X"9D" or
d_i = X"99" or
d_i = X"81" or
d_i = X"91") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"86" or
d_i = X"96" or
d_i = X"8E") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"84" or
d_i = X"94" or
d_i = X"8C") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"AA") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"0A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"4A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"2A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"6A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"A8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"98") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"BA") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"8A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"9A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s1 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s2 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s5 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s3 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s4 =>
if (rdy_i = '1' and
zw_REG_OP = X"9A") then
adr_o <= X"01" & d_regs_out_i;
ld_o <= "11";
ld_sp_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"BA") then
d_regs_in_o <= adr_sp_i (7 downto 0);
ch_a_o <= adr_sp_i (7 downto 0);
ch_b_o <= X"00";
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1') then
ch_a_o <= d_regs_out_i;
ch_b_o <= X"00";
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s12 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s16 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s17 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s24 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s25 =>
if (rdy_i = '1') then
d_regs_in_o <= d_alu_i;
ch_a_o <= d_regs_out_i;
ch_b_o <= zw_b4;
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s273 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s307 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s177 =>
if (rdy_i = '1' and
(zw_REG_OP = X"85" OR
zw_REG_OP = X"86" OR
zw_REG_OP = X"84")) then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= d_regs_out_i;
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"95" OR
zw_REG_OP = X"94")) then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"8D" OR
zw_REG_OP = X"8E" OR
zw_REG_OP = X"8C")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"9D") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"99") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_y_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"91") then
ch_a_o <= d_i;
ch_b_o <= X"01";
elsif (rdy_i = '1' and
zw_REG_OP = X"81") then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"96") then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s180 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s181 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s182 =>
sig_RWn <= '1';
sig_RD <= '1';
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s183 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= d_regs_out_i;
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s184 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s185 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= d_regs_out_i;
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s187 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s188 =>
if (rdy_i = '1') then
ch_a_o <= zw_b1;
ch_b_o <= X"01";
end if;
when s189 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s190 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s191 =>
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= d_regs_out_i;
when s192 =>
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= d_regs_out_i;
ld_o <= "11";
ld_pc_o <= '1';
when s193 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s377 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= q_a_i;
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s381 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s378 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= reg_F;
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s382 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s379 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s384 =>
if (rdy_i = '1') then
d_regs_in_o <= d_i;
load_regs_o <= '1';
ch_a_o <= d_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s380 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s386 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s387 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s388 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s389 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s392 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s390 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s393 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s395 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s396 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s397 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
ld_pc_o <= '1';
end if;
when s398 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (15 downto 8);
end if;
when s399 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (7 downto 0);
when s401 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s526 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
ld_pc_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (15 downto 8);
end if;
when s527 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (7 downto 0);
when s528 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= reg_F OR X"10";
when s530 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s544 =>
ld_o <= "11";
ld_sp_o <= '1';
when s545 =>
adr_o <= X"FFFB";
ld_o <= "11";
ld_pc_o <= '1';
when s546 =>
ld_o <= "11";
ld_pc_o <= '1';
when s549 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_w1 (7 downto 0);
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s550 =>
ld_o <= "11";
ld_sp_o <= '1';
when s404 =>
if (rdy_i = '1') then
ch_a_o <= q_a_i (6 downto 0) & '0';
ch_b_o <= X"00";
d_regs_in_o <= q_a_i (6 downto 0) & '0';
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s556 =>
if (rdy_i = '1') then
ch_a_o <= '0' & q_a_i (7 downto 1);
ch_b_o <= X"00";
d_regs_in_o <= '0' & q_a_i (7 downto 1);
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s557 =>
if (rdy_i = '1') then
ch_a_o <= q_a_i (6 downto 0) & reg_F(0);
ch_b_o <= X"00";
d_regs_in_o <= q_a_i (6 downto 0) & reg_F(0);
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s579 =>
if (rdy_i = '1') then
ch_a_o <= reg_F(0) & q_a_i (7 downto 1);
ch_b_o <= X"00";
d_regs_in_o <= reg_F(0) & q_a_i (7 downto 1);
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s201 =>
if (rdy_i = '1' and
(zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= d_i OR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i OR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= d_i XOR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i XOR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= d_i AND q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i AND q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
ld_o <= "11";
ld_pc_o <= '1';
zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= d_i;
load_regs_o <= '1';
ch_a_o <= d_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"B5" OR
zw_REG_OP = X"B4" OR
zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
zw_REG_OP = X"35" OR
zw_REG_OP = X"D5")) then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"AD" OR
zw_REG_OP = X"AE" OR
zw_REG_OP = X"AC" OR
zw_REG_OP = X"4D" OR
zw_REG_OP = X"0D" OR
zw_REG_OP = X"2D" OR
zw_REG_OP = X"CD" OR
zw_REG_OP = X"EC" OR
zw_REG_OP = X"CC")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"BD" OR
zw_REG_OP = X"BC" OR
zw_REG_OP = X"5D" OR
zw_REG_OP = X"1D" OR
zw_REG_OP = X"3D" OR
zw_REG_OP = X"DD")) then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B9" OR
zw_REG_OP = X"BE" OR
zw_REG_OP = X"59" OR
zw_REG_OP = X"19" OR
zw_REG_OP = X"39" OR
zw_REG_OP = X"D9")) then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_y_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B1" OR
zw_REG_OP = X"51" OR
zw_REG_OP = X"11" OR
zw_REG_OP = X"31" OR
zw_REG_OP = X"D1")) then
ch_a_o <= d_i;
ch_b_o <= X"01";
elsif (rdy_i = '1' and
(zw_REG_OP = X"A1" OR
zw_REG_OP = X"41" OR
zw_REG_OP = X"01" OR
zw_REG_OP = X"21" OR
zw_REG_OP = X"C1")) then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"B6") then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s202 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s210 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s211 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s215 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s217 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s222 =>
if (rdy_i = '1') then
ch_a_o <= zw_b1;
ch_b_o <= X"01";
end if;
when s223 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s224 =>
if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
d_regs_in_o <= d_i OR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i OR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
d_regs_in_o <= d_i XOR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i XOR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
d_regs_in_o <= d_i AND q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i AND q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1') then
d_regs_in_o <= d_i;
load_regs_o <= '1';
ch_a_o <= d_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s225 =>
if ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
d_regs_in_o <= d_i OR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i OR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
d_regs_in_o <= d_i XOR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i XOR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
d_regs_in_o <= d_i AND q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i AND q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0') then
d_regs_in_o <= d_i;
load_regs_o <= '1';
ch_a_o <= d_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s226 =>
if (rdy_i = '1' and
(zw_REG_OP = X"C6" OR
zw_REG_OP = X"E6")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"D6" OR
zw_REG_OP = X"F6")) then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"CE" OR
zw_REG_OP = X"EE")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"DE" OR
zw_REG_OP = X"FE")) then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
end if;
when s243 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s244 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s247 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s343 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= zw_b4;
end if;
when s250 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= zw_b1;
end if;
when s251 =>
ch_a_o <= zw_b1;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
when s351 =>
if (rdy_i = '1' and
zw_REG_OP = X"24") then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"2C") then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s361 =>
if (rdy_i = '1') then
ch_a_o <= q_a_i AND d_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s360 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s403 =>
if (rdy_i = '1' and
(zw_REG_OP = X"1E" or
zw_REG_OP = X"7E" or
zw_REG_OP = X"3E" or
zw_REG_OP = X"5E")) then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"66" or
zw_REG_OP = X"26" or
zw_REG_OP = X"46")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"16" or
zw_REG_OP = X"76" or
zw_REG_OP = X"36" or
zw_REG_OP = X"56")) then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"0E" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"4E")) then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s406 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s407 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s409 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s416 =>
if (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"16" or
zw_REG_OP = X"0E" or
zw_REG_OP = X"1E")) then
sig_D_OUT <= d_i(6 downto 0) & '0';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"46" or
zw_REG_OP = X"56" or
zw_REG_OP = X"4E" or
zw_REG_OP = X"5E")) then
sig_D_OUT <= '0' & d_i(7 downto 1);
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"26" or
zw_REG_OP = X"36" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"3E")) then
sig_D_OUT <= d_i(6 downto 0) & reg_F(0);
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"66" or
zw_REG_OP = X"76" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"7E")) then
sig_D_OUT <= reg_F(0) & d_i(7 downto 1);
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
end if;
when s418 =>
ch_a_o <= zw_b1;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
when s510 =>
if (rdy_i = '1' and
zw_REG_OP = X"65") then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '0') then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"75") then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"6D") then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"7D") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"79") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_y_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"71") then
ch_a_o <= d_i;
ch_b_o <= X"01";
elsif (rdy_i = '1' and
zw_REG_OP = X"61") then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '1') then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(7 downto 5));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(7 downto 5));
zw_ALU6(7 downto 5) <= (zw_ALU2(4) OR zw_ALU4(4)) & (zw_ALU2(4) OR zw_ALU4(4)) & '0';
zw_ALU5(7 downto 5) <= (zw_ALU1(4) OR zw_ALU3(4)) & (zw_ALU1(4) OR zw_ALU3(4)) & '0';
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & d_i(3 downto 0)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s553 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s555 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= X"01";
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s558 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s560 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s563 =>
if (rdy_i = '1') then
ch_a_o <= zw_b1;
ch_b_o <= X"01";
end if;
when s564 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(7 downto 5));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(7 downto 5));
zw_ALU6(7 downto 5) <= (zw_ALU2(4) OR zw_ALU4(4)) & (zw_ALU2(4) OR zw_ALU4(4)) & '0';
zw_ALU5(7 downto 5) <= (zw_ALU1(4) OR zw_ALU3(4)) & (zw_ALU1(4) OR zw_ALU3(4)) & '0';
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & d_i(3 downto 0)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s565 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
reg_F(3) = '1') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(7 downto 5));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(7 downto 5));
zw_ALU6(7 downto 5) <= (zw_ALU2(4) OR zw_ALU4(4)) & (zw_ALU2(4) OR zw_ALU4(4)) & '0';
zw_ALU5(7 downto 5) <= (zw_ALU1(4) OR zw_ALU3(4)) & (zw_ALU1(4) OR zw_ALU3(4)) & '0';
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & d_i(3 downto 0)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s566 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= X"01";
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s266 =>
if (rdy_i = '1' and (
(reg_F(0) = '1' and zw_REG_OP = X"90") or
(reg_F(0) = '0' and zw_REG_OP = X"B0") or
(reg_F(1) = '0' and zw_REG_OP = X"F0") or
(reg_F(7) = '0' and zw_REG_OP = X"30") or
(reg_F(1) = '1' and zw_REG_OP = X"D0") or
(reg_F(7) = '1' and zw_REG_OP = X"10") or
(reg_F(6) = '1' and zw_REG_OP = X"50") or
(reg_F(6) = '0' and zw_REG_OP = X"70"))) then
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s301 =>
if (rdy_i = '1' and
zw_b3 = adr_nxt_pc_i (15 downto 8)) then
offset_o <= (zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) &
zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(6 downto 0));
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1') then
offset_o <= (zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) &
zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(6 downto 0));
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s302 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when RES =>
sig_RWn <= '1';
sig_RD <= '1';
ld_o <= "11";
ld_pc_o <= '1';
ld_sp_o <= '1';
sig_RWn <= '1';
sig_RD <= '1';
when s511 =>
if (rdy_i = '1' and
zw_REG_OP = X"E5") then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '0') then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"F5") then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"ED") then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"FD") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"F9") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_y_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"F1") then
ch_a_o <= d_i;
ch_b_o <= X"01";
elsif (rdy_i = '1' and
zw_REG_OP = X"E1") then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '1') then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) +
unsigned ((zw_ALU6(8 downto 5)));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) +
unsigned ((zw_ALU5(8 downto 5)));
zw_ALU6(8 downto 5) <= (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0' &
(zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0';
zw_ALU5(8 downto 5) <= (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' &
(zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' ;
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & NOT (d_i(3 downto 0))) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s559 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s562 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= X"01";
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s567 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= X"01";
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s568 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s569 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s571 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= X"01";
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s572 =>
if (rdy_i = '1') then
ch_a_o <= zw_b1;
ch_b_o <= X"01";
end if;
when s573 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) +
unsigned ((zw_ALU6(8 downto 5)));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) +
unsigned ((zw_ALU5(8 downto 5)));
zw_ALU6(8 downto 5) <= (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0' &
(zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0';
zw_ALU5(8 downto 5) <= (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' &
(zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' ;
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & NOT (d_i(3 downto 0))) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s574 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
reg_F(3) = '1') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) +
unsigned ((zw_ALU6(8 downto 5)));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) +
unsigned ((zw_ALU5(8 downto 5)));
zw_ALU6(8 downto 5) <= (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0' &
(zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0';
zw_ALU5(8 downto 5) <= (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' &
(zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' ;
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & NOT (d_i(3 downto 0))) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s548 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
ld_pc_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (15 downto 8);
end if;
when s551 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (7 downto 0);
when s552 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= reg_F;
when s577 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s532 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
ld_pc_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (15 downto 8);
end if;
when s533 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (7 downto 0);
when s534 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= reg_F;
when s537 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when others =>
null;
end case;
end process output_proc;
-- Concurrent Statements
-- Clocked output assignments
d_o <= d_o_cld;
rd_o <= rd_o_cld;
sync_o <= sync_o_cld;
wr_n_o <= wr_n_o_cld;
wr_o <= wr_o_cld;
end fsm;
|
-- VHDL Entity R6502_TC.FSM_Execution_Unit.symbol
--
-- Created:
-- by - eda.UNKNOWN (ENTWICKL4-XP-PR)
-- at - 22:42:53 04.01.2009
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
entity FSM_Execution_Unit is
port(
adr_nxt_pc_i : in std_logic_vector (15 downto 0);
adr_pc_i : in std_logic_vector (15 downto 0);
adr_sp_i : in std_logic_vector (15 downto 0);
clk_clk_i : in std_logic;
d_alu_i : in std_logic_vector ( 7 downto 0 );
d_i : in std_logic_vector ( 7 downto 0 );
d_regs_out_i : in std_logic_vector ( 7 downto 0 );
irq_n_i : in std_logic;
nmi_i : in std_logic;
q_a_i : in std_logic_vector ( 7 downto 0 );
q_x_i : in std_logic_vector ( 7 downto 0 );
q_y_i : in std_logic_vector ( 7 downto 0 );
rdy_i : in std_logic;
reg_0flag_i : in std_logic;
reg_1flag_i : in std_logic;
reg_7flag_i : in std_logic;
rst_rst_n_i : in std_logic;
so_n_i : in std_logic;
a_o : out std_logic_vector (15 downto 0);
adr_o : out std_logic_vector (15 downto 0);
ch_a_o : out std_logic_vector ( 7 downto 0 );
ch_b_o : out std_logic_vector ( 7 downto 0 );
d_o : out std_logic_vector ( 7 downto 0 );
d_regs_in_o : out std_logic_vector ( 7 downto 0 );
fetch_o : out std_logic;
ld_o : out std_logic_vector ( 1 downto 0 );
ld_pc_o : out std_logic;
ld_sp_o : out std_logic;
load_regs_o : out std_logic;
offset_o : out std_logic_vector ( 15 downto 0 );
rd_o : out std_logic;
sel_pc_as_o : out std_logic;
sel_pc_in_o : out std_logic;
sel_pc_val_o : out std_logic_vector ( 1 downto 0 );
sel_rb_in_o : out std_logic_vector ( 1 downto 0 );
sel_rb_out_o : out std_logic_vector ( 1 downto 0 );
sel_reg_o : out std_logic_vector ( 1 downto 0 );
sel_sp_as_o : out std_logic;
sel_sp_in_o : out std_logic;
sync_o : out std_logic;
wr_n_o : out std_logic;
wr_o : out std_logic
);
-- Declarations
end FSM_Execution_Unit ;
-- Jens-D. Gutschmidt Project: R6502_TC
-- [email protected]
-- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG
--
-- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or any later version.
--
-- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- CVS Revisins History
--
-- $Log: not supported by cvs2svn $
-- <<-- more -->>
-- Title: FSM Execution Unit for all op codes
-- Path: R6502_TC/FSM_Execution_Unit/fsm
-- Edited: by eda on 04 Jan 2009
--
-- VHDL Architecture R6502_TC.FSM_Execution_Unit.fsm
--
-- Created:
-- by - eda.UNKNOWN (ENTWICKL4-XP-PR)
-- at - 22:42:55 04.01.2009
--
-- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13)
--
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
architecture fsm of FSM_Execution_Unit is
-- Architecture Declarations
signal reg_F : std_logic_vector( 7 DOWNTO 0 );
signal reg_PC : std_logic_vector(15 DOWNTO 0);
signal reg_PC1 : std_logic_vector( 15 DOWNTO 0 );
signal reg_sel_pc_as : std_logic;
signal reg_sel_pc_in : std_logic;
signal reg_sel_pc_val : std_logic_vector( 1 DOWNTO 0 );
signal reg_sel_rb_in : std_logic_vector( 1 DOWNTO 0 );
signal reg_sel_rb_out : std_logic_vector( 1 DOWNTO 0 );
signal reg_sel_reg : std_logic_vector( 1 DOWNTO 0 );
signal reg_sel_sp_as : std_logic;
signal reg_sel_sp_in : std_logic;
signal sig_D_OUT : std_logic_vector( 7 DOWNTO 0 );
signal sig_PC : std_logic_vector(15 DOWNTO 0);
signal sig_RD : std_logic;
signal sig_RWn : std_logic;
signal sig_SYNC : std_logic;
signal sig_WR : std_logic;
signal zw_ALU : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU1 : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU2 : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU3 : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU4 : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU5 : std_logic_vector( 8 DOWNTO 0 );
signal zw_ALU6 : std_logic_vector( 8 DOWNTO 0 );
signal zw_PC : std_logic_vector( 15 DOWNTO 0 );
signal zw_REG_ALU : std_logic_vector( 8 DOWNTO 0 );
signal zw_REG_NMI : std_logic;
signal zw_REG_OP : std_logic_vector( 7 DOWNTO 0 );
signal zw_REG_sig_PC : std_logic_vector(15 DOWNTO 0);
signal zw_b1 : std_logic_vector( 7 DOWNTO 0 );
signal zw_b2 : std_logic_vector( 7 DOWNTO 0 );
signal zw_b3 : std_logic_vector( 7 DOWNTO 0 );
signal zw_b4 : std_logic_vector( 7 DOWNTO 0 );
signal zw_so : std_logic;
signal zw_w1 : std_logic_vector( 15 DOWNTO 0 );
signal zw_w2 : std_logic_vector( 15 DOWNTO 0 );
signal zw_w3 : std_logic_vector( 15 DOWNTO 0 );
subtype state_type is
std_logic_vector(7 downto 0);
-- State vector declaration
attribute state_vector : string;
attribute state_vector of fsm : architecture is "current_state";
-- Hard encoding
constant FETCH : state_type := "00000000";
constant s1 : state_type := "00000001";
constant s2 : state_type := "00000011";
constant s5 : state_type := "00000010";
constant s3 : state_type := "00000110";
constant s4 : state_type := "00000111";
constant s12 : state_type := "00000101";
constant s16 : state_type := "00000100";
constant s17 : state_type := "00001100";
constant s24 : state_type := "00001101";
constant s25 : state_type := "00001111";
constant s271 : state_type := "00001110";
constant s273 : state_type := "00001010";
constant s304 : state_type := "00001011";
constant s307 : state_type := "00001001";
constant s177 : state_type := "00001000";
constant s180 : state_type := "00011000";
constant s181 : state_type := "00011001";
constant s182 : state_type := "00011011";
constant s183 : state_type := "00011010";
constant s184 : state_type := "00011110";
constant s185 : state_type := "00011111";
constant s186 : state_type := "00011101";
constant s187 : state_type := "00011100";
constant s188 : state_type := "00010100";
constant s189 : state_type := "00010101";
constant s190 : state_type := "00010111";
constant s191 : state_type := "00010110";
constant s192 : state_type := "00010010";
constant s193 : state_type := "00010011";
constant s377 : state_type := "00010001";
constant s381 : state_type := "00010000";
constant s378 : state_type := "00110000";
constant s382 : state_type := "00110001";
constant s379 : state_type := "00110011";
constant s383 : state_type := "00110010";
constant s384 : state_type := "00110110";
constant s380 : state_type := "00110111";
constant s385 : state_type := "00110101";
constant s386 : state_type := "00110100";
constant s387 : state_type := "00111100";
constant s388 : state_type := "00111101";
constant s389 : state_type := "00111111";
constant s391 : state_type := "00111110";
constant s392 : state_type := "00111010";
constant s390 : state_type := "00111011";
constant s393 : state_type := "00111001";
constant s394 : state_type := "00111000";
constant s395 : state_type := "00101000";
constant s396 : state_type := "00101001";
constant s397 : state_type := "00101011";
constant s398 : state_type := "00101010";
constant s399 : state_type := "00101110";
constant s400 : state_type := "00101111";
constant s401 : state_type := "00101101";
constant s526 : state_type := "00101100";
constant s527 : state_type := "00100100";
constant s528 : state_type := "00100101";
constant s529 : state_type := "00100111";
constant s530 : state_type := "00100110";
constant s531 : state_type := "00100010";
constant s544 : state_type := "00100011";
constant s545 : state_type := "00100001";
constant s546 : state_type := "00100000";
constant s547 : state_type := "01100000";
constant s549 : state_type := "01100001";
constant s550 : state_type := "01100011";
constant s404 : state_type := "01100010";
constant s556 : state_type := "01100110";
constant s557 : state_type := "01100111";
constant s579 : state_type := "01100101";
constant s201 : state_type := "01100100";
constant s202 : state_type := "01101100";
constant s210 : state_type := "01101101";
constant s211 : state_type := "01101111";
constant s215 : state_type := "01101110";
constant s217 : state_type := "01101010";
constant s218 : state_type := "01101011";
constant s222 : state_type := "01101001";
constant s223 : state_type := "01101000";
constant s224 : state_type := "01111000";
constant s225 : state_type := "01111001";
constant s226 : state_type := "01111011";
constant s243 : state_type := "01111010";
constant s244 : state_type := "01111110";
constant s247 : state_type := "01111111";
constant s344 : state_type := "01111101";
constant s343 : state_type := "01111100";
constant s250 : state_type := "01110100";
constant s251 : state_type := "01110101";
constant s351 : state_type := "01110111";
constant s361 : state_type := "01110110";
constant s360 : state_type := "01110010";
constant s403 : state_type := "01110011";
constant s406 : state_type := "01110001";
constant s407 : state_type := "01110000";
constant s409 : state_type := "01010000";
constant s412 : state_type := "01010001";
constant s413 : state_type := "01010011";
constant s416 : state_type := "01010010";
constant s418 : state_type := "01010110";
constant s510 : state_type := "01010111";
constant s553 : state_type := "01010101";
constant s555 : state_type := "01010100";
constant s558 : state_type := "01011100";
constant s560 : state_type := "01011101";
constant s561 : state_type := "01011111";
constant s563 : state_type := "01011110";
constant s564 : state_type := "01011010";
constant s565 : state_type := "01011011";
constant s566 : state_type := "01011001";
constant s266 : state_type := "01011000";
constant s301 : state_type := "01001000";
constant s302 : state_type := "01001001";
constant RES : state_type := "01001011";
constant s511 : state_type := "01001010";
constant s559 : state_type := "01001110";
constant s562 : state_type := "01001111";
constant s567 : state_type := "01001101";
constant s568 : state_type := "01001100";
constant s569 : state_type := "01000100";
constant s570 : state_type := "01000101";
constant s571 : state_type := "01000111";
constant s572 : state_type := "01000110";
constant s573 : state_type := "01000010";
constant s574 : state_type := "01000011";
constant s548 : state_type := "01000001";
constant s551 : state_type := "01000000";
constant s552 : state_type := "11000000";
constant s575 : state_type := "11000001";
constant s576 : state_type := "11000011";
constant s577 : state_type := "11000010";
constant s532 : state_type := "11000110";
constant s533 : state_type := "11000111";
constant s534 : state_type := "11000101";
constant s535 : state_type := "11000100";
constant s536 : state_type := "11001100";
constant s537 : state_type := "11001101";
-- Declare current and next state signals
signal current_state : state_type;
signal next_state : state_type;
-- Declare any pre-registered internal signals
signal d_o_cld : std_logic_vector ( 7 downto 0 );
signal rd_o_cld : std_logic ;
signal sync_o_cld : std_logic ;
signal wr_n_o_cld : std_logic ;
signal wr_o_cld : std_logic ;
begin
-----------------------------------------------------------------
clocked_proc : process (
clk_clk_i,
rst_rst_n_i
)
-----------------------------------------------------------------
begin
if (rst_rst_n_i = '0') then
current_state <= RES;
-- Default Reset Values
d_o_cld <= X"00";
rd_o_cld <= '0';
sync_o_cld <= '0';
wr_n_o_cld <= '1';
wr_o_cld <= '0';
reg_F <= "00000100";
reg_PC <= X"0000";
reg_PC1 <= X"0000";
reg_sel_pc_as <= '0';
reg_sel_pc_in <= '0';
reg_sel_pc_val <= "00";
reg_sel_rb_in <= "00";
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_sp_as <= '0';
reg_sel_sp_in <= '0';
sig_PC <= X"0000";
zw_PC <= X"0000";
zw_REG_ALU <= '0' & X"00";
zw_REG_NMI <= '0';
zw_REG_OP <= X"00";
zw_REG_sig_PC <= X"0000";
zw_b1 <= X"00";
zw_b2 <= X"00";
zw_b3 <= X"00";
zw_b4 <= X"00";
zw_so <= '0';
zw_w1 <= X"0000";
zw_w2 <= X"0000";
zw_w3 <= X"0000";
elsif (clk_clk_i'event and clk_clk_i = '1') then
current_state <= next_state;
-- Default Assignment To Internals
reg_F <= reg_F(7) & (zw_so OR reg_F(6)) & reg_F(5 downto 0);
reg_PC <= reg_PC;
reg_PC1 <= reg_PC1;
reg_sel_pc_as <= reg_sel_pc_as;
reg_sel_pc_in <= reg_sel_pc_in;
reg_sel_pc_val <= reg_sel_pc_val;
reg_sel_rb_in <= reg_sel_rb_in;
reg_sel_rb_out <= reg_sel_rb_out;
reg_sel_reg <= reg_sel_reg;
reg_sel_sp_as <= reg_sel_sp_as;
reg_sel_sp_in <= reg_sel_sp_in;
sig_PC <= sig_PC;
zw_PC <= zw_PC;
zw_REG_ALU <= zw_REG_ALU;
zw_REG_NMI <= zw_REG_NMI or nmi_i;
zw_REG_OP <= zw_REG_OP;
zw_REG_sig_PC <= zw_REG_sig_PC;
zw_b1 <= zw_b1;
zw_b2 <= zw_b2;
zw_b3 <= zw_b3;
zw_b4 <= zw_b4;
zw_so <= (zw_so OR (NOT(so_n_i))) AND (NOT(reg_F(6)));
zw_w1 <= zw_w1;
zw_w2 <= zw_w2;
zw_w3 <= zw_w3;
d_o_cld <= sig_D_OUT;
rd_o_cld <= sig_RD;
sync_o_cld <= sig_SYNC;
wr_n_o_cld <= sig_RWn;
wr_o_cld <= sig_WR;
-- Combined Actions
case current_state is
when FETCH =>
zw_REG_OP <= d_i;
if ((nmi_i = '1') and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
zw_REG_NMI <= '0';
elsif ((irq_n_i = '0' and
reg_F(2) = '0') and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"69" or
d_i = X"65" or
d_i = X"75" or
d_i = X"6D" or
d_i = X"7D" or
d_i = X"79" or
d_i = X"61" or
d_i = X"71") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
zw_b1(0) <= reg_F(7);
elsif ((d_i = X"06" or
d_i = X"16" or
d_i = X"0E" or
d_i = X"1E") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"90" or
d_i = X"B0" or
d_i = X"F0" or
d_i = X"30" or
d_i = X"D0" or
d_i = X"10" or
d_i = X"50" or
d_i = X"70") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
zw_b3 <= adr_nxt_pc_i (15 downto 8);
elsif ((d_i = X"24" or
d_i = X"2C") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"00") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"18") and (rdy_i = '1')) then
elsif ((d_i = X"D8") and (rdy_i = '1')) then
elsif ((d_i = X"58") and (rdy_i = '1')) then
elsif ((d_i = X"B8") and (rdy_i = '1')) then
elsif ((d_i = X"E0" or
d_i = X"E4" or
d_i = X"EC") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"C0" or
d_i = X"C4" or
d_i = X"CC") and (rdy_i = '1')) then
reg_sel_rb_out <= "10";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"C6" or
d_i = X"D6" or
d_i = X"CE" or
d_i = X"DE") and (rdy_i = '1')) then
zw_b4 <= X"FF";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"CA") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
reg_sel_reg <= "01";
reg_sel_rb_in <= "11";
zw_b4 <= X"FF";
elsif ((d_i = X"88") and (rdy_i = '1')) then
reg_sel_rb_out <= "10";
reg_sel_reg <= "10";
reg_sel_rb_in <= "11";
zw_b4 <= X"FF";
elsif ((d_i = X"49" or
d_i = X"45" or
d_i = X"55" or
d_i = X"4D" or
d_i = X"5D" or
d_i = X"59" or
d_i = X"41" or
d_i = X"51" or
d_i = X"09" or
d_i = X"05" or
d_i = X"15" or
d_i = X"0D" or
d_i = X"1D" or
d_i = X"19" or
d_i = X"01" or
d_i = X"11" or
d_i = X"29" or
d_i = X"25" or
d_i = X"35" or
d_i = X"2D" or
d_i = X"3D" or
d_i = X"39" or
d_i = X"21" or
d_i = X"31" or
d_i = X"C9" or
d_i = X"C5" or
d_i = X"D5" or
d_i = X"CD" or
d_i = X"DD" or
d_i = X"D9" or
d_i = X"C1" or
d_i = X"D1") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"E6" or
d_i = X"F6" or
d_i = X"EE" or
d_i = X"FE") and (rdy_i = '1')) then
zw_b4 <= X"01";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"E8") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
reg_sel_reg <= "01";
reg_sel_rb_in <= "11";
zw_b4 <= X"01";
elsif ((d_i = X"C8") and (rdy_i = '1')) then
reg_sel_rb_out <= "10";
reg_sel_reg <= "10";
reg_sel_rb_in <= "11";
zw_b4 <= X"01";
elsif ((d_i = X"4C" or
d_i = X"6C") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"20") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"A9" or
d_i = X"A5" or
d_i = X"B5" or
d_i = X"AD" or
d_i = X"BD" or
d_i = X"B9" or
d_i = X"A1" or
d_i = X"B1") and (rdy_i = '1')) then
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"A2" or
d_i = X"A6" or
d_i = X"B6" or
d_i = X"AE" or
d_i = X"BE") and (rdy_i = '1')) then
reg_sel_reg <= "01";
reg_sel_rb_in <= "11";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"A0" or
d_i = X"A4" or
d_i = X"B4" or
d_i = X"AC" or
d_i = X"BC") and (rdy_i = '1')) then
reg_sel_reg <= "10";
reg_sel_rb_in <= "11";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"46" or
d_i = X"56" or
d_i = X"4E" or
d_i = X"5E") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"EA") and (rdy_i = '1')) then
elsif ((d_i = X"48") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"08") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"68") and (rdy_i = '1')) then
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '0';
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
elsif ((d_i = X"28") and (rdy_i = '1')) then
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '0';
elsif ((d_i = X"26" or
d_i = X"36" or
d_i = X"2E" or
d_i = X"3E") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"66" or
d_i = X"76" or
d_i = X"6E" or
d_i = X"7E") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"40") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"60") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '0';
elsif ((d_i = X"E9" or
d_i = X"E5" or
d_i = X"F5" or
d_i = X"ED" or
d_i = X"FD" or
d_i = X"F9" or
d_i = X"E1" or
d_i = X"F1") and (rdy_i = '1')) then
sig_PC <= adr_nxt_pc_i;
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
zw_b1(0) <= reg_F(7);
elsif ((d_i = X"38") and (rdy_i = '1')) then
elsif ((d_i = X"F8") and (rdy_i = '1')) then
elsif ((d_i = X"78") and (rdy_i = '1')) then
elsif ((d_i = X"85" or
d_i = X"95" or
d_i = X"8D" or
d_i = X"9D" or
d_i = X"99" or
d_i = X"81" or
d_i = X"91") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"86" or
d_i = X"96" or
d_i = X"8E") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"84" or
d_i = X"94" or
d_i = X"8C") and (rdy_i = '1')) then
reg_sel_rb_out <= "10";
sig_PC <= adr_nxt_pc_i;
elsif ((d_i = X"AA") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "01";
reg_sel_rb_in <= "00";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
elsif ((d_i = X"0A") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
elsif ((d_i = X"4A") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
elsif ((d_i = X"2A") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
elsif ((d_i = X"6A") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "00";
reg_sel_rb_in <= "11";
elsif ((d_i = X"A8") and (rdy_i = '1')) then
reg_sel_rb_out <= "00";
reg_sel_reg <= "10";
reg_sel_rb_in <= "00";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
elsif ((d_i = X"98") and (rdy_i = '1')) then
reg_sel_rb_out <= "10";
reg_sel_reg <= "00";
reg_sel_rb_in <= "01";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
elsif ((d_i = X"BA") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
reg_sel_reg <= "01";
reg_sel_rb_in <= "11";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
elsif ((d_i = X"8A") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
reg_sel_reg <= "00";
reg_sel_rb_in <= "10";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
elsif ((d_i = X"9A") and (rdy_i = '1')) then
reg_sel_rb_out <= "01";
reg_sel_reg <= "11";
reg_sel_rb_in <= "11";
reg_sel_sp_in <= '1';
reg_sel_sp_as <= '0';
end if;
when s1 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s2 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= '1';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s5 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(3) <= '1';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s3 =>
sig_PC <= adr_pc_i;
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(2) <= '1';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s4 =>
if (rdy_i = '1' and
zw_REG_OP = X"9A") then
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"BA") then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s12 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= '0';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s16 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(3) <= '0';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s17 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(2) <= '0';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s24 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(6) <= '0';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s25 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s271 =>
if (rdy_i = '1' and
zw_REG_OP = X"4C") then
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
zw_b1 <= d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"6C") then
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
zw_b1 <= d_i;
end if;
when s273 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
zw_b2 <= d_i;
end if;
when s304 =>
if (rdy_i = '1') then
sig_PC <= zw_b2 & adr_pc_i(7 downto 0);
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
zw_b1 <= d_i;
end if;
when s307 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s177 =>
if (rdy_i = '1' and
(zw_REG_OP = X"85" OR
zw_REG_OP = X"86" OR
zw_REG_OP = X"84")) then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"95" OR
zw_REG_OP = X"94")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"8D" OR
zw_REG_OP = X"8E" OR
zw_REG_OP = X"8C")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"9D") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"99") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"91") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"81") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"96") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
end if;
when s180 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s181 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
end if;
when s182 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s183 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s184 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s185 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s186 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s187 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s188 =>
if (rdy_i = '1') then
sig_PC <= X"00" & d_alu_i;
zw_b1 <= d_i;
end if;
when s189 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s190 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s191 =>
sig_PC <= zw_b3 & zw_b1;
when s192 =>
sig_PC <= d_i & zw_b1;
when s193 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s377 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s381 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s378 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s382 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s383 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s384 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s385 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s386 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F <= d_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s387 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s388 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s389 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
reg_F <= d_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
end if;
when s391 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
zw_b1 <= d_i;
end if;
when s392 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s390 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s393 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s394 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
zw_b1 <= d_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
end if;
when s395 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s396 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s397 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
zw_b1 <= d_i;
end if;
when s399 =>
sig_PC <= adr_sp_i;
when s400 =>
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
when s401 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1 (7 downto 0);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s526 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s527 =>
sig_PC <= adr_sp_i;
when s528 =>
sig_PC <= adr_sp_i;
when s529 =>
sig_PC <= X"FFFE";
when s530 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_F(2) <= '1';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s531 =>
if (rdy_i = '1') then
sig_PC <= X"FFFF";
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
zw_b1 <= d_i;
end if;
when s544 =>
sig_PC <= adr_sp_i;
when s545 =>
sig_PC <= adr_sp_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
when s546 =>
sig_PC <= adr_pc_i;
when s547 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
zw_w1 (7 downto 0) <= d_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
end if;
when s549 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_w1 (7 downto 0);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s550 =>
sig_PC <= adr_sp_i;
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
when s404 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= q_a_i(7);
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s556 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= q_a_i(0);
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s557 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= q_a_i(7);
reg_F(0) <= q_a_i(7);
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s579 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(0) <= q_a_i(0);
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s201 =>
if (rdy_i = '1' and
(zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then
sig_PC <= X"00" & d_i;
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(0) <= zw_ALU(8);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"B5" OR
zw_REG_OP = X"B4" OR
zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
zw_REG_OP = X"35" OR
zw_REG_OP = X"D5")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"AD" OR
zw_REG_OP = X"AE" OR
zw_REG_OP = X"AC" OR
zw_REG_OP = X"4D" OR
zw_REG_OP = X"0D" OR
zw_REG_OP = X"2D" OR
zw_REG_OP = X"CD" OR
zw_REG_OP = X"EC" OR
zw_REG_OP = X"CC")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"BD" OR
zw_REG_OP = X"BC" OR
zw_REG_OP = X"5D" OR
zw_REG_OP = X"1D" OR
zw_REG_OP = X"3D" OR
zw_REG_OP = X"DD")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B9" OR
zw_REG_OP = X"BE" OR
zw_REG_OP = X"59" OR
zw_REG_OP = X"19" OR
zw_REG_OP = X"39" OR
zw_REG_OP = X"D9")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B1" OR
zw_REG_OP = X"51" OR
zw_REG_OP = X"11" OR
zw_REG_OP = X"31" OR
zw_REG_OP = X"D1")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"A1" OR
zw_REG_OP = X"41" OR
zw_REG_OP = X"01" OR
zw_REG_OP = X"21" OR
zw_REG_OP = X"C1")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"B6") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
end if;
when s202 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s210 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s211 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s215 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
end if;
when s217 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s218 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s222 =>
if (rdy_i = '1') then
sig_PC <= X"00" & d_alu_i;
zw_b1 <= d_i;
end if;
when s223 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s224 =>
if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(0) <= zw_ALU(8);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s225 =>
if ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(0) <= zw_ALU(8);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0') then
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= zw_b3 & zw_b1;
end if;
when s226 =>
if (rdy_i = '1' and
(zw_REG_OP = X"C6" OR
zw_REG_OP = X"E6")) then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"D6" OR
zw_REG_OP = X"F6")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"CE" OR
zw_REG_OP = X"EE")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"DE" OR
zw_REG_OP = X"FE")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
end if;
when s243 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s244 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s247 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s344 =>
if (rdy_i = '1') then
sig_PC <= zw_b3 & zw_b1;
end if;
when s343 =>
if (rdy_i = '1') then
zw_b1 <= d_alu_i;
end if;
when s251 =>
sig_PC <= adr_pc_i;
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s351 =>
if (rdy_i = '1' and
zw_REG_OP = X"24") then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"2C") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
end if;
when s361 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= d_i(7);
reg_F(6) <= d_i(6);
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s360 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s403 =>
if (rdy_i = '1' and
(zw_REG_OP = X"1E" or
zw_REG_OP = X"7E" or
zw_REG_OP = X"3E" or
zw_REG_OP = X"5E")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"66" or
zw_REG_OP = X"26" or
zw_REG_OP = X"46")) then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"16" or
zw_REG_OP = X"76" or
zw_REG_OP = X"36" or
zw_REG_OP = X"56")) then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"0E" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"4E")) then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
end if;
when s406 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s407 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s409 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s412 =>
if (rdy_i = '1') then
sig_PC <= zw_b3 & zw_b1;
end if;
when s416 =>
if (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"16" or
zw_REG_OP = X"0E" or
zw_REG_OP = X"1E")) then
zw_b1 <= d_i(6 downto 0) & '0';
zw_b2(0) <= d_i(7);
elsif (rdy_i = '1' and
(zw_REG_OP = X"46" or
zw_REG_OP = X"56" or
zw_REG_OP = X"4E" or
zw_REG_OP = X"5E")) then
zw_b1 <= '0' & d_i(7 downto 1);
zw_b2(0) <= d_i(0);
elsif (rdy_i = '1' and
(zw_REG_OP = X"26" or
zw_REG_OP = X"36" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"3E")) then
zw_b1 <= d_i(6 downto 0) & reg_F(0);
zw_b2(0) <= d_i(7);
elsif (rdy_i = '1' and
(zw_REG_OP = X"66" or
zw_REG_OP = X"76" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"7E")) then
zw_b1 <= reg_F(0) & d_i(7 downto 1);
zw_b2(0) <= d_i(0);
end if;
when s418 =>
sig_PC <= adr_pc_i;
reg_F(0) <= zw_b2(0);
reg_F(7) <= reg_7flag_i;
reg_F(1) <= reg_1flag_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s510 =>
if (rdy_i = '1' and
zw_REG_OP = X"65") then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '0') then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"75") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"6D") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"7D") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"79") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"71") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"61") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '1') then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU4(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s553 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s555 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s558 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
end if;
when s560 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s561 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s563 =>
if (rdy_i = '1') then
sig_PC <= X"00" & d_alu_i;
zw_b1 <= d_i;
end if;
when s564 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU4(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= zw_b3 & zw_b1;
end if;
when s565 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
reg_F(3) = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU4(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s566 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s266 =>
if (rdy_i = '1' and (
(reg_F(0) = '1' and zw_REG_OP = X"90") or
(reg_F(0) = '0' and zw_REG_OP = X"B0") or
(reg_F(1) = '0' and zw_REG_OP = X"F0") or
(reg_F(7) = '0' and zw_REG_OP = X"30") or
(reg_F(1) = '1' and zw_REG_OP = X"D0") or
(reg_F(7) = '1' and zw_REG_OP = X"10") or
(reg_F(6) = '1' and zw_REG_OP = X"50") or
(reg_F(6) = '0' and zw_REG_OP = X"70"))) then
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "10";
zw_b2 <= d_i;
end if;
when s301 =>
if (rdy_i = '1' and
zw_b3 = adr_nxt_pc_i (15 downto 8)) then
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= zw_b3 & adr_nxt_pc_i (7 downto 0);
end if;
when s302 =>
if (rdy_i = '1') then
sig_PC <= adr_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when RES =>
reg_sel_pc_in <= '0';
reg_sel_pc_val <= "00";
reg_sel_pc_as <= '0';
sig_PC <= adr_nxt_pc_i;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
when s511 =>
if (rdy_i = '1' and
zw_REG_OP = X"E5") then
sig_PC <= X"00" & d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '0') then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"F5") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"ED") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"FD") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"F9") then
sig_PC <= adr_nxt_pc_i;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"F1") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"E1") then
sig_PC <= X"00" & d_i;
zw_b1 <= d_alu_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '1') then
sig_PC <= adr_nxt_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU2(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s559 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
end if;
when s562 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s567 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s568 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
zw_b1 <= d_alu_i;
zw_b2(0) <= reg_0flag_i;
end if;
when s569 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s570 =>
if (rdy_i = '1') then
sig_PC <= X"00" & zw_b1;
end if;
when s571 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
zw_b3 <= d_alu_i;
end if;
when s572 =>
if (rdy_i = '1') then
sig_PC <= X"00" & d_alu_i;
zw_b1 <= d_i;
end if;
when s573 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU2(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1') then
sig_PC <= zw_b3 & zw_b1;
end if;
when s574 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU(8);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
elsif (rdy_i = '1' and
reg_F(3) = '1') then
sig_PC <= adr_pc_i;
reg_F(7) <= zw_ALU(7);
reg_F(6) <= zw_b1(0) XOR zw_ALU(7);
reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR
(zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR
(zw_ALU(0)));
reg_F(0) <= zw_ALU2(4);
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s548 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s551 =>
sig_PC <= adr_sp_i;
when s552 =>
sig_PC <= adr_sp_i;
when s575 =>
if (rdy_i = '1') then
sig_PC <= X"FFFF";
zw_b1 <= d_i;
end if;
when s576 =>
sig_PC <= X"FFFE";
when s577 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_F(2) <= '1';
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when s532 =>
if (rdy_i = '1') then
sig_PC <= adr_sp_i;
end if;
when s533 =>
sig_PC <= adr_sp_i;
when s534 =>
sig_PC <= adr_sp_i;
when s535 =>
if (rdy_i = '1') then
sig_PC <= X"FFFB";
reg_sel_pc_in <= '1';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "11";
zw_b1 <= d_i;
end if;
when s536 =>
sig_PC <= X"FFFA";
when s537 =>
if (rdy_i = '1') then
sig_PC <= d_i & zw_b1;
reg_sel_pc_in <= '0';
reg_sel_pc_as <= '0';
reg_sel_pc_val <= "00";
reg_sel_sp_in <= '0';
reg_sel_sp_as <= '1';
end if;
when others =>
null;
end case;
end if;
end process clocked_proc;
-----------------------------------------------------------------
nextstate_proc : process (
adr_nxt_pc_i,
current_state,
d_i,
irq_n_i,
nmi_i,
rdy_i,
reg_F,
zw_REG_OP,
zw_b2,
zw_b3
)
-----------------------------------------------------------------
begin
case current_state is
when FETCH =>
if ((nmi_i = '1') and (rdy_i = '1')) then
next_state <= s532;
elsif ((irq_n_i = '0' and
reg_F(2) = '0') and (rdy_i = '1')) then
next_state <= s548;
elsif ((d_i = X"69" or
d_i = X"65" or
d_i = X"75" or
d_i = X"6D" or
d_i = X"7D" or
d_i = X"79" or
d_i = X"61" or
d_i = X"71") and (rdy_i = '1')) then
next_state <= s510;
elsif ((d_i = X"06" or
d_i = X"16" or
d_i = X"0E" or
d_i = X"1E") and (rdy_i = '1')) then
next_state <= s403;
elsif ((d_i = X"90" or
d_i = X"B0" or
d_i = X"F0" or
d_i = X"30" or
d_i = X"D0" or
d_i = X"10" or
d_i = X"50" or
d_i = X"70") and (rdy_i = '1')) then
next_state <= s266;
elsif ((d_i = X"24" or
d_i = X"2C") and (rdy_i = '1')) then
next_state <= s351;
elsif ((d_i = X"00") and (rdy_i = '1')) then
next_state <= s526;
elsif ((d_i = X"18") and (rdy_i = '1')) then
next_state <= s12;
elsif ((d_i = X"D8") and (rdy_i = '1')) then
next_state <= s16;
elsif ((d_i = X"58") and (rdy_i = '1')) then
next_state <= s17;
elsif ((d_i = X"B8") and (rdy_i = '1')) then
next_state <= s24;
elsif ((d_i = X"E0" or
d_i = X"E4" or
d_i = X"EC") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"C0" or
d_i = X"C4" or
d_i = X"CC") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"C6" or
d_i = X"D6" or
d_i = X"CE" or
d_i = X"DE") and (rdy_i = '1')) then
next_state <= s226;
elsif ((d_i = X"CA") and (rdy_i = '1')) then
next_state <= s25;
elsif ((d_i = X"88") and (rdy_i = '1')) then
next_state <= s25;
elsif ((d_i = X"49" or
d_i = X"45" or
d_i = X"55" or
d_i = X"4D" or
d_i = X"5D" or
d_i = X"59" or
d_i = X"41" or
d_i = X"51" or
d_i = X"09" or
d_i = X"05" or
d_i = X"15" or
d_i = X"0D" or
d_i = X"1D" or
d_i = X"19" or
d_i = X"01" or
d_i = X"11" or
d_i = X"29" or
d_i = X"25" or
d_i = X"35" or
d_i = X"2D" or
d_i = X"3D" or
d_i = X"39" or
d_i = X"21" or
d_i = X"31" or
d_i = X"C9" or
d_i = X"C5" or
d_i = X"D5" or
d_i = X"CD" or
d_i = X"DD" or
d_i = X"D9" or
d_i = X"C1" or
d_i = X"D1") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"E6" or
d_i = X"F6" or
d_i = X"EE" or
d_i = X"FE") and (rdy_i = '1')) then
next_state <= s226;
elsif ((d_i = X"E8") and (rdy_i = '1')) then
next_state <= s25;
elsif ((d_i = X"C8") and (rdy_i = '1')) then
next_state <= s25;
elsif ((d_i = X"4C" or
d_i = X"6C") and (rdy_i = '1')) then
next_state <= s271;
elsif ((d_i = X"20") and (rdy_i = '1')) then
next_state <= s397;
elsif ((d_i = X"A9" or
d_i = X"A5" or
d_i = X"B5" or
d_i = X"AD" or
d_i = X"BD" or
d_i = X"B9" or
d_i = X"A1" or
d_i = X"B1") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"A2" or
d_i = X"A6" or
d_i = X"B6" or
d_i = X"AE" or
d_i = X"BE") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"A0" or
d_i = X"A4" or
d_i = X"B4" or
d_i = X"AC" or
d_i = X"BC") and (rdy_i = '1')) then
next_state <= s201;
elsif ((d_i = X"46" or
d_i = X"56" or
d_i = X"4E" or
d_i = X"5E") and (rdy_i = '1')) then
next_state <= s403;
elsif ((d_i = X"EA") and (rdy_i = '1')) then
next_state <= s1;
elsif ((d_i = X"48") and (rdy_i = '1')) then
next_state <= s377;
elsif ((d_i = X"08") and (rdy_i = '1')) then
next_state <= s378;
elsif ((d_i = X"68") and (rdy_i = '1')) then
next_state <= s379;
elsif ((d_i = X"28") and (rdy_i = '1')) then
next_state <= s380;
elsif ((d_i = X"26" or
d_i = X"36" or
d_i = X"2E" or
d_i = X"3E") and (rdy_i = '1')) then
next_state <= s403;
elsif ((d_i = X"66" or
d_i = X"76" or
d_i = X"6E" or
d_i = X"7E") and (rdy_i = '1')) then
next_state <= s403;
elsif ((d_i = X"40") and (rdy_i = '1')) then
next_state <= s387;
elsif ((d_i = X"60") and (rdy_i = '1')) then
next_state <= s390;
elsif ((d_i = X"E9" or
d_i = X"E5" or
d_i = X"F5" or
d_i = X"ED" or
d_i = X"FD" or
d_i = X"F9" or
d_i = X"E1" or
d_i = X"F1") and (rdy_i = '1')) then
next_state <= s511;
elsif ((d_i = X"38") and (rdy_i = '1')) then
next_state <= s2;
elsif ((d_i = X"F8") and (rdy_i = '1')) then
next_state <= s5;
elsif ((d_i = X"78") and (rdy_i = '1')) then
next_state <= s3;
elsif ((d_i = X"85" or
d_i = X"95" or
d_i = X"8D" or
d_i = X"9D" or
d_i = X"99" or
d_i = X"81" or
d_i = X"91") and (rdy_i = '1')) then
next_state <= s177;
elsif ((d_i = X"86" or
d_i = X"96" or
d_i = X"8E") and (rdy_i = '1')) then
next_state <= s177;
elsif ((d_i = X"84" or
d_i = X"94" or
d_i = X"8C") and (rdy_i = '1')) then
next_state <= s177;
elsif ((d_i = X"AA") and (rdy_i = '1')) then
next_state <= s4;
elsif ((d_i = X"0A") and (rdy_i = '1')) then
next_state <= s404;
elsif ((d_i = X"4A") and (rdy_i = '1')) then
next_state <= s556;
elsif ((d_i = X"2A") and (rdy_i = '1')) then
next_state <= s557;
elsif ((d_i = X"6A") and (rdy_i = '1')) then
next_state <= s579;
elsif ((d_i = X"A8") and (rdy_i = '1')) then
next_state <= s4;
elsif ((d_i = X"98") and (rdy_i = '1')) then
next_state <= s4;
elsif ((d_i = X"BA") and (rdy_i = '1')) then
next_state <= s4;
elsif ((d_i = X"8A") and (rdy_i = '1')) then
next_state <= s4;
elsif ((d_i = X"9A") and (rdy_i = '1')) then
next_state <= s4;
elsif (rdy_i = '1') then
next_state <= s1;
else
next_state <= FETCH;
end if;
when s1 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s1;
end if;
when s2 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s2;
end if;
when s5 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s5;
end if;
when s3 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s3;
end if;
when s4 =>
if (rdy_i = '1' and
zw_REG_OP = X"9A") then
next_state <= FETCH;
elsif (rdy_i = '1' and
zw_REG_OP = X"BA") then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s4;
end if;
when s12 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s12;
end if;
when s16 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s16;
end if;
when s17 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s17;
end if;
when s24 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s24;
end if;
when s25 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s25;
end if;
when s271 =>
if (rdy_i = '1' and
zw_REG_OP = X"4C") then
next_state <= s307;
elsif (rdy_i = '1' and
zw_REG_OP = X"6C") then
next_state <= s273;
else
next_state <= s271;
end if;
when s273 =>
if (rdy_i = '1') then
next_state <= s304;
else
next_state <= s273;
end if;
when s304 =>
if (rdy_i = '1') then
next_state <= s307;
else
next_state <= s304;
end if;
when s307 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s307;
end if;
when s177 =>
if (rdy_i = '1' and
(zw_REG_OP = X"85" OR
zw_REG_OP = X"86" OR
zw_REG_OP = X"84")) then
next_state <= s184;
elsif (rdy_i = '1' and
(zw_REG_OP = X"95" OR
zw_REG_OP = X"94")) then
next_state <= s185;
elsif (rdy_i = '1' and
(zw_REG_OP = X"8D" OR
zw_REG_OP = X"8E" OR
zw_REG_OP = X"8C")) then
next_state <= s183;
elsif (rdy_i = '1' and
zw_REG_OP = X"9D") then
next_state <= s182;
elsif (rdy_i = '1' and
zw_REG_OP = X"99") then
next_state <= s180;
elsif (rdy_i = '1' and
zw_REG_OP = X"91") then
next_state <= s181;
elsif (rdy_i = '1' and
zw_REG_OP = X"81") then
next_state <= s186;
elsif (rdy_i = '1' and
zw_REG_OP = X"96") then
next_state <= s185;
else
next_state <= s177;
end if;
when s180 =>
if (rdy_i = '1') then
next_state <= s191;
else
next_state <= s180;
end if;
when s181 =>
if (rdy_i = '1') then
next_state <= s189;
else
next_state <= s181;
end if;
when s182 =>
if (rdy_i = '1') then
next_state <= s191;
else
next_state <= s182;
end if;
when s183 =>
if (rdy_i = '1') then
next_state <= s187;
else
next_state <= s183;
end if;
when s184 =>
next_state <= FETCH;
when s185 =>
if (rdy_i = '1') then
next_state <= s190;
else
next_state <= s185;
end if;
when s186 =>
if (rdy_i = '1') then
next_state <= s188;
else
next_state <= s186;
end if;
when s187 =>
next_state <= FETCH;
when s188 =>
if (rdy_i = '1') then
next_state <= s192;
else
next_state <= s188;
end if;
when s189 =>
if (rdy_i = '1') then
next_state <= s191;
else
next_state <= s189;
end if;
when s190 =>
next_state <= FETCH;
when s191 =>
next_state <= s193;
when s192 =>
next_state <= s193;
when s193 =>
next_state <= FETCH;
when s377 =>
if (rdy_i = '1') then
next_state <= s381;
else
next_state <= s377;
end if;
when s381 =>
next_state <= FETCH;
when s378 =>
if (rdy_i = '1') then
next_state <= s382;
else
next_state <= s378;
end if;
when s382 =>
next_state <= FETCH;
when s379 =>
if (rdy_i = '1') then
next_state <= s383;
else
next_state <= s379;
end if;
when s383 =>
if (rdy_i = '1') then
next_state <= s384;
else
next_state <= s383;
end if;
when s384 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s384;
end if;
when s380 =>
if (rdy_i = '1') then
next_state <= s385;
else
next_state <= s380;
end if;
when s385 =>
if (rdy_i = '1') then
next_state <= s386;
else
next_state <= s385;
end if;
when s386 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s386;
end if;
when s387 =>
if (rdy_i = '1') then
next_state <= s388;
else
next_state <= s387;
end if;
when s388 =>
if (rdy_i = '1') then
next_state <= s389;
else
next_state <= s388;
end if;
when s389 =>
if (rdy_i = '1') then
next_state <= s391;
else
next_state <= s389;
end if;
when s391 =>
if (rdy_i = '1') then
next_state <= s392;
else
next_state <= s391;
end if;
when s392 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s392;
end if;
when s390 =>
if (rdy_i = '1') then
next_state <= s393;
else
next_state <= s390;
end if;
when s393 =>
if (rdy_i = '1') then
next_state <= s394;
else
next_state <= s393;
end if;
when s394 =>
if (rdy_i = '1') then
next_state <= s395;
else
next_state <= s394;
end if;
when s395 =>
if (rdy_i = '1') then
next_state <= s396;
else
next_state <= s395;
end if;
when s396 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s396;
end if;
when s397 =>
if (rdy_i = '1') then
next_state <= s398;
else
next_state <= s397;
end if;
when s398 =>
if (rdy_i = '1') then
next_state <= s399;
else
next_state <= s398;
end if;
when s399 =>
next_state <= s400;
when s400 =>
next_state <= s401;
when s401 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s401;
end if;
when s526 =>
if (rdy_i = '1') then
next_state <= s527;
else
next_state <= s526;
end if;
when s527 =>
next_state <= s528;
when s528 =>
next_state <= s529;
when s529 =>
next_state <= s531;
when s530 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s530;
end if;
when s531 =>
if (rdy_i = '1') then
next_state <= s530;
else
next_state <= s531;
end if;
when s544 =>
next_state <= s550;
when s545 =>
next_state <= s546;
when s546 =>
next_state <= s547;
when s547 =>
if (rdy_i = '1') then
next_state <= s549;
else
next_state <= s547;
end if;
when s549 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s549;
end if;
when s550 =>
next_state <= s545;
when s404 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s404;
end if;
when s556 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s556;
end if;
when s557 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s557;
end if;
when s579 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s579;
end if;
when s201 =>
if (rdy_i = '1' and
(zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then
next_state <= s224;
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
next_state <= FETCH;
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
next_state <= FETCH;
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
next_state <= FETCH;
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
next_state <= FETCH;
elsif (rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then
next_state <= FETCH;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B5" OR
zw_REG_OP = X"B4" OR
zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
zw_REG_OP = X"35" OR
zw_REG_OP = X"D5")) then
next_state <= s217;
elsif (rdy_i = '1' and
(zw_REG_OP = X"AD" OR
zw_REG_OP = X"AE" OR
zw_REG_OP = X"AC" OR
zw_REG_OP = X"4D" OR
zw_REG_OP = X"0D" OR
zw_REG_OP = X"2D" OR
zw_REG_OP = X"CD" OR
zw_REG_OP = X"EC" OR
zw_REG_OP = X"CC")) then
next_state <= s202;
elsif (rdy_i = '1' and
(zw_REG_OP = X"BD" OR
zw_REG_OP = X"BC" OR
zw_REG_OP = X"5D" OR
zw_REG_OP = X"1D" OR
zw_REG_OP = X"3D" OR
zw_REG_OP = X"DD")) then
next_state <= s210;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B9" OR
zw_REG_OP = X"BE" OR
zw_REG_OP = X"59" OR
zw_REG_OP = X"19" OR
zw_REG_OP = X"39" OR
zw_REG_OP = X"D9")) then
next_state <= s211;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B1" OR
zw_REG_OP = X"51" OR
zw_REG_OP = X"11" OR
zw_REG_OP = X"31" OR
zw_REG_OP = X"D1")) then
next_state <= s215;
elsif (rdy_i = '1' and
(zw_REG_OP = X"A1" OR
zw_REG_OP = X"41" OR
zw_REG_OP = X"01" OR
zw_REG_OP = X"21" OR
zw_REG_OP = X"C1")) then
next_state <= s218;
elsif (rdy_i = '1' and
zw_REG_OP = X"B6") then
next_state <= s217;
else
next_state <= s201;
end if;
when s202 =>
if (rdy_i = '1') then
next_state <= s224;
else
next_state <= s202;
end if;
when s210 =>
if (rdy_i = '1') then
next_state <= s225;
else
next_state <= s210;
end if;
when s211 =>
if (rdy_i = '1') then
next_state <= s225;
else
next_state <= s211;
end if;
when s215 =>
if (rdy_i = '1') then
next_state <= s223;
else
next_state <= s215;
end if;
when s217 =>
if (rdy_i = '1') then
next_state <= s224;
else
next_state <= s217;
end if;
when s218 =>
if (rdy_i = '1') then
next_state <= s222;
else
next_state <= s218;
end if;
when s222 =>
if (rdy_i = '1') then
next_state <= s202;
else
next_state <= s222;
end if;
when s223 =>
if (rdy_i = '1') then
next_state <= s225;
else
next_state <= s223;
end if;
when s224 =>
if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
next_state <= FETCH;
elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
next_state <= FETCH;
elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
next_state <= FETCH;
elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s224;
end if;
when s225 =>
if ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
next_state <= FETCH;
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
next_state <= FETCH;
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
next_state <= FETCH;
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
next_state <= FETCH;
elsif (rdy_i = '1' AND
zw_b2(0) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= s224;
else
next_state <= s225;
end if;
when s226 =>
if (rdy_i = '1' and
(zw_REG_OP = X"C6" OR
zw_REG_OP = X"E6")) then
next_state <= s343;
elsif (rdy_i = '1' and
(zw_REG_OP = X"D6" OR
zw_REG_OP = X"F6")) then
next_state <= s247;
elsif (rdy_i = '1' and
(zw_REG_OP = X"CE" OR
zw_REG_OP = X"EE")) then
next_state <= s243;
elsif (rdy_i = '1' and
(zw_REG_OP = X"DE" OR
zw_REG_OP = X"FE")) then
next_state <= s244;
else
next_state <= s226;
end if;
when s243 =>
if (rdy_i = '1') then
next_state <= s343;
else
next_state <= s243;
end if;
when s244 =>
if (rdy_i = '1') then
next_state <= s344;
else
next_state <= s244;
end if;
when s247 =>
if (rdy_i = '1') then
next_state <= s343;
else
next_state <= s247;
end if;
when s344 =>
if (rdy_i = '1') then
next_state <= s343;
else
next_state <= s344;
end if;
when s343 =>
if (rdy_i = '1') then
next_state <= s250;
else
next_state <= s343;
end if;
when s250 =>
if (rdy_i = '1') then
next_state <= s251;
else
next_state <= s250;
end if;
when s251 =>
next_state <= FETCH;
when s351 =>
if (rdy_i = '1' and
zw_REG_OP = X"24") then
next_state <= s361;
elsif (rdy_i = '1' and
zw_REG_OP = X"2C") then
next_state <= s360;
else
next_state <= s351;
end if;
when s361 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s361;
end if;
when s360 =>
if (rdy_i = '1') then
next_state <= s361;
else
next_state <= s360;
end if;
when s403 =>
if (rdy_i = '1' and
(zw_REG_OP = X"1E" or
zw_REG_OP = X"7E" or
zw_REG_OP = X"3E" or
zw_REG_OP = X"5E")) then
next_state <= s407;
elsif (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"66" or
zw_REG_OP = X"26" or
zw_REG_OP = X"46")) then
next_state <= s413;
elsif (rdy_i = '1' and
(zw_REG_OP = X"16" or
zw_REG_OP = X"76" or
zw_REG_OP = X"36" or
zw_REG_OP = X"56")) then
next_state <= s409;
elsif (rdy_i = '1' and
(zw_REG_OP = X"0E" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"4E")) then
next_state <= s406;
else
next_state <= s403;
end if;
when s406 =>
if (rdy_i = '1') then
next_state <= s413;
else
next_state <= s406;
end if;
when s407 =>
if (rdy_i = '1') then
next_state <= s412;
else
next_state <= s407;
end if;
when s409 =>
if (rdy_i = '1') then
next_state <= s413;
else
next_state <= s409;
end if;
when s412 =>
if (rdy_i = '1') then
next_state <= s413;
else
next_state <= s412;
end if;
when s413 =>
if (rdy_i = '1') then
next_state <= s416;
else
next_state <= s413;
end if;
when s416 =>
if (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"16" or
zw_REG_OP = X"0E" or
zw_REG_OP = X"1E")) then
next_state <= s418;
elsif (rdy_i = '1' and
(zw_REG_OP = X"46" or
zw_REG_OP = X"56" or
zw_REG_OP = X"4E" or
zw_REG_OP = X"5E")) then
next_state <= s418;
elsif (rdy_i = '1' and
(zw_REG_OP = X"26" or
zw_REG_OP = X"36" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"3E")) then
next_state <= s418;
elsif (rdy_i = '1' and
(zw_REG_OP = X"66" or
zw_REG_OP = X"76" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"7E")) then
next_state <= s418;
else
next_state <= s416;
end if;
when s418 =>
next_state <= FETCH;
when s510 =>
if (rdy_i = '1' and
zw_REG_OP = X"65") then
next_state <= s565;
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' and
zw_REG_OP = X"75") then
next_state <= s560;
elsif (rdy_i = '1' and
zw_REG_OP = X"6D") then
next_state <= s553;
elsif (rdy_i = '1' and
zw_REG_OP = X"7D") then
next_state <= s555;
elsif (rdy_i = '1' and
zw_REG_OP = X"79") then
next_state <= s555;
elsif (rdy_i = '1' and
zw_REG_OP = X"71") then
next_state <= s558;
elsif (rdy_i = '1' and
zw_REG_OP = X"61") then
next_state <= s561;
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '1') then
next_state <= FETCH;
else
next_state <= s510;
end if;
when s553 =>
if (rdy_i = '1') then
next_state <= s565;
else
next_state <= s553;
end if;
when s555 =>
if (rdy_i = '1') then
next_state <= s564;
else
next_state <= s555;
end if;
when s558 =>
if (rdy_i = '1') then
next_state <= s566;
else
next_state <= s558;
end if;
when s560 =>
if (rdy_i = '1') then
next_state <= s565;
else
next_state <= s560;
end if;
when s561 =>
if (rdy_i = '1') then
next_state <= s563;
else
next_state <= s561;
end if;
when s563 =>
if (rdy_i = '1') then
next_state <= s553;
else
next_state <= s563;
end if;
when s564 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= s565;
else
next_state <= s564;
end if;
when s565 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' and
reg_F(3) = '1') then
next_state <= FETCH;
else
next_state <= s565;
end if;
when s566 =>
if (rdy_i = '1') then
next_state <= s564;
else
next_state <= s566;
end if;
when s266 =>
if (rdy_i = '1' and (
(reg_F(0) = '1' and zw_REG_OP = X"90") or
(reg_F(0) = '0' and zw_REG_OP = X"B0") or
(reg_F(1) = '0' and zw_REG_OP = X"F0") or
(reg_F(7) = '0' and zw_REG_OP = X"30") or
(reg_F(1) = '1' and zw_REG_OP = X"D0") or
(reg_F(7) = '1' and zw_REG_OP = X"10") or
(reg_F(6) = '1' and zw_REG_OP = X"50") or
(reg_F(6) = '0' and zw_REG_OP = X"70"))) then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= s301;
else
next_state <= s266;
end if;
when s301 =>
if (rdy_i = '1' and
zw_b3 = adr_nxt_pc_i (15 downto 8)) then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= s302;
else
next_state <= s301;
end if;
when s302 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s302;
end if;
when RES =>
next_state <= s544;
when s511 =>
if (rdy_i = '1' and
zw_REG_OP = X"E5") then
next_state <= s574;
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' and
zw_REG_OP = X"F5") then
next_state <= s569;
elsif (rdy_i = '1' and
zw_REG_OP = X"ED") then
next_state <= s559;
elsif (rdy_i = '1' and
zw_REG_OP = X"FD") then
next_state <= s562;
elsif (rdy_i = '1' and
zw_REG_OP = X"F9") then
next_state <= s567;
elsif (rdy_i = '1' and
zw_REG_OP = X"F1") then
next_state <= s568;
elsif (rdy_i = '1' and
zw_REG_OP = X"E1") then
next_state <= s570;
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '1') then
next_state <= FETCH;
else
next_state <= s511;
end if;
when s559 =>
if (rdy_i = '1') then
next_state <= s574;
else
next_state <= s559;
end if;
when s562 =>
if (rdy_i = '1') then
next_state <= s573;
else
next_state <= s562;
end if;
when s567 =>
if (rdy_i = '1') then
next_state <= s573;
else
next_state <= s567;
end if;
when s568 =>
if (rdy_i = '1') then
next_state <= s571;
else
next_state <= s568;
end if;
when s569 =>
if (rdy_i = '1') then
next_state <= s574;
else
next_state <= s569;
end if;
when s570 =>
if (rdy_i = '1') then
next_state <= s572;
else
next_state <= s570;
end if;
when s571 =>
if (rdy_i = '1') then
next_state <= s573;
else
next_state <= s571;
end if;
when s572 =>
if (rdy_i = '1') then
next_state <= s559;
else
next_state <= s572;
end if;
when s573 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
next_state <= FETCH;
elsif (rdy_i = '1') then
next_state <= s574;
else
next_state <= s573;
end if;
when s574 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
next_state <= FETCH;
elsif (rdy_i = '1' and
reg_F(3) = '1') then
next_state <= FETCH;
else
next_state <= s574;
end if;
when s548 =>
if (rdy_i = '1') then
next_state <= s551;
else
next_state <= s548;
end if;
when s551 =>
next_state <= s552;
when s552 =>
next_state <= s576;
when s575 =>
if (rdy_i = '1') then
next_state <= s577;
else
next_state <= s575;
end if;
when s576 =>
next_state <= s575;
when s577 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s577;
end if;
when s532 =>
if (rdy_i = '1') then
next_state <= s533;
else
next_state <= s532;
end if;
when s533 =>
next_state <= s534;
when s534 =>
next_state <= s536;
when s535 =>
if (rdy_i = '1') then
next_state <= s537;
else
next_state <= s535;
end if;
when s536 =>
next_state <= s535;
when s537 =>
if (rdy_i = '1') then
next_state <= FETCH;
else
next_state <= s537;
end if;
when others =>
next_state <= RES;
end case;
end process nextstate_proc;
-----------------------------------------------------------------
output_proc : process (
adr_nxt_pc_i,
adr_pc_i,
adr_sp_i,
current_state,
d_alu_i,
d_i,
d_regs_out_i,
irq_n_i,
nmi_i,
q_a_i,
q_x_i,
q_y_i,
rdy_i,
reg_F,
reg_sel_pc_as,
reg_sel_pc_in,
reg_sel_pc_val,
reg_sel_rb_in,
reg_sel_rb_out,
reg_sel_reg,
reg_sel_sp_as,
reg_sel_sp_in,
sig_PC,
zw_ALU,
zw_ALU1,
zw_ALU2,
zw_ALU3,
zw_ALU4,
zw_ALU5,
zw_ALU6,
zw_REG_OP,
zw_b1,
zw_b2,
zw_b3,
zw_b4,
zw_w1
)
-----------------------------------------------------------------
begin
-- Default Assignment
a_o <= sig_PC;
adr_o <= X"0000";
ch_a_o <= X"00";
ch_b_o <= X"00";
d_regs_in_o <= X"00";
fetch_o <= '0';
ld_o <= "00";
ld_pc_o <= '0';
ld_sp_o <= '0';
load_regs_o <= '0';
offset_o <= X"0000";
sel_pc_as_o <= reg_sel_pc_as;
sel_pc_in_o <= reg_sel_pc_in;
sel_pc_val_o <= reg_sel_pc_val;
sel_rb_in_o <= reg_sel_rb_in;
sel_rb_out_o <= reg_sel_rb_out;
sel_reg_o <= reg_sel_reg;
sel_sp_as_o <= reg_sel_sp_as;
sel_sp_in_o <= reg_sel_sp_in;
-- Default Assignment To Internals
sig_D_OUT <= X"00";
sig_RD <= '1';
sig_RWn <= '1';
sig_SYNC <= '0';
sig_WR <= '0';
zw_ALU <= '0' & X"00";
zw_ALU1 <= '0' & X"00";
zw_ALU2 <= '0' & X"00";
zw_ALU3 <= '0' & X"00";
zw_ALU4 <= '0' & X"00";
zw_ALU5 <= '0' & X"00";
zw_ALU6 <= '0' & X"00";
-- Combined Actions
case current_state is
when FETCH =>
sig_RWn <= '1';
sig_RD <= '1';
sig_SYNC <= NOT (rdy_i);
if ((nmi_i = '1') and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((irq_n_i = '0' and
reg_F(2) = '0') and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"69" or
d_i = X"65" or
d_i = X"75" or
d_i = X"6D" or
d_i = X"7D" or
d_i = X"79" or
d_i = X"61" or
d_i = X"71") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"06" or
d_i = X"16" or
d_i = X"0E" or
d_i = X"1E") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"90" or
d_i = X"B0" or
d_i = X"F0" or
d_i = X"30" or
d_i = X"D0" or
d_i = X"10" or
d_i = X"50" or
d_i = X"70") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"24" or
d_i = X"2C") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"00") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"18") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"D8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"58") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"B8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"E0" or
d_i = X"E4" or
d_i = X"EC") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"C0" or
d_i = X"C4" or
d_i = X"CC") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"C6" or
d_i = X"D6" or
d_i = X"CE" or
d_i = X"DE") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"CA") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"88") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"49" or
d_i = X"45" or
d_i = X"55" or
d_i = X"4D" or
d_i = X"5D" or
d_i = X"59" or
d_i = X"41" or
d_i = X"51" or
d_i = X"09" or
d_i = X"05" or
d_i = X"15" or
d_i = X"0D" or
d_i = X"1D" or
d_i = X"19" or
d_i = X"01" or
d_i = X"11" or
d_i = X"29" or
d_i = X"25" or
d_i = X"35" or
d_i = X"2D" or
d_i = X"3D" or
d_i = X"39" or
d_i = X"21" or
d_i = X"31" or
d_i = X"C9" or
d_i = X"C5" or
d_i = X"D5" or
d_i = X"CD" or
d_i = X"DD" or
d_i = X"D9" or
d_i = X"C1" or
d_i = X"D1") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"E6" or
d_i = X"F6" or
d_i = X"EE" or
d_i = X"FE") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"E8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"C8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"4C" or
d_i = X"6C") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"20") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"A9" or
d_i = X"A5" or
d_i = X"B5" or
d_i = X"AD" or
d_i = X"BD" or
d_i = X"B9" or
d_i = X"A1" or
d_i = X"B1") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"A2" or
d_i = X"A6" or
d_i = X"B6" or
d_i = X"AE" or
d_i = X"BE") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"A0" or
d_i = X"A4" or
d_i = X"B4" or
d_i = X"AC" or
d_i = X"BC") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"46" or
d_i = X"56" or
d_i = X"4E" or
d_i = X"5E") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"EA") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"48") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"08") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"68") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"28") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"26" or
d_i = X"36" or
d_i = X"2E" or
d_i = X"3E") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"66" or
d_i = X"76" or
d_i = X"6E" or
d_i = X"7E") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"40") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"60") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"E9" or
d_i = X"E5" or
d_i = X"F5" or
d_i = X"ED" or
d_i = X"FD" or
d_i = X"F9" or
d_i = X"E1" or
d_i = X"F1") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"38") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"F8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"78") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"85" or
d_i = X"95" or
d_i = X"8D" or
d_i = X"9D" or
d_i = X"99" or
d_i = X"81" or
d_i = X"91") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"86" or
d_i = X"96" or
d_i = X"8E") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"84" or
d_i = X"94" or
d_i = X"8C") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"AA") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"0A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"4A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"2A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"6A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"A8") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"98") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"BA") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"8A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((d_i = X"9A") and (rdy_i = '1')) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s1 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s2 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s5 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s3 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s4 =>
if (rdy_i = '1' and
zw_REG_OP = X"9A") then
adr_o <= X"01" & d_regs_out_i;
ld_o <= "11";
ld_sp_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"BA") then
d_regs_in_o <= adr_sp_i (7 downto 0);
ch_a_o <= adr_sp_i (7 downto 0);
ch_b_o <= X"00";
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1') then
ch_a_o <= d_regs_out_i;
ch_b_o <= X"00";
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s12 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s16 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s17 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s24 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s25 =>
if (rdy_i = '1') then
d_regs_in_o <= d_alu_i;
ch_a_o <= d_regs_out_i;
ch_b_o <= zw_b4;
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s273 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s307 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s177 =>
if (rdy_i = '1' and
(zw_REG_OP = X"85" OR
zw_REG_OP = X"86" OR
zw_REG_OP = X"84")) then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= d_regs_out_i;
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"95" OR
zw_REG_OP = X"94")) then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"8D" OR
zw_REG_OP = X"8E" OR
zw_REG_OP = X"8C")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"9D") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"99") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_y_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"91") then
ch_a_o <= d_i;
ch_b_o <= X"01";
elsif (rdy_i = '1' and
zw_REG_OP = X"81") then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"96") then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s180 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s181 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s182 =>
sig_RWn <= '1';
sig_RD <= '1';
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s183 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= d_regs_out_i;
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s184 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s185 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= d_regs_out_i;
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s187 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s188 =>
if (rdy_i = '1') then
ch_a_o <= zw_b1;
ch_b_o <= X"01";
end if;
when s189 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s190 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s191 =>
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= d_regs_out_i;
when s192 =>
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= d_regs_out_i;
ld_o <= "11";
ld_pc_o <= '1';
when s193 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s377 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= q_a_i;
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s381 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s378 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= reg_F;
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s382 =>
sig_SYNC <= '1';
fetch_o <= '1';
when s379 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s384 =>
if (rdy_i = '1') then
d_regs_in_o <= d_i;
load_regs_o <= '1';
ch_a_o <= d_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s380 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s386 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s387 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s388 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s389 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s392 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s390 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s393 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
end if;
when s395 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s396 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s397 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
ld_pc_o <= '1';
end if;
when s398 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (15 downto 8);
end if;
when s399 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (7 downto 0);
when s401 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s526 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
ld_pc_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (15 downto 8);
end if;
when s527 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (7 downto 0);
when s528 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= reg_F OR X"10";
when s530 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s544 =>
ld_o <= "11";
ld_sp_o <= '1';
when s545 =>
adr_o <= X"FFFB";
ld_o <= "11";
ld_pc_o <= '1';
when s546 =>
ld_o <= "11";
ld_pc_o <= '1';
when s549 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_w1 (7 downto 0);
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s550 =>
ld_o <= "11";
ld_sp_o <= '1';
when s404 =>
if (rdy_i = '1') then
ch_a_o <= q_a_i (6 downto 0) & '0';
ch_b_o <= X"00";
d_regs_in_o <= q_a_i (6 downto 0) & '0';
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s556 =>
if (rdy_i = '1') then
ch_a_o <= '0' & q_a_i (7 downto 1);
ch_b_o <= X"00";
d_regs_in_o <= '0' & q_a_i (7 downto 1);
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s557 =>
if (rdy_i = '1') then
ch_a_o <= q_a_i (6 downto 0) & reg_F(0);
ch_b_o <= X"00";
d_regs_in_o <= q_a_i (6 downto 0) & reg_F(0);
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s579 =>
if (rdy_i = '1') then
ch_a_o <= reg_F(0) & q_a_i (7 downto 1);
ch_b_o <= X"00";
d_regs_in_o <= reg_F(0) & q_a_i (7 downto 1);
load_regs_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s201 =>
if (rdy_i = '1' and
(zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR
zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR
zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR
zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= d_i OR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i OR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= d_i XOR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i XOR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= d_i AND q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i AND q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
ld_o <= "11";
ld_pc_o <= '1';
zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR
zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= d_i;
load_regs_o <= '1';
ch_a_o <= d_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"B5" OR
zw_REG_OP = X"B4" OR
zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR
zw_REG_OP = X"35" OR
zw_REG_OP = X"D5")) then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"AD" OR
zw_REG_OP = X"AE" OR
zw_REG_OP = X"AC" OR
zw_REG_OP = X"4D" OR
zw_REG_OP = X"0D" OR
zw_REG_OP = X"2D" OR
zw_REG_OP = X"CD" OR
zw_REG_OP = X"EC" OR
zw_REG_OP = X"CC")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"BD" OR
zw_REG_OP = X"BC" OR
zw_REG_OP = X"5D" OR
zw_REG_OP = X"1D" OR
zw_REG_OP = X"3D" OR
zw_REG_OP = X"DD")) then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B9" OR
zw_REG_OP = X"BE" OR
zw_REG_OP = X"59" OR
zw_REG_OP = X"19" OR
zw_REG_OP = X"39" OR
zw_REG_OP = X"D9")) then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_y_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"B1" OR
zw_REG_OP = X"51" OR
zw_REG_OP = X"11" OR
zw_REG_OP = X"31" OR
zw_REG_OP = X"D1")) then
ch_a_o <= d_i;
ch_b_o <= X"01";
elsif (rdy_i = '1' and
(zw_REG_OP = X"A1" OR
zw_REG_OP = X"41" OR
zw_REG_OP = X"01" OR
zw_REG_OP = X"21" OR
zw_REG_OP = X"C1")) then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"B6") then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s202 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s210 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s211 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s215 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s217 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s222 =>
if (rdy_i = '1') then
ch_a_o <= zw_b1;
ch_b_o <= X"01";
end if;
when s223 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s224 =>
if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
d_regs_in_o <= d_i OR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i OR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
d_regs_in_o <= d_i XOR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i XOR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
d_regs_in_o <= d_i AND q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i AND q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1') then
d_regs_in_o <= d_i;
load_regs_o <= '1';
ch_a_o <= d_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s225 =>
if ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or
zw_REG_OP = X"15" or zw_REG_OP = X"0D" or
zw_REG_OP = X"1D" or zw_REG_OP = X"19" or
zw_REG_OP = X"01" or zw_REG_OP = X"11")) then
d_regs_in_o <= d_i OR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i OR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or
zw_REG_OP = X"55" or zw_REG_OP = X"4D" or
zw_REG_OP = X"5D" or zw_REG_OP = X"59" or
zw_REG_OP = X"41" or zw_REG_OP = X"51")) then
d_regs_in_o <= d_i XOR q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i XOR q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or
zw_REG_OP = X"35" or zw_REG_OP = X"2D" or
zw_REG_OP = X"3D" or zw_REG_OP = X"39" or
zw_REG_OP = X"21" or zw_REG_OP = X"31")) then
d_regs_in_o <= d_i AND q_a_i;
load_regs_o <= '1';
ch_a_o <= d_i AND q_a_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
elsif ((rdy_i = '1' AND
zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or
zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or
zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or
zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or
zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or
zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or
zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then
zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1;
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0') then
d_regs_in_o <= d_i;
load_regs_o <= '1';
ch_a_o <= d_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s226 =>
if (rdy_i = '1' and
(zw_REG_OP = X"C6" OR
zw_REG_OP = X"E6")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"D6" OR
zw_REG_OP = X"F6")) then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"CE" OR
zw_REG_OP = X"EE")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"DE" OR
zw_REG_OP = X"FE")) then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
end if;
when s243 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s244 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s247 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s343 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= zw_b4;
end if;
when s250 =>
if (rdy_i = '1') then
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= zw_b1;
end if;
when s251 =>
ch_a_o <= zw_b1;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
when s351 =>
if (rdy_i = '1' and
zw_REG_OP = X"24") then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"2C") then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s361 =>
if (rdy_i = '1') then
ch_a_o <= q_a_i AND d_i;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s360 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s403 =>
if (rdy_i = '1' and
(zw_REG_OP = X"1E" or
zw_REG_OP = X"7E" or
zw_REG_OP = X"3E" or
zw_REG_OP = X"5E")) then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"66" or
zw_REG_OP = X"26" or
zw_REG_OP = X"46")) then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"16" or
zw_REG_OP = X"76" or
zw_REG_OP = X"36" or
zw_REG_OP = X"56")) then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
(zw_REG_OP = X"0E" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"4E")) then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s406 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s407 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= "0000000" & zw_b2(0);
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s409 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s416 =>
if (rdy_i = '1' and
(zw_REG_OP = X"06" or
zw_REG_OP = X"16" or
zw_REG_OP = X"0E" or
zw_REG_OP = X"1E")) then
sig_D_OUT <= d_i(6 downto 0) & '0';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"46" or
zw_REG_OP = X"56" or
zw_REG_OP = X"4E" or
zw_REG_OP = X"5E")) then
sig_D_OUT <= '0' & d_i(7 downto 1);
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"26" or
zw_REG_OP = X"36" or
zw_REG_OP = X"2E" or
zw_REG_OP = X"3E")) then
sig_D_OUT <= d_i(6 downto 0) & reg_F(0);
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
elsif (rdy_i = '1' and
(zw_REG_OP = X"66" or
zw_REG_OP = X"76" or
zw_REG_OP = X"6E" or
zw_REG_OP = X"7E")) then
sig_D_OUT <= reg_F(0) & d_i(7 downto 1);
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
end if;
when s418 =>
ch_a_o <= zw_b1;
ch_b_o <= X"00";
sig_SYNC <= '1';
fetch_o <= '1';
when s510 =>
if (rdy_i = '1' and
zw_REG_OP = X"65") then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '0') then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"75") then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"6D") then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"7D") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"79") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_y_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"71") then
ch_a_o <= d_i;
ch_b_o <= X"01";
elsif (rdy_i = '1' and
zw_REG_OP = X"61") then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"69" and
reg_F(3) = '1') then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(7 downto 5));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(7 downto 5));
zw_ALU6(7 downto 5) <= (zw_ALU2(4) OR zw_ALU4(4)) & (zw_ALU2(4) OR zw_ALU4(4)) & '0';
zw_ALU5(7 downto 5) <= (zw_ALU1(4) OR zw_ALU3(4)) & (zw_ALU1(4) OR zw_ALU3(4)) & '0';
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & d_i(3 downto 0)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s553 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s555 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= X"01";
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s558 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s560 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s563 =>
if (rdy_i = '1') then
ch_a_o <= zw_b1;
ch_b_o <= X"01";
end if;
when s564 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(7 downto 5));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(7 downto 5));
zw_ALU6(7 downto 5) <= (zw_ALU2(4) OR zw_ALU4(4)) & (zw_ALU2(4) OR zw_ALU4(4)) & '0';
zw_ALU5(7 downto 5) <= (zw_ALU1(4) OR zw_ALU3(4)) & (zw_ALU1(4) OR zw_ALU3(4)) & '0';
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & d_i(3 downto 0)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s565 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
reg_F(3) = '1') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(7 downto 5));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(7 downto 5));
zw_ALU6(7 downto 5) <= (zw_ALU2(4) OR zw_ALU4(4)) & (zw_ALU2(4) OR zw_ALU4(4)) & '0';
zw_ALU5(7 downto 5) <= (zw_ALU1(4) OR zw_ALU3(4)) & (zw_ALU1(4) OR zw_ALU3(4)) & '0';
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4));
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & d_i(3 downto 0)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s566 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= X"01";
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s266 =>
if (rdy_i = '1' and (
(reg_F(0) = '1' and zw_REG_OP = X"90") or
(reg_F(0) = '0' and zw_REG_OP = X"B0") or
(reg_F(1) = '0' and zw_REG_OP = X"F0") or
(reg_F(7) = '0' and zw_REG_OP = X"30") or
(reg_F(1) = '1' and zw_REG_OP = X"D0") or
(reg_F(7) = '1' and zw_REG_OP = X"10") or
(reg_F(6) = '1' and zw_REG_OP = X"50") or
(reg_F(6) = '0' and zw_REG_OP = X"70"))) then
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s301 =>
if (rdy_i = '1' and
zw_b3 = adr_nxt_pc_i (15 downto 8)) then
offset_o <= (zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) &
zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(6 downto 0));
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1') then
offset_o <= (zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) &
zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(6 downto 0));
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s302 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when RES =>
sig_RWn <= '1';
sig_RD <= '1';
ld_o <= "11";
ld_pc_o <= '1';
ld_sp_o <= '1';
sig_RWn <= '1';
sig_RD <= '1';
when s511 =>
if (rdy_i = '1' and
zw_REG_OP = X"E5") then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '0') then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"F5") then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"ED") then
ld_o <= "11";
ld_pc_o <= '1';
elsif (rdy_i = '1' and
zw_REG_OP = X"FD") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"F9") then
ld_o <= "11";
ld_pc_o <= '1';
ch_a_o <= d_i;
ch_b_o <= q_y_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"F1") then
ch_a_o <= d_i;
ch_b_o <= X"01";
elsif (rdy_i = '1' and
zw_REG_OP = X"E1") then
ch_a_o <= d_i;
ch_b_o <= q_x_i;
elsif (rdy_i = '1' and
zw_REG_OP = X"E9" and
reg_F(3) = '1') then
ld_o <= "11";
ld_pc_o <= '1';
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) +
unsigned ((zw_ALU6(8 downto 5)));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) +
unsigned ((zw_ALU5(8 downto 5)));
zw_ALU6(8 downto 5) <= (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0' &
(zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0';
zw_ALU5(8 downto 5) <= (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' &
(zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' ;
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & NOT (d_i(3 downto 0))) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s559 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s562 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= X"01";
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s567 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= X"01";
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s568 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= q_y_i;
end if;
when s569 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s571 =>
if (rdy_i = '1') then
ch_a_o <= d_i;
ch_b_o <= X"01";
ld_o <= "11";
ld_pc_o <= '1';
end if;
when s572 =>
if (rdy_i = '1') then
ch_a_o <= zw_b1;
ch_b_o <= X"01";
end if;
when s573 =>
if (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '0') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' AND
zw_b2(0) = '0' and
reg_F(3) = '1') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) +
unsigned ((zw_ALU6(8 downto 5)));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) +
unsigned ((zw_ALU5(8 downto 5)));
zw_ALU6(8 downto 5) <= (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0' &
(zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0';
zw_ALU5(8 downto 5) <= (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' &
(zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' ;
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & NOT (d_i(3 downto 0))) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s574 =>
if (rdy_i = '1' and
reg_F(3) = '0') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
elsif (rdy_i = '1' and
reg_F(3) = '1') then
d_regs_in_o <= zw_ALU(7 downto 0);
load_regs_o <= '1';
zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) +
unsigned ((zw_ALU6(8 downto 5)));
zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) +
unsigned ((zw_ALU5(8 downto 5)));
zw_ALU6(8 downto 5) <= (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0' &
(zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0';
zw_ALU5(8 downto 5) <= (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' &
(zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' ;
zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6;
zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned
('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4);
zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6;
zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned
('0' & NOT (d_i(3 downto 0))) + reg_F(0);
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s548 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
ld_pc_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (15 downto 8);
end if;
when s551 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (7 downto 0);
when s552 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= reg_F;
when s577 =>
if (rdy_i = '1') then
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when s532 =>
if (rdy_i = '1') then
ld_o <= "11";
ld_sp_o <= '1';
ld_pc_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (15 downto 8);
end if;
when s533 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= adr_pc_i (7 downto 0);
when s534 =>
ld_o <= "11";
ld_sp_o <= '1';
sig_RWn <= '0';
sig_RD <= '0';
sig_WR <= '1';
sig_D_OUT <= reg_F;
when s537 =>
if (rdy_i = '1') then
adr_o <= d_i & zw_b1;
ld_o <= "11";
ld_pc_o <= '1';
sig_SYNC <= '1';
fetch_o <= '1';
end if;
when others =>
null;
end case;
end process output_proc;
-- Concurrent Statements
-- Clocked output assignments
d_o <= d_o_cld;
rd_o <= rd_o_cld;
sync_o <= sync_o_cld;
wr_n_o <= wr_n_o_cld;
wr_o <= wr_o_cld;
end fsm;
|
-- $Id: $
-- File name: computerInterceptor.vhd
-- Created: 4/8/2012
-- Author: John Wyant
-- Lab Section: 337-02
-- Version: 1.0 Initial Design Entry
-- Description: Runs the data line between the interceptor and the computer.
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.std_logic_arith.ALL;
USE IEEE.std_logic_unsigned.ALL;
entity computerInterceptor is
port ( usbClk, rst, computerDataPlus, computerDataMinus, computerLock, clk, eop: in std_logic;
computerDataPlusOutput, computerDataMinusOutput : out std_logic);
end computerInterceptor;
architecture behavioral of computerInterceptor is
signal usbInt1,usbInt2 : std_logic;
signal eopInt1,eopInt2,computerDataPlusSync, computerDataMinusSync, nextComputerLock, nextComputerDataPlusOutput, nextComputerDataMinusOutput : std_logic;
begin
greg : process ( rst, clk )
begin
if rst = '0' then --resets all registers
computerDataMinusOutput <= '0'; --resets output to USB device
computerDataPlusOutput <= '1'; --resets output to USB device
computerDataPlusSync <= '1'; --resets sync for computer input
computerDataMinusSync <= '0'; --resets sync for computer input
usbInt2 <= '0'; --resets idle detection
usbInt1 <= '1'; --resets idle detection
eopInt1 <= '0'; --resets end of packet sync
eopInt2 <= '0'; --resets end of packet sync
elsif clk'event and clk = '1' then --updates all registers
usbInt2 <= usbInt1;
usbInt1 <= usbClk;
computerDataPlusSync <= computerDataPlus;
computerDataMinusSync <= computerDataMinus;
eopInt1 <= eop;
eopInt2 <= eopInt1;
if (usbInt2 = '0' and usbInt1 = '1') or (eop = '1') then --if the input goes idle or an end of pack is detected
computerDataMinusOutput <= nextComputerDataMinusOutput; --then it updates the output (lines output up with USB clock)
computerDataPlusOutput <= nextComputerDataPlusOutput;
end if;
if (eopInt1 = '0' and eopInt2 = '1') then --when the end of packet shows idle the output should go idle
computerDataPlusOutput <= '1';
computerDataMinusOutput <= '0';
end if;
end if;
end process greg;
logic : process (eop,computerDataPlusSync,computerDataMinusSync,computerLock) --state
begin
if computerLock = '1' and eop = '0' then -- if the lock is for the computer to run then the input should be prepared to shift into the output
nextComputerDataPlusOutput <= computerDataPlusSync;
nextComputerDataMinusOutput <= computerDataMinusSync;
elsif computerLock = '1' and eop = '1' then -- if it is an end of packet the output line needs to be prepared for end of packet
nextComputerDataPlusOutput <= '0';
nextComputerDataMinusOutput <= '0';
else
nextComputerDataPlusOutput <= '1'; -- otherwise the output needs to be prepared to go idle
nextComputerDataMinusOutput <= '0';
end if;
end process logic;
end architecture; |
------------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
-- Copyright (C) 2013 Aeroflex Gaisler
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
use gaisler.net.all;
use work.debug.all;
library techmap;
use techmap.gencomp.all;
library micron;
use micron.components.all;
library grlib;
use grlib.stdlib.all;
use grlib.amba.all;
use work.config.all; -- configuration
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 10; -- system clock period
romdepth : integer := 25; -- rom address depth
sramwidth : integer := 32; -- ram data width (8/16/32)
sramdepth : integer := 20; -- ram address depth
srambanks : integer := 2 -- number of ram banks
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
constant ct : integer := clkperiod/2;
-- clocks
signal OSC_50_BANK2 : std_logic := '0';
signal OSC_50_BANK3 : std_logic := '0';
signal OSC_50_BANK4 : std_logic := '0';
signal OSC_50_BANK5 : std_logic := '0';
signal OSC_50_BANK6 : std_logic := '0';
signal OSC_50_BANK7 : std_logic := '0';
signal PLL_CLKIN_p : std_logic := '0';
signal SMA_CLKIN_p : std_logic := '0';
--signal SMA_GXBCLK_p : std_logic;
signal GCLKIN : std_logic := '0';
-- signal GCLKOUT_FPGA : std_logic := '0';
-- signal SMA_CLKOUT_p : std_logic := '0';
signal clk_125 : std_logic := '0';
-- cpu reset
signal CPU_RESET_n : std_ulogic := '0';
-- max i/o
-- signal MAX_CONF_D : std_logic_vector(3 downto 0);
-- signal MAX_I2C_SCLK : std_logic;
-- signal MAX_I2C_SDAT : std_logic;
-- LEDs
signal LED : std_logic_vector(7 downto 0);
-- buttons
signal BUTTON : std_logic_vector(3 downto 0);
-- switches
signal SW : std_logic_vector(3 downto 0);
-- slide switches
signal SLIDE_SW : std_logic_vector(3 downto 0);
-- temperature
-- signal TEMP_SMCLK : std_logic;
-- signal TEMP_SMDAT : std_logic;
-- signal TEMP_INT_n : std_logic;
-- current
signal CSENSE_ADC_FO : std_logic;
signal CSENSE_SCK : std_logic;
signal CSENSE_SDI : std_logic;
signal CSENSE_SDO : std_logic;
signal CSENSE_CS_n : std_logic_vector(1 downto 0);
-- fan
signal FAN_CTRL : std_logic;
-- eeprom
signal EEP_SCL : std_logic;
signal EEP_SDA : std_logic;
-- sdcard
-- signal SD_CLK : std_logic;
-- signal SD_CMD : std_logic;
-- signal SD_DAT : std_logic_vector(3 downto 0);
-- signal SD_WP_n : std_logic;
-- Ethernet interfaces
signal ETH_INT_n : std_logic_vector(3 downto 0);
signal ETH_MDC : std_logic_vector(3 downto 0);
signal ETH_MDIO : std_logic_vector(3 downto 0);
signal ETH_RST_n : std_ulogic;
signal ETH_RX_p : std_logic_vector(3 downto 0);
signal ETH_TX_p : std_logic_vector(3 downto 0);
-- PCIe interfaces
--signal PCIE_PREST_n : std_ulogic;
--signal PCIE_REFCLK_p : std_ulogic;
--signal PCIE_RX_p : std_logic_vector(7 downto 0);
--signal PCIE_SMBCLK : std_logic;
--signal PCIE_SMBDAT : std_logic;
--signal PCIE_TX_p : std_logic_vector(7 downto 0);
--signal PCIE_WAKE_n : std_logic;
-- Flash and SRAM, shared signals
signal FSM_A : std_logic_vector(25 downto 1);
signal FSM_D : std_logic_vector(15 downto 0);
-- Flash control
signal FLASH_ADV_n : std_ulogic;
signal FLASH_CE_n : std_ulogic;
signal FLASH_CLK : std_ulogic;
signal FLASH_OE_n : std_ulogic;
signal FLASH_RESET_n : std_ulogic;
signal FLASH_RYBY_n : std_ulogic;
signal FLASH_WE_n : std_ulogic;
-- SSRAM control
signal SSRAM_ADV : std_ulogic;
signal SSRAM_BWA_n : std_ulogic;
signal SSRAM_BWB_n : std_ulogic;
signal SSRAM_CE_n : std_ulogic;
signal SSRAM_CKE_n : std_ulogic;
signal SSRAM_CLK : std_ulogic;
signal SSRAM_OE_n : std_ulogic;
signal SSRAM_WE_n : std_ulogic;
-- USB OTG
--signal OTG_A : std_logic_vector(17 downto 1);
--signal OTG_CS_n : std_ulogic;
--signal OTG_D : std_logic_vector(31 downto 0);
--signal OTG_DC_DACK : std_ulogic;
--signal OTG_DC_DREQ : std_ulogic;
--signal OTG_DC_IRQ : std_ulogic;
--signal OTG_HC_DACK : std_ulogic;
--signal OTG_HC_DREQ : std_ulogic;
--signal OTG_HC_IRQ : std_ulogic;
--signal OTG_OE_n : std_ulogic;
--signal OTG_RESET_n : std_ulogic;
--signal OTG_WE_n : std_ulogic;
-- SATA
--signal SATA_REFCLK_p : std_logic;
--signal SATA_HOST_RX_p : std_logic_vector(1 downto 0);
--signal SATA_HOST_TX_p : std_logic_vector(1 downto 0);
--signal SATA_DEVICE_RX_p : std_logic_vector(1 downto 0);
--signal SATA_DEVICE_TX_p : std_logic_vector(1 downto 0);
-- DDR2 SODIMM
signal M1_DDR2_addr : std_logic_vector(15 downto 0);
signal M1_DDR2_ba : std_logic_vector(2 downto 0);
signal M1_DDR2_cas_n : std_logic;
signal M1_DDR2_cke : std_logic_vector(1 downto 0);
signal M1_DDR2_clk : std_logic_vector(1 downto 0);
signal M1_DDR2_clk_n : std_logic_vector(1 downto 0);
signal M1_DDR2_cs_n : std_logic_vector(1 downto 0);
signal M1_DDR2_dm : std_logic_vector(7 downto 0);
signal M1_DDR2_dq : std_logic_vector(63 downto 0);
signal M1_DDR2_dqs : std_logic_vector(7 downto 0);
signal M1_DDR2_dqsn : std_logic_vector(7 downto 0);
signal M1_DDR2_odt : std_logic_vector(1 downto 0);
signal M1_DDR2_ras_n : std_logic;
-- signal M1_DDR2_SA : std_logic_vector(1 downto 0);
-- signal M1_DDR2_SCL : std_logic;
-- signal M1_DDR2_SDA : std_logic;
signal M1_DDR2_we_n : std_logic;
signal M1_DDR2_oct_rdn : std_logic;
signal M1_DDR2_oct_rup : std_logic;
-- DDR2 SODIMM
--signal M2_DDR2_addr : std_logic_vector(15 downto 0);
--signal M2_DDR2_ba : std_logic_vector(2 downto 0);
--signal M2_DDR2_cas_n : std_logic;
--signal M2_DDR2_cke : std_logic_vector(1 downto 0);
--signal M2_DDR2_clk : std_logic_vector(1 downto 0);
--signal M2_DDR2_clk_n : std_logic_vector(1 downto 0);
--signal M2_DDR2_cs_n : std_logic_vector(1 downto 0);
--signal M2_DDR2_dm : std_logic_vector(7 downto 0);
--signal M2_DDR2_dq : std_logic_vector(63 downto 0);
--signal M2_DDR2_dqs : std_logic_vector(7 downto 0);
--signal M2_DDR2_dqsn : std_logic_vector(7 downto 0);
--signal M2_DDR2_odt : std_logic_vector(1 downto 0);
--signal M2_DDR2_ras_n : std_logic;
--signal M2_DDR2_SA : std_logic_vector(1 downto 0);
--signal M2_DDR2_SCL : std_logic;
--signal M2_DDR2_SDA : std_logic;
--signal M2_DDR2_we_n : std_logic;
-- GPIO
signal GPIO0_D : std_logic_vector(35 downto 0);
-- signal GPIO1_D : std_logic_vector(35 downto 0);
-- Ext I/O
signal EXT_IO : std_logic;
-- HSMC A
-- signal HSMA_CLKIN_n1 : std_logic;
-- signal HSMA_CLKIN_n2 : std_logic;
-- signal HSMA_CLKIN_p1 : std_logic;
-- signal HSMA_CLKIN_p2 : std_logic;
-- signal HSMA_CLKIN0 : std_logic;
signal HSMA_CLKOUT_n2 : std_logic;
signal HSMA_CLKOUT_p2 : std_logic;
-- signal HSMA_D : std_logic_vector(3 downto 0);
-- HSMA_GXB_RX_p : std_logic_vector(3 downto 0);
-- HSMA_GXB_TX_p : std_logic_vector(3 downto 0);
-- signal HSMA_OUT_n1 : std_logic;
-- signal HSMA_OUT_p1 : std_logic;
-- signal HSMA_OUT0 : std_logic;
-- HSMA_REFCLK_p : in std_logic;
-- signal HSMA_RX_n : std_logic_vector(16 downto 0);
-- signal HSMA_RX_p : std_logic_vector(16 downto 0);
-- signal HSMA_TX_n : std_logic_vector(16 downto 0);
-- signal HSMA_TX_p : std_logic_vector(16 downto 0);
-- HSMC_B
-- signal HSMB_CLKIN_n1 : std_logic;
-- signal HSMB_CLKIN_n2 : std_logic;
-- signal HSMB_CLKIN_p1 : std_logic;
-- signal HSMB_CLKIN_p2 : std_logic;
-- signal HSMB_CLKIN0 : std_logic;
-- signal HSMB_CLKOUT_n2 : std_logic;
-- signal HSMB_CLKOUT_p2 : std_logic;
-- signal HSMB_D : std_logic_vector(3 downto 0);
-- signal HSMB_GXB_RX_p : in std_logic_vector(3 downto 0);
-- signal HSMB_GXB_TX_p : out std_logic_vector(3 downto 0);
-- signal HSMB_OUT_n1 : std_logic;
-- signal HSMB_OUT_p1 : std_logic;
-- signal HSMB_OUT0 : std_logic;
-- signal HSMB_REFCLK_p : in std_logic;
-- signal HSMB_RX_n : std_logic_vector(16 downto 0);
-- signal HSMB_RX_p : std_logic_vector(16 downto 0);
-- signal HSMB_TX_n : std_logic_vector(16 downto 0);
-- signal HSMB_TX_p : std_logic_vector(16 downto 0);
-- HSMC i2c
-- signal HSMC_SCL : std_logic;
-- signal HSMC_SDA : std_logic;
-- Display
-- signal SEG0_D : std_logic_vector(6 downto 0);
-- signal SEG1_D : std_logic_vector(6 downto 0);
-- signal SEG0_DP : std_ulogic;
-- signal SEG1_DP : std_ulogic;
-- UART
signal UART_CTS : std_ulogic;
signal UART_RTS : std_ulogic;
signal UART_RXD : std_logic;
signal UART_TXD : std_logic;
signal dsuen, dsubren, dsuact : std_ulogic;
signal dsurst : std_ulogic;
signal rst_125 : std_logic;
constant lresp : boolean := false;
constant slips : integer := 11;
signal ETH_RX_p_0_d : std_logic;
signal ETH_RX_p_1_d : std_logic;
begin
-- clock and reset
-- 50 MHz clocks
OSC_50_BANK2 <= not OSC_50_BANK2 after 10 ns;
OSC_50_BANK3 <= not OSC_50_BANK3 after 10 ns;
OSC_50_BANK4 <= not OSC_50_BANK4 after 10 ns;
OSC_50_BANK5 <= not OSC_50_BANK5 after 10 ns;
OSC_50_BANK6 <= not OSC_50_BANK6 after 10 ns;
OSC_50_BANK7 <= not OSC_50_BANK7 after 10 ns;
-- 100 MHz
PLL_CLKIN_p <= not PLL_CLKIN_p after 5 ns;
SMA_CLKIN_p <= not SMA_CLKIN_p after 10 ns;
GCLKIN <= not GCLKIN after 10 ns;
clk_125 <= not clk_125 after 4 ns;
CPU_RESET_n <= '0', '1' after 200 ns;
-- various interfaces
-- MAX_CONF_D <= (others => 'H');
-- MAX_I2C_SDAT <= 'H';
BUTTON <= "HHHH";
SW <= (others => 'H');
SLIDE_SW <= (others => 'L');
-- TEMP_SMDAT <= 'H';
-- TEMP_INT_n <= 'H';
CSENSE_SCK <= 'H';
CSENSE_SDO <= 'H';
EEP_SDA <= 'H';
-- SD_CMD <= 'H';
-- SD_DAT <= (others => 'H');
-- SD_WP_n <= 'H';
GPIO0_D <= (others => 'H');
-- GPIO1_D <= (others => 'H');
EXT_IO <= 'H';
LED(0) <= 'H';
-- HSMC_SDA <= 'H';
UART_RTS <= '1';
UART_RXD <= 'H';
-- LEON3 SoC
d3 : entity work.leon3mp
generic map (
fabtech, memtech, padtech, clktech, disas, dbguart, pclow)
port map (
OSC_50_BANK2, OSC_50_BANK3, OSC_50_BANK4, OSC_50_BANK5, OSC_50_BANK6,
OSC_50_BANK7, PLL_CLKIN_p, SMA_CLKIN_p,
-- SMA_GXBCLK_p
GCLKIN,
-- GCLKOUT_FPGA, SMA_CLKOUT_p,
-- cpu reset
CPU_RESET_n,
-- max i/o
-- MAX_CONF_D, MAX_I2C_SCLK, MAX_I2C_SDAT,
-- LEDs
LED,
-- buttons
BUTTON,
-- switches
SW,
-- slide switches
SLIDE_SW,
-- temperature
-- TEMP_SMCLK, TEMP_SMDAT, TEMP_INT_n,
-- current
CSENSE_ADC_FO, CSENSE_SCK, CSENSE_SDI, CSENSE_SDO, CSENSE_CS_n,
-- fan
FAN_CTRL,
-- eeprom
EEP_SCL, EEP_SDA,
-- sdcard
-- SD_CLK, SD_CMD, SD_DAT, SD_WP_n,
-- Ethernet interfaces
ETH_INT_n, ETH_MDC, ETH_MDIO, ETH_RST_n, ETH_RX_p, ETH_TX_p,
-- PCIe interfaces
-- PCIE_PREST_n, PCIE_REFCLK_p, PCIE_RX_p, PCIE_SMBCLK,
-- PCIE_SMBDAT, PCIE_TX_p PCIE_WAKE_n
-- Flash and SRAM, shared signals
FSM_A, FSM_D,
-- Flash control
FLASH_ADV_n, FLASH_CE_n, FLASH_CLK, FLASH_OE_n,
FLASH_RESET_n, FLASH_RYBY_n, FLASH_WE_n,
-- SSRAM control
SSRAM_ADV, SSRAM_BWA_n, SSRAM_BWB_n, SSRAM_CE_n,
SSRAM_CKE_n, SSRAM_CLK, SSRAM_OE_n, SSRAM_WE_n,
-- USB OTG
-- OTG_A, OTG_CS_n, OTG_D, OTG_DC_DACK, OTG_DC_DRE, OTG_DC_IRQ,
-- OTG_HC_DACK, OTG_HC_DREQ, OTG_HC_IRQ, OTG_OE_n, OTG_RESET_n,
-- OTG_WE_n,
-- SATA
-- SATA_REFCLK_p, SATA_HOST_RX_p, SATA_HOST_TX_p, SATA_DEVICE_RX_p, SATA_DEVICE_TX_p,
-- DDR2 SODIMM
M1_DDR2_addr, M1_DDR2_ba, M1_DDR2_cas_n, M1_DDR2_cke, M1_DDR2_clk, M1_DDR2_clk_n,
M1_DDR2_cs_n, M1_DDR2_dm, M1_DDR2_dq, M1_DDR2_dqs, M1_DDR2_dqsn, M1_DDR2_odt,
M1_DDR2_ras_n,
-- M1_DDR2_SA, M1_DDR2_SCL, M1_DDR2_SDA,
M1_DDR2_we_n,
M1_DDR2_oct_rdn, M1_DDR2_oct_rup,
-- DDR2 SODIMM
-- M2_DDR2_addr, M2_DDR2_ba, M2_DDR2_cas_n, M2_DDR2_cke, M2_DDR2_clk, M2_DDR2_clk_n
-- M2_DDR2_cs_n, M2_DDR2_dm, M2_DDR2_dq, M2_DDR2_dqs, M2_DDR2_dqsn, M2_DDR2_odt,
-- M2_DDR2_ras_n, M2_DDR2_SA, M2_DDR2_SCL, M2_DDR2_SDA M2_DDR2_we_n
-- GPIO
GPIO0_D,
-- GPIO1_D,
-- Ext I/O
-- EXT_IO,
-- HSMC A
-- HSMA_CLKIN_n1, HSMA_CLKIN_n2, HSMA_CLKIN_p1, HSMA_CLKIN_p2, HSMA_CLKIN0,
HSMA_CLKOUT_n2, HSMA_CLKOUT_p2,
-- HSMA_D,
-- HSMA_GXB_RX_p, HSMA_GXB_TX_p,
-- HSMA_OUT_n1, HSMA_OUT_p1, HSMA_OUT0,
-- HSMA_REFCLK_p,
-- HSMA_RX_n, HSMA_RX_p, HSMA_TX_n, HSMA_TX_p,
-- HSMC_B
-- HSMB_CLKIN_n1, HSMB_CLKIN_n2, HSMB_CLKIN_p1, HSMB_CLKIN_p2, HSMB_CLKIN0,
-- HSMB_CLKOUT_n2, HSMB_CLKOUT_p2, HSMB_D,
-- HSMB_GXB_RX_p, HSMB_GXB_TX_p,
-- HSMB_OUT_n1, HSMB_OUT_p1, HSMB_OUT0,
-- HSMB_REFCLK_p,
-- HSMB_RX_n, HSMB_RX_p, HSMB_TX_n, HSMB_TX_p,
-- HSMC i2c
-- HSMC_SCL, HSMC_SDA,
-- Display
-- SEG0_D, SEG1_D, SEG0_DP, SEG1_DP,
-- UART
UART_CTS, UART_RTS, UART_RXD, UART_TXD
);
ethsim0 : if CFG_GRETH /= 0 generate
rst_125 <= not CPU_RESET_n;
-- delaying rx line
ETH_RX_p(0) <= transport ETH_RX_p_0_d after 0.8 ns * slips;
p0: ser_phy
generic map(
address => 0,
extended_regs => 1,
aneg => 1,
fd_10 => 1,
hd_10 => 1,
base100_t4 => 1,
base100_x_fd => 1,
base100_x_hd => 1,
base100_t2_fd => 1,
base100_t2_hd => 1,
base1000_x_fd => CFG_GRETH1G,
base1000_x_hd => CFG_GRETH1G,
base1000_t_fd => CFG_GRETH1G,
base1000_t_hd => CFG_GRETH1G,
fabtech => fabtech,
memtech => memtech
)
port map(
rstn => CPU_RESET_n,
clk_125 => clk_125,
rst_125 => rst_125,
eth_rx_p => ETH_RX_p_0_d,
eth_tx_p => ETH_TX_p(0),
mdio => ETH_MDIO(0),
mdc => ETH_MDC(0)
);
end generate;
ethsim1 : if CFG_GRETH2 /= 0 generate
-- delaying rx line
ETH_RX_p(1) <= transport ETH_RX_p_1_d after 0.8 ns * slips;
p1: ser_phy
generic map(
address => 1,
extended_regs => 1,
aneg => 1,
fd_10 => 1,
hd_10 => 1,
base100_t4 => 1,
base100_x_fd => 1,
base100_x_hd => 1,
base100_t2_fd => 1,
base100_t2_hd => 1,
base1000_x_fd => CFG_GRETH21G,
base1000_x_hd => CFG_GRETH21G,
base1000_t_fd => CFG_GRETH21G,
base1000_t_hd => CFG_GRETH21G,
fabtech => fabtech,
memtech => memtech
)
port map(
rstn => CPU_RESET_n,
clk_125 => clk_125,
rst_125 => rst_125,
eth_rx_p => ETH_RX_p_1_d,
eth_tx_p => ETH_TX_p(1),
mdio => ETH_MDIO(1),
mdc => ETH_MDC(1)
);
end generate;
prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile)
port map (FSM_A(romdepth downto 1), FSM_D, FLASH_CE_n, FLASH_CE_n, FLASH_CE_n,
FLASH_WE_n, FLASH_OE_n);
FLASH_RYBY_n <= 'H';
test0 : grtestmod
generic map ( width => 16 )
port map ( CPU_RESET_n, OSC_50_BANK3, LED(0), FSM_A(20 downto 1), FSM_D,
'0', FLASH_OE_n, FLASH_WE_n);
iuerr : process
begin
wait for 2500 ns;
if to_x01(LED(0)) = '1' then wait on LED(0); end if;
assert (to_x01(LED(0)) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
FSM_D <= buskeep(FSM_D) after 5 ns;
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := 320 * 1 ns;
begin
dsutx <= '1';
dsurst <= '0';
wait for 2500 ns;
dsurst <= '1';
wait;
wait for 5000 ns;
txc(dsutx, 16#55#, txp); -- sync uart--
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp);--
wait for 25000 ns;
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp);--
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp);--
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp);
txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp);--
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp);
txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp);--
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp);--
wait;
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#aa#, txp);
txa(dsutx, 16#00#, 16#55#, 16#00#, 16#55#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#a0#, txp);
txa(dsutx, 16#01#, 16#02#, 16#09#, 16#33#, txp);--
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#80#, 16#00#, 16#02#, 16#10#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);--
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp);--
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);--
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);--
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp);
txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);--
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);--
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);--
end;--
begin--
dsucfg(UART_TXD, UART_RXD);--
wait;
end process;
end ;
|
architecture RTL of FIFO is
begin
IF_LABEL : IF a = '1' generate
end generate;
-- Violations below
IF_LABEL : IF a = '1' generate
end generate;
end;
|
----------------------------------------------------------------------------
-- axi_datamover_addr_cntl.vhd
----------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_addr_cntl.vhd
--
-- Description:
-- This file implements the axi_datamover Master Address Controller.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_9;
Use axi_datamover_v5_1_9.axi_datamover_fifo;
-------------------------------------------------------------------------------
entity axi_datamover_addr_cntl is
generic (
C_ADDR_FIFO_DEPTH : Integer range 1 to 32 := 4;
-- sets the depth of the Command Queue FIFO
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Sets the address bus width
C_ADDR_ID : Integer range 0 to 255 := 0;
-- Sets the value to be on the AxID output
C_ADDR_ID_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the AxID output
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the Command Tag field width
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family
);
port (
-- Clock input ---------------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
------------------------------------------------------------
-- AXI Address Channel I/O --------------------------------------------
addr2axi_aid : out std_logic_vector(C_ADDR_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
addr2axi_aaddr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
addr2axi_alen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
addr2axi_asize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
addr2axi_aburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_acache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_auser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_aprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
addr2axi_avalid : out std_logic; --
-- AXI Address Channel VALID output --
--
axi2addr_aready : in std_logic; --
-- AXI Address Channel READY input --
------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- addr2axi_alock : out std_logic_vector(2 downto 0); --
-- addr2axi_acache : out std_logic_vector(4 downto 0); --
-- addr2axi_aqos : out std_logic_vector(3 downto 0); --
-- addr2axi_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- Command Calculation Interface -----------------------------------------
mstr2addr_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2addr_addr : In std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- The next command address to put on the AXI MMap ADDR --
--
mstr2addr_len : In std_logic_vector(7 downto 0); --
-- The next command length to put on the AXI MMap LEN --
-- Sized to support 256 data beat bursts --
--
mstr2addr_size : In std_logic_vector(2 downto 0); --
-- The next command size to put on the AXI MMap SIZE --
--
mstr2addr_burst : In std_logic_vector(1 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cache : In std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_user : In std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cmd_cmplt : In std_logic; --
-- The indication to the Address Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2addr_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2addr_cmd_valid : in std_logic; --
-- The next command valid indication to the Address Channel --
-- Controller for the AXI MMap --
--
addr2mstr_cmd_ready : out std_logic; --
-- Indication to the Command Calculator that the --
-- command is being accepted --
--------------------------------------------------------------------------
-- Halted Indication to Reset Module ------------------------------
addr2rst_stop_cmplt : out std_logic; --
-- Output flag indicating the address controller has stopped --
-- posting commands to the Address Channel due to a stop --
-- request vai the data2addr_stop_req input port --
------------------------------------------------------------------
-- Address Generation Control ---------------------------------------
allow_addr_req : in std_logic; --
-- Input used to enable/stall the posting of address requests. --
-- 0 = stall address request generation. --
-- 1 = Enable Address request geneartion --
--
addr_req_posted : out std_logic; --
-- Indication from the Address Channel Controller to external --
-- User logic that an address has been posted to the --
-- AXI Address Channel. --
---------------------------------------------------------------------
-- Data Channel Interface ---------------------------------------------
addr2data_addr_posted : Out std_logic; --
-- Indication from the Address Channel Controller to the --
-- Data Controller that an address has been posted to the --
-- AXI Address Channel. --
--
data2addr_data_rdy : In std_logic; --
-- Indication that the Data Channel is ready to send the first --
-- databeat of the next command on the write data channel. --
-- This is used for the "wait for data" feature which keeps the --
-- address controller from issuing a transfer requset until the --
-- corresponding data is ready. This is expected to be held in --
-- the asserted state until the addr2data_addr_posted signal is --
-- asserted. --
--
data2addr_stop_req : In std_logic; --
-- Indication that the Data Channel has encountered an error --
-- or a soft shutdown request and needs the Address Controller --
-- to stop posting commands to the AXI Address channel --
-----------------------------------------------------------------------
-- Status Module Interface ---------------------------------------
addr2stat_calc_error : out std_logic; --
-- Indication to the Status Module that the Addr Cntl FIFO --
-- is loaded with a Calc error --
--
addr2stat_cmd_fifo_empty : out std_logic --
-- Indication to the Status Module that the Addr Cntl FIFO --
-- is empty --
------------------------------------------------------------------
);
end entity axi_datamover_addr_cntl;
architecture implementation of axi_datamover_addr_cntl is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Constant Declarations --------------------------------------------
Constant APROT_VALUE : std_logic_vector(2 downto 0) := (others => '0');
--'0' & -- bit 2, Normal Access
--'0' & -- bit 1, Nonsecure Access
--'0'; -- bit 0, Data Access
Constant LEN_WIDTH : integer := 8;
Constant SIZE_WIDTH : integer := 3;
Constant BURST_WIDTH : integer := 2;
Constant CMD_CMPLT_WIDTH : integer := 1;
Constant CALC_ERROR_WIDTH : integer := 1;
Constant ADDR_QUAL_WIDTH : integer := C_TAG_WIDTH + -- Cmd Tag field width
C_ADDR_WIDTH + -- Cmd Address field width
LEN_WIDTH + -- Cmd Len field width
SIZE_WIDTH + -- Cmd Size field width
BURST_WIDTH + -- Cmd Burst field width
CMD_CMPLT_WIDTH + -- Cmd Cmplt filed width
CALC_ERROR_WIDTH + -- Cmd Calc Error flag
8; -- Cmd Cache, user fields
Constant USE_SYNC_FIFO : integer := 0;
Constant REG_FIFO_PRIM : integer := 0;
Constant BRAM_FIFO_PRIM : integer := 1;
Constant SRL_FIFO_PRIM : integer := 2;
Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM;
-- Signal Declarations --------------------------------------------
signal sig_axi_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_axi_alen : std_logic_vector(7 downto 0) := (others => '0');
signal sig_axi_asize : std_logic_vector(2 downto 0) := (others => '0');
signal sig_axi_aburst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_axi_acache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_axi_auser : std_logic_vector(3 downto 0) := (others => '0');
signal sig_axi_avalid : std_logic := '0';
signal sig_axi_aready : std_logic := '0';
signal sig_addr_posted : std_logic := '0';
signal sig_calc_error : std_logic := '0';
signal sig_cmd_fifo_empty : std_logic := '0';
Signal sig_aq_fifo_data_in : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0');
Signal sig_aq_fifo_data_out : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_fifo_next_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_fifo_next_burst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_fifo_next_user : std_logic_vector(3 downto 0) := (others => '0');
signal sig_fifo_next_cache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_fifo_next_cmd_cmplt : std_logic := '0';
signal sig_fifo_calc_error : std_logic := '0';
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_next_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_next_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_next_len_reg : std_logic_vector(7 downto 0) := (others => '0');
signal sig_next_size_reg : std_logic_vector(2 downto 0) := (others => '0');
signal sig_next_burst_reg : std_logic_vector(1 downto 0) := (others => '0');
signal sig_next_cache_reg : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_user_reg : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_cmd_cmplt_reg : std_logic := '0';
signal sig_addr_valid_reg : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_pop_addr_reg : std_logic := '0';
signal sig_push_addr_reg : std_logic := '0';
signal sig_addr_reg_empty : std_logic := '0';
signal sig_addr_reg_full : std_logic := '0';
signal sig_posted_to_axi : std_logic := '0';
-- obsoleted signal sig_set_wfd_flop : std_logic := '0';
-- obsoleted signal sig_clr_wfd_flop : std_logic := '0';
-- obsoleted signal sig_wait_for_data : std_logic := '0';
-- obsoleted signal sig_data2addr_data_rdy_reg : std_logic := '0';
signal sig_allow_addr_req : std_logic := '0';
signal sig_posted_to_axi_2 : std_logic := '0';
signal new_cmd_in : std_logic;
signal first_addr_valid : std_logic;
signal first_addr_valid_del : std_logic;
signal first_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0);
signal last_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0);
signal addr2axi_cache_int : std_logic_vector (7 downto 0);
signal addr2axi_cache_int1 : std_logic_vector (7 downto 0);
signal last_one : std_logic;
signal latch : std_logic;
signal first_one : std_logic;
signal latch_n : std_logic;
signal latch_n_del : std_logic;
signal mstr2addr_cache_info_int : std_logic_vector (7 downto 0);
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_posted_to_axi : signal is "TRUE"; -- definition
Attribute KEEP of sig_posted_to_axi_2 : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi_2 : signal is "no";
begin --(architecture implementation)
-- AXI I/O Port assignments
addr2axi_aid <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_ADDR_ID, C_ADDR_ID_WIDTH));
addr2axi_aaddr <= sig_axi_addr ;
addr2axi_alen <= sig_axi_alen ;
addr2axi_asize <= sig_axi_asize ;
addr2axi_aburst <= sig_axi_aburst;
addr2axi_acache <= sig_axi_acache;
addr2axi_auser <= sig_axi_auser;
addr2axi_aprot <= APROT_VALUE ;
addr2axi_avalid <= sig_axi_avalid;
sig_axi_aready <= axi2addr_aready;
-- Command Calculator Handshake output
sig_fifo_wr_cmd_valid <= mstr2addr_cmd_valid ;
addr2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
-- Data Channel Controller synchro pulse output
addr2data_addr_posted <= sig_addr_posted;
-- Status Module Interface outputs
addr2stat_calc_error <= sig_calc_error ;
addr2stat_cmd_fifo_empty <= sig_addr_reg_empty and
sig_cmd_fifo_empty;
-- Flag Indicating the Address Controller has completed a Stop
addr2rst_stop_cmplt <= (data2addr_stop_req and -- normal shutdown case
sig_addr_reg_empty) or
(data2addr_stop_req and -- shutdown after error trap
sig_calc_error);
-- Assign the address posting control and status
sig_allow_addr_req <= allow_addr_req ;
addr_req_posted <= sig_posted_to_axi_2 ;
-- Internal logic ------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_FIFO
--
-- If Generate Description:
-- Implements the case where the cmd qualifier depth is
-- greater than 1.
--
------------------------------------------------------------
GEN_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH > 1) generate
begin
-- Format the input FIFO data word
sig_aq_fifo_data_in <= mstr2addr_cache &
mstr2addr_user &
mstr2addr_calc_error &
mstr2addr_cmd_cmplt &
mstr2addr_burst &
mstr2addr_size &
mstr2addr_len &
mstr2addr_addr &
mstr2addr_tag ;
-- Rip fields from FIFO output data word
sig_fifo_next_cache <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 7)
downto
(C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 4)
);
sig_fifo_next_user <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 3)
downto
(C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH)
);
sig_fifo_calc_error <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH)-1);
sig_fifo_next_cmd_cmplt <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH)-1);
sig_fifo_next_burst <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH) ;
sig_fifo_next_size <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH) ;
sig_fifo_next_len <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH) ;
sig_fifo_next_addr <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH)-1
downto
C_TAG_WIDTH) ;
sig_fifo_next_tag <= sig_aq_fifo_data_out(C_TAG_WIDTH-1 downto 0);
------------------------------------------------------------
-- Instance: I_ADDR_QUAL_FIFO
--
-- Description:
-- Instance for the Address/Qualifier FIFO
--
------------------------------------------------------------
I_ADDR_QUAL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => ADDR_QUAL_WIDTH ,
C_DEPTH => C_ADDR_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => FIFO_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => mmap_reset ,
fifo_wr_clk => primary_aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_aq_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => mmap_reset ,
fifo_async_rd_clk => primary_aclk ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_aq_fifo_data_out ,
fifo_rd_empty => sig_cmd_fifo_empty
);
end generate GEN_ADDR_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_ADDR_FIFO
--
-- If Generate Description:
-- Implements the case where no additional FIFOing is needed
-- on the input command address/qualifiers.
--
------------------------------------------------------------
GEN_NO_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH = 1) generate
begin
-- Bypass FIFO
sig_fifo_next_tag <= mstr2addr_tag ;
sig_fifo_next_addr <= mstr2addr_addr ;
sig_fifo_next_len <= mstr2addr_len ;
sig_fifo_next_size <= mstr2addr_size ;
sig_fifo_next_burst <= mstr2addr_burst ;
sig_fifo_next_cache <= mstr2addr_cache ;
sig_fifo_next_user <= mstr2addr_user ;
sig_fifo_next_cmd_cmplt <= mstr2addr_cmd_cmplt ;
sig_fifo_calc_error <= mstr2addr_calc_error ;
sig_cmd_fifo_empty <= sig_addr_reg_empty ;
sig_fifo_wr_cmd_ready <= sig_fifo_rd_cmd_ready ;
sig_fifo_rd_cmd_valid <= sig_fifo_wr_cmd_valid ;
end generate GEN_NO_ADDR_FIFO;
-- Output Register Logic -------------------------------------------
sig_axi_addr <= sig_next_addr_reg ;
sig_axi_alen <= sig_next_len_reg ;
sig_axi_asize <= sig_next_size_reg ;
sig_axi_aburst <= sig_next_burst_reg ;
sig_axi_acache <= sig_next_cache_reg ;
sig_axi_auser <= sig_next_user_reg ;
sig_axi_avalid <= sig_addr_valid_reg ;
sig_calc_error <= sig_calc_error_reg ;
sig_fifo_rd_cmd_ready <= sig_addr_reg_empty and
sig_allow_addr_req and
-- obsoleted not(sig_wait_for_data) and
not(data2addr_stop_req);
sig_addr_posted <= sig_posted_to_axi ;
-- Internal signals
sig_push_addr_reg <= sig_addr_reg_empty and
sig_fifo_rd_cmd_valid and
sig_allow_addr_req and
-- obsoleted not(sig_wait_for_data) and
not(data2addr_stop_req);
sig_pop_addr_reg <= not(sig_calc_error_reg) and
sig_axi_aready and
sig_addr_reg_full;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ADDR_FIFO_REG
--
-- Process Description:
-- This process implements a register for the Address
-- Control FIFO that operates like a 1 deep Sync FIFO.
--
-------------------------------------------------------------
IMP_ADDR_FIFO_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_pop_addr_reg = '1') then
sig_next_tag_reg <= (others => '0') ;
sig_next_addr_reg <= (others => '0') ;
sig_next_len_reg <= (others => '0') ;
sig_next_size_reg <= (others => '0') ;
sig_next_burst_reg <= (others => '0') ;
sig_next_cache_reg <= (others => '0') ;
sig_next_user_reg <= (others => '0') ;
sig_next_cmd_cmplt_reg <= '0' ;
sig_addr_valid_reg <= '0' ;
sig_calc_error_reg <= '0' ;
sig_addr_reg_empty <= '1' ;
sig_addr_reg_full <= '0' ;
elsif (sig_push_addr_reg = '1') then
sig_next_tag_reg <= sig_fifo_next_tag ;
sig_next_addr_reg <= sig_fifo_next_addr ;
sig_next_len_reg <= sig_fifo_next_len ;
sig_next_size_reg <= sig_fifo_next_size ;
sig_next_burst_reg <= sig_fifo_next_burst ;
sig_next_cache_reg <= sig_fifo_next_cache ;
sig_next_user_reg <= sig_fifo_next_user ;
sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ;
sig_addr_valid_reg <= not(sig_fifo_calc_error);
sig_calc_error_reg <= sig_fifo_calc_error ;
sig_addr_reg_empty <= '0' ;
sig_addr_reg_full <= '1' ;
else
null; -- don't change state
end if;
end if;
end process IMP_ADDR_FIFO_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_POSTED_FLAG
--
-- Process Description:
-- This implements a FLOP that creates a 1 clock wide pulse
-- indicating a new address/qualifier set has been posted to
-- the AXI Addres Channel outputs. This is used to synchronize
-- the Data Channel Controller.
--
-------------------------------------------------------------
IMP_POSTED_FLAG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_posted_to_axi <= '0';
sig_posted_to_axi_2 <= '0';
elsif (sig_push_addr_reg = '1') then
sig_posted_to_axi <= '1';
sig_posted_to_axi_2 <= '1';
else
sig_posted_to_axi <= '0';
sig_posted_to_axi_2 <= '0';
end if;
end if;
end process IMP_POSTED_FLAG;
-- PROC_CMD_DETECT : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- first_addr_valid_del <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- first_addr_valid_del <= first_addr_valid;
-- end if;
-- end process PROC_CMD_DETECT;
--
-- PROC_ADDR_DET : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- first_addr_valid <= '0';
-- first_addr_int <= (others => '0');
-- last_addr_int <= (others => '0');
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- if (mstr2addr_cmd_valid = '1' and first_addr_valid = '0') then
-- first_addr_valid <= '1';
-- first_addr_int <= mstr2addr_addr;
-- last_addr_int <= last_addr_int;
-- elsif (mstr2addr_cmd_cmplt = '1') then
-- first_addr_valid <= '0';
-- first_addr_int <= first_addr_int;
-- last_addr_int <= mstr2addr_addr;
-- end if;
-- end if;
-- end process PROC_ADDR_DET;
--
-- latch <= first_addr_valid and (not first_addr_valid_del);
-- latch_n <= (not first_addr_valid) and first_addr_valid_del;
--
-- PROC_CACHE1 : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- mstr2addr_cache_info_int <= (others => '0');
-- latch_n_del <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- if (latch_n = '1') then
-- mstr2addr_cache_info_int <= mstr2addr_cache_info;
-- end if;
-- latch_n_del <= latch_n;
-- end if;
-- end process PROC_CACHE1;
--
--
-- PROC_CACHE : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- addr2axi_cache_int1 <= (others => '0');
-- first_one <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- first_one <= '0';
---- if (latch = '1' and first_one = '0') then -- first one
-- if (sig_addr_valid_reg = '0' and first_addr_valid = '0') then
-- addr2axi_cache_int1 <= mstr2addr_cache_info;
---- first_one <= '1';
---- elsif (latch_n_del = '1') then
---- addr2axi_cache_int <= mstr2addr_cache_info_int;
-- elsif ((first_addr_int = sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then
-- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4);
-- elsif ((last_addr_int >= sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then
-- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4);
-- end if;
-- end if;
-- end process PROC_CACHE;
--
--
-- PROC_CACHE2 : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- addr2axi_cache_int <= (others => '0');
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- addr2axi_cache_int <= addr2axi_cache_int1;
-- end if;
-- end process PROC_CACHE2;
--
--addr2axi_cache <= addr2axi_cache_int (3 downto 0);
--addr2axi_user <= addr2axi_cache_int (7 downto 4);
--
end implementation;
|
----------------------------------------------------------------------------
-- axi_datamover_addr_cntl.vhd
----------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_addr_cntl.vhd
--
-- Description:
-- This file implements the axi_datamover Master Address Controller.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_9;
Use axi_datamover_v5_1_9.axi_datamover_fifo;
-------------------------------------------------------------------------------
entity axi_datamover_addr_cntl is
generic (
C_ADDR_FIFO_DEPTH : Integer range 1 to 32 := 4;
-- sets the depth of the Command Queue FIFO
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Sets the address bus width
C_ADDR_ID : Integer range 0 to 255 := 0;
-- Sets the value to be on the AxID output
C_ADDR_ID_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the AxID output
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the Command Tag field width
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family
);
port (
-- Clock input ---------------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
------------------------------------------------------------
-- AXI Address Channel I/O --------------------------------------------
addr2axi_aid : out std_logic_vector(C_ADDR_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
addr2axi_aaddr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
addr2axi_alen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
addr2axi_asize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
addr2axi_aburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_acache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_auser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_aprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
addr2axi_avalid : out std_logic; --
-- AXI Address Channel VALID output --
--
axi2addr_aready : in std_logic; --
-- AXI Address Channel READY input --
------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- addr2axi_alock : out std_logic_vector(2 downto 0); --
-- addr2axi_acache : out std_logic_vector(4 downto 0); --
-- addr2axi_aqos : out std_logic_vector(3 downto 0); --
-- addr2axi_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- Command Calculation Interface -----------------------------------------
mstr2addr_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2addr_addr : In std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- The next command address to put on the AXI MMap ADDR --
--
mstr2addr_len : In std_logic_vector(7 downto 0); --
-- The next command length to put on the AXI MMap LEN --
-- Sized to support 256 data beat bursts --
--
mstr2addr_size : In std_logic_vector(2 downto 0); --
-- The next command size to put on the AXI MMap SIZE --
--
mstr2addr_burst : In std_logic_vector(1 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cache : In std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_user : In std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cmd_cmplt : In std_logic; --
-- The indication to the Address Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2addr_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2addr_cmd_valid : in std_logic; --
-- The next command valid indication to the Address Channel --
-- Controller for the AXI MMap --
--
addr2mstr_cmd_ready : out std_logic; --
-- Indication to the Command Calculator that the --
-- command is being accepted --
--------------------------------------------------------------------------
-- Halted Indication to Reset Module ------------------------------
addr2rst_stop_cmplt : out std_logic; --
-- Output flag indicating the address controller has stopped --
-- posting commands to the Address Channel due to a stop --
-- request vai the data2addr_stop_req input port --
------------------------------------------------------------------
-- Address Generation Control ---------------------------------------
allow_addr_req : in std_logic; --
-- Input used to enable/stall the posting of address requests. --
-- 0 = stall address request generation. --
-- 1 = Enable Address request geneartion --
--
addr_req_posted : out std_logic; --
-- Indication from the Address Channel Controller to external --
-- User logic that an address has been posted to the --
-- AXI Address Channel. --
---------------------------------------------------------------------
-- Data Channel Interface ---------------------------------------------
addr2data_addr_posted : Out std_logic; --
-- Indication from the Address Channel Controller to the --
-- Data Controller that an address has been posted to the --
-- AXI Address Channel. --
--
data2addr_data_rdy : In std_logic; --
-- Indication that the Data Channel is ready to send the first --
-- databeat of the next command on the write data channel. --
-- This is used for the "wait for data" feature which keeps the --
-- address controller from issuing a transfer requset until the --
-- corresponding data is ready. This is expected to be held in --
-- the asserted state until the addr2data_addr_posted signal is --
-- asserted. --
--
data2addr_stop_req : In std_logic; --
-- Indication that the Data Channel has encountered an error --
-- or a soft shutdown request and needs the Address Controller --
-- to stop posting commands to the AXI Address channel --
-----------------------------------------------------------------------
-- Status Module Interface ---------------------------------------
addr2stat_calc_error : out std_logic; --
-- Indication to the Status Module that the Addr Cntl FIFO --
-- is loaded with a Calc error --
--
addr2stat_cmd_fifo_empty : out std_logic --
-- Indication to the Status Module that the Addr Cntl FIFO --
-- is empty --
------------------------------------------------------------------
);
end entity axi_datamover_addr_cntl;
architecture implementation of axi_datamover_addr_cntl is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Constant Declarations --------------------------------------------
Constant APROT_VALUE : std_logic_vector(2 downto 0) := (others => '0');
--'0' & -- bit 2, Normal Access
--'0' & -- bit 1, Nonsecure Access
--'0'; -- bit 0, Data Access
Constant LEN_WIDTH : integer := 8;
Constant SIZE_WIDTH : integer := 3;
Constant BURST_WIDTH : integer := 2;
Constant CMD_CMPLT_WIDTH : integer := 1;
Constant CALC_ERROR_WIDTH : integer := 1;
Constant ADDR_QUAL_WIDTH : integer := C_TAG_WIDTH + -- Cmd Tag field width
C_ADDR_WIDTH + -- Cmd Address field width
LEN_WIDTH + -- Cmd Len field width
SIZE_WIDTH + -- Cmd Size field width
BURST_WIDTH + -- Cmd Burst field width
CMD_CMPLT_WIDTH + -- Cmd Cmplt filed width
CALC_ERROR_WIDTH + -- Cmd Calc Error flag
8; -- Cmd Cache, user fields
Constant USE_SYNC_FIFO : integer := 0;
Constant REG_FIFO_PRIM : integer := 0;
Constant BRAM_FIFO_PRIM : integer := 1;
Constant SRL_FIFO_PRIM : integer := 2;
Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM;
-- Signal Declarations --------------------------------------------
signal sig_axi_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_axi_alen : std_logic_vector(7 downto 0) := (others => '0');
signal sig_axi_asize : std_logic_vector(2 downto 0) := (others => '0');
signal sig_axi_aburst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_axi_acache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_axi_auser : std_logic_vector(3 downto 0) := (others => '0');
signal sig_axi_avalid : std_logic := '0';
signal sig_axi_aready : std_logic := '0';
signal sig_addr_posted : std_logic := '0';
signal sig_calc_error : std_logic := '0';
signal sig_cmd_fifo_empty : std_logic := '0';
Signal sig_aq_fifo_data_in : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0');
Signal sig_aq_fifo_data_out : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_fifo_next_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_fifo_next_burst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_fifo_next_user : std_logic_vector(3 downto 0) := (others => '0');
signal sig_fifo_next_cache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_fifo_next_cmd_cmplt : std_logic := '0';
signal sig_fifo_calc_error : std_logic := '0';
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_next_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_next_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_next_len_reg : std_logic_vector(7 downto 0) := (others => '0');
signal sig_next_size_reg : std_logic_vector(2 downto 0) := (others => '0');
signal sig_next_burst_reg : std_logic_vector(1 downto 0) := (others => '0');
signal sig_next_cache_reg : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_user_reg : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_cmd_cmplt_reg : std_logic := '0';
signal sig_addr_valid_reg : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_pop_addr_reg : std_logic := '0';
signal sig_push_addr_reg : std_logic := '0';
signal sig_addr_reg_empty : std_logic := '0';
signal sig_addr_reg_full : std_logic := '0';
signal sig_posted_to_axi : std_logic := '0';
-- obsoleted signal sig_set_wfd_flop : std_logic := '0';
-- obsoleted signal sig_clr_wfd_flop : std_logic := '0';
-- obsoleted signal sig_wait_for_data : std_logic := '0';
-- obsoleted signal sig_data2addr_data_rdy_reg : std_logic := '0';
signal sig_allow_addr_req : std_logic := '0';
signal sig_posted_to_axi_2 : std_logic := '0';
signal new_cmd_in : std_logic;
signal first_addr_valid : std_logic;
signal first_addr_valid_del : std_logic;
signal first_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0);
signal last_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0);
signal addr2axi_cache_int : std_logic_vector (7 downto 0);
signal addr2axi_cache_int1 : std_logic_vector (7 downto 0);
signal last_one : std_logic;
signal latch : std_logic;
signal first_one : std_logic;
signal latch_n : std_logic;
signal latch_n_del : std_logic;
signal mstr2addr_cache_info_int : std_logic_vector (7 downto 0);
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_posted_to_axi : signal is "TRUE"; -- definition
Attribute KEEP of sig_posted_to_axi_2 : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi_2 : signal is "no";
begin --(architecture implementation)
-- AXI I/O Port assignments
addr2axi_aid <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_ADDR_ID, C_ADDR_ID_WIDTH));
addr2axi_aaddr <= sig_axi_addr ;
addr2axi_alen <= sig_axi_alen ;
addr2axi_asize <= sig_axi_asize ;
addr2axi_aburst <= sig_axi_aburst;
addr2axi_acache <= sig_axi_acache;
addr2axi_auser <= sig_axi_auser;
addr2axi_aprot <= APROT_VALUE ;
addr2axi_avalid <= sig_axi_avalid;
sig_axi_aready <= axi2addr_aready;
-- Command Calculator Handshake output
sig_fifo_wr_cmd_valid <= mstr2addr_cmd_valid ;
addr2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
-- Data Channel Controller synchro pulse output
addr2data_addr_posted <= sig_addr_posted;
-- Status Module Interface outputs
addr2stat_calc_error <= sig_calc_error ;
addr2stat_cmd_fifo_empty <= sig_addr_reg_empty and
sig_cmd_fifo_empty;
-- Flag Indicating the Address Controller has completed a Stop
addr2rst_stop_cmplt <= (data2addr_stop_req and -- normal shutdown case
sig_addr_reg_empty) or
(data2addr_stop_req and -- shutdown after error trap
sig_calc_error);
-- Assign the address posting control and status
sig_allow_addr_req <= allow_addr_req ;
addr_req_posted <= sig_posted_to_axi_2 ;
-- Internal logic ------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_FIFO
--
-- If Generate Description:
-- Implements the case where the cmd qualifier depth is
-- greater than 1.
--
------------------------------------------------------------
GEN_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH > 1) generate
begin
-- Format the input FIFO data word
sig_aq_fifo_data_in <= mstr2addr_cache &
mstr2addr_user &
mstr2addr_calc_error &
mstr2addr_cmd_cmplt &
mstr2addr_burst &
mstr2addr_size &
mstr2addr_len &
mstr2addr_addr &
mstr2addr_tag ;
-- Rip fields from FIFO output data word
sig_fifo_next_cache <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 7)
downto
(C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 4)
);
sig_fifo_next_user <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 3)
downto
(C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH)
);
sig_fifo_calc_error <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH)-1);
sig_fifo_next_cmd_cmplt <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH)-1);
sig_fifo_next_burst <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH) ;
sig_fifo_next_size <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH) ;
sig_fifo_next_len <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH) ;
sig_fifo_next_addr <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH)-1
downto
C_TAG_WIDTH) ;
sig_fifo_next_tag <= sig_aq_fifo_data_out(C_TAG_WIDTH-1 downto 0);
------------------------------------------------------------
-- Instance: I_ADDR_QUAL_FIFO
--
-- Description:
-- Instance for the Address/Qualifier FIFO
--
------------------------------------------------------------
I_ADDR_QUAL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => ADDR_QUAL_WIDTH ,
C_DEPTH => C_ADDR_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => FIFO_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => mmap_reset ,
fifo_wr_clk => primary_aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_aq_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => mmap_reset ,
fifo_async_rd_clk => primary_aclk ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_aq_fifo_data_out ,
fifo_rd_empty => sig_cmd_fifo_empty
);
end generate GEN_ADDR_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_ADDR_FIFO
--
-- If Generate Description:
-- Implements the case where no additional FIFOing is needed
-- on the input command address/qualifiers.
--
------------------------------------------------------------
GEN_NO_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH = 1) generate
begin
-- Bypass FIFO
sig_fifo_next_tag <= mstr2addr_tag ;
sig_fifo_next_addr <= mstr2addr_addr ;
sig_fifo_next_len <= mstr2addr_len ;
sig_fifo_next_size <= mstr2addr_size ;
sig_fifo_next_burst <= mstr2addr_burst ;
sig_fifo_next_cache <= mstr2addr_cache ;
sig_fifo_next_user <= mstr2addr_user ;
sig_fifo_next_cmd_cmplt <= mstr2addr_cmd_cmplt ;
sig_fifo_calc_error <= mstr2addr_calc_error ;
sig_cmd_fifo_empty <= sig_addr_reg_empty ;
sig_fifo_wr_cmd_ready <= sig_fifo_rd_cmd_ready ;
sig_fifo_rd_cmd_valid <= sig_fifo_wr_cmd_valid ;
end generate GEN_NO_ADDR_FIFO;
-- Output Register Logic -------------------------------------------
sig_axi_addr <= sig_next_addr_reg ;
sig_axi_alen <= sig_next_len_reg ;
sig_axi_asize <= sig_next_size_reg ;
sig_axi_aburst <= sig_next_burst_reg ;
sig_axi_acache <= sig_next_cache_reg ;
sig_axi_auser <= sig_next_user_reg ;
sig_axi_avalid <= sig_addr_valid_reg ;
sig_calc_error <= sig_calc_error_reg ;
sig_fifo_rd_cmd_ready <= sig_addr_reg_empty and
sig_allow_addr_req and
-- obsoleted not(sig_wait_for_data) and
not(data2addr_stop_req);
sig_addr_posted <= sig_posted_to_axi ;
-- Internal signals
sig_push_addr_reg <= sig_addr_reg_empty and
sig_fifo_rd_cmd_valid and
sig_allow_addr_req and
-- obsoleted not(sig_wait_for_data) and
not(data2addr_stop_req);
sig_pop_addr_reg <= not(sig_calc_error_reg) and
sig_axi_aready and
sig_addr_reg_full;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ADDR_FIFO_REG
--
-- Process Description:
-- This process implements a register for the Address
-- Control FIFO that operates like a 1 deep Sync FIFO.
--
-------------------------------------------------------------
IMP_ADDR_FIFO_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_pop_addr_reg = '1') then
sig_next_tag_reg <= (others => '0') ;
sig_next_addr_reg <= (others => '0') ;
sig_next_len_reg <= (others => '0') ;
sig_next_size_reg <= (others => '0') ;
sig_next_burst_reg <= (others => '0') ;
sig_next_cache_reg <= (others => '0') ;
sig_next_user_reg <= (others => '0') ;
sig_next_cmd_cmplt_reg <= '0' ;
sig_addr_valid_reg <= '0' ;
sig_calc_error_reg <= '0' ;
sig_addr_reg_empty <= '1' ;
sig_addr_reg_full <= '0' ;
elsif (sig_push_addr_reg = '1') then
sig_next_tag_reg <= sig_fifo_next_tag ;
sig_next_addr_reg <= sig_fifo_next_addr ;
sig_next_len_reg <= sig_fifo_next_len ;
sig_next_size_reg <= sig_fifo_next_size ;
sig_next_burst_reg <= sig_fifo_next_burst ;
sig_next_cache_reg <= sig_fifo_next_cache ;
sig_next_user_reg <= sig_fifo_next_user ;
sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ;
sig_addr_valid_reg <= not(sig_fifo_calc_error);
sig_calc_error_reg <= sig_fifo_calc_error ;
sig_addr_reg_empty <= '0' ;
sig_addr_reg_full <= '1' ;
else
null; -- don't change state
end if;
end if;
end process IMP_ADDR_FIFO_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_POSTED_FLAG
--
-- Process Description:
-- This implements a FLOP that creates a 1 clock wide pulse
-- indicating a new address/qualifier set has been posted to
-- the AXI Addres Channel outputs. This is used to synchronize
-- the Data Channel Controller.
--
-------------------------------------------------------------
IMP_POSTED_FLAG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_posted_to_axi <= '0';
sig_posted_to_axi_2 <= '0';
elsif (sig_push_addr_reg = '1') then
sig_posted_to_axi <= '1';
sig_posted_to_axi_2 <= '1';
else
sig_posted_to_axi <= '0';
sig_posted_to_axi_2 <= '0';
end if;
end if;
end process IMP_POSTED_FLAG;
-- PROC_CMD_DETECT : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- first_addr_valid_del <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- first_addr_valid_del <= first_addr_valid;
-- end if;
-- end process PROC_CMD_DETECT;
--
-- PROC_ADDR_DET : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- first_addr_valid <= '0';
-- first_addr_int <= (others => '0');
-- last_addr_int <= (others => '0');
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- if (mstr2addr_cmd_valid = '1' and first_addr_valid = '0') then
-- first_addr_valid <= '1';
-- first_addr_int <= mstr2addr_addr;
-- last_addr_int <= last_addr_int;
-- elsif (mstr2addr_cmd_cmplt = '1') then
-- first_addr_valid <= '0';
-- first_addr_int <= first_addr_int;
-- last_addr_int <= mstr2addr_addr;
-- end if;
-- end if;
-- end process PROC_ADDR_DET;
--
-- latch <= first_addr_valid and (not first_addr_valid_del);
-- latch_n <= (not first_addr_valid) and first_addr_valid_del;
--
-- PROC_CACHE1 : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- mstr2addr_cache_info_int <= (others => '0');
-- latch_n_del <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- if (latch_n = '1') then
-- mstr2addr_cache_info_int <= mstr2addr_cache_info;
-- end if;
-- latch_n_del <= latch_n;
-- end if;
-- end process PROC_CACHE1;
--
--
-- PROC_CACHE : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- addr2axi_cache_int1 <= (others => '0');
-- first_one <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- first_one <= '0';
---- if (latch = '1' and first_one = '0') then -- first one
-- if (sig_addr_valid_reg = '0' and first_addr_valid = '0') then
-- addr2axi_cache_int1 <= mstr2addr_cache_info;
---- first_one <= '1';
---- elsif (latch_n_del = '1') then
---- addr2axi_cache_int <= mstr2addr_cache_info_int;
-- elsif ((first_addr_int = sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then
-- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4);
-- elsif ((last_addr_int >= sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then
-- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4);
-- end if;
-- end if;
-- end process PROC_CACHE;
--
--
-- PROC_CACHE2 : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- addr2axi_cache_int <= (others => '0');
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- addr2axi_cache_int <= addr2axi_cache_int1;
-- end if;
-- end process PROC_CACHE2;
--
--addr2axi_cache <= addr2axi_cache_int (3 downto 0);
--addr2axi_user <= addr2axi_cache_int (7 downto 4);
--
end implementation;
|
----------------------------------------------------------------------------
-- axi_datamover_addr_cntl.vhd
----------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_addr_cntl.vhd
--
-- Description:
-- This file implements the axi_datamover Master Address Controller.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_9;
Use axi_datamover_v5_1_9.axi_datamover_fifo;
-------------------------------------------------------------------------------
entity axi_datamover_addr_cntl is
generic (
C_ADDR_FIFO_DEPTH : Integer range 1 to 32 := 4;
-- sets the depth of the Command Queue FIFO
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Sets the address bus width
C_ADDR_ID : Integer range 0 to 255 := 0;
-- Sets the value to be on the AxID output
C_ADDR_ID_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the AxID output
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the Command Tag field width
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family
);
port (
-- Clock input ---------------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
------------------------------------------------------------
-- AXI Address Channel I/O --------------------------------------------
addr2axi_aid : out std_logic_vector(C_ADDR_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
addr2axi_aaddr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
addr2axi_alen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
addr2axi_asize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
addr2axi_aburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_acache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_auser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_aprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
addr2axi_avalid : out std_logic; --
-- AXI Address Channel VALID output --
--
axi2addr_aready : in std_logic; --
-- AXI Address Channel READY input --
------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- addr2axi_alock : out std_logic_vector(2 downto 0); --
-- addr2axi_acache : out std_logic_vector(4 downto 0); --
-- addr2axi_aqos : out std_logic_vector(3 downto 0); --
-- addr2axi_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- Command Calculation Interface -----------------------------------------
mstr2addr_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2addr_addr : In std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- The next command address to put on the AXI MMap ADDR --
--
mstr2addr_len : In std_logic_vector(7 downto 0); --
-- The next command length to put on the AXI MMap LEN --
-- Sized to support 256 data beat bursts --
--
mstr2addr_size : In std_logic_vector(2 downto 0); --
-- The next command size to put on the AXI MMap SIZE --
--
mstr2addr_burst : In std_logic_vector(1 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cache : In std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_user : In std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cmd_cmplt : In std_logic; --
-- The indication to the Address Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2addr_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2addr_cmd_valid : in std_logic; --
-- The next command valid indication to the Address Channel --
-- Controller for the AXI MMap --
--
addr2mstr_cmd_ready : out std_logic; --
-- Indication to the Command Calculator that the --
-- command is being accepted --
--------------------------------------------------------------------------
-- Halted Indication to Reset Module ------------------------------
addr2rst_stop_cmplt : out std_logic; --
-- Output flag indicating the address controller has stopped --
-- posting commands to the Address Channel due to a stop --
-- request vai the data2addr_stop_req input port --
------------------------------------------------------------------
-- Address Generation Control ---------------------------------------
allow_addr_req : in std_logic; --
-- Input used to enable/stall the posting of address requests. --
-- 0 = stall address request generation. --
-- 1 = Enable Address request geneartion --
--
addr_req_posted : out std_logic; --
-- Indication from the Address Channel Controller to external --
-- User logic that an address has been posted to the --
-- AXI Address Channel. --
---------------------------------------------------------------------
-- Data Channel Interface ---------------------------------------------
addr2data_addr_posted : Out std_logic; --
-- Indication from the Address Channel Controller to the --
-- Data Controller that an address has been posted to the --
-- AXI Address Channel. --
--
data2addr_data_rdy : In std_logic; --
-- Indication that the Data Channel is ready to send the first --
-- databeat of the next command on the write data channel. --
-- This is used for the "wait for data" feature which keeps the --
-- address controller from issuing a transfer requset until the --
-- corresponding data is ready. This is expected to be held in --
-- the asserted state until the addr2data_addr_posted signal is --
-- asserted. --
--
data2addr_stop_req : In std_logic; --
-- Indication that the Data Channel has encountered an error --
-- or a soft shutdown request and needs the Address Controller --
-- to stop posting commands to the AXI Address channel --
-----------------------------------------------------------------------
-- Status Module Interface ---------------------------------------
addr2stat_calc_error : out std_logic; --
-- Indication to the Status Module that the Addr Cntl FIFO --
-- is loaded with a Calc error --
--
addr2stat_cmd_fifo_empty : out std_logic --
-- Indication to the Status Module that the Addr Cntl FIFO --
-- is empty --
------------------------------------------------------------------
);
end entity axi_datamover_addr_cntl;
architecture implementation of axi_datamover_addr_cntl is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Constant Declarations --------------------------------------------
Constant APROT_VALUE : std_logic_vector(2 downto 0) := (others => '0');
--'0' & -- bit 2, Normal Access
--'0' & -- bit 1, Nonsecure Access
--'0'; -- bit 0, Data Access
Constant LEN_WIDTH : integer := 8;
Constant SIZE_WIDTH : integer := 3;
Constant BURST_WIDTH : integer := 2;
Constant CMD_CMPLT_WIDTH : integer := 1;
Constant CALC_ERROR_WIDTH : integer := 1;
Constant ADDR_QUAL_WIDTH : integer := C_TAG_WIDTH + -- Cmd Tag field width
C_ADDR_WIDTH + -- Cmd Address field width
LEN_WIDTH + -- Cmd Len field width
SIZE_WIDTH + -- Cmd Size field width
BURST_WIDTH + -- Cmd Burst field width
CMD_CMPLT_WIDTH + -- Cmd Cmplt filed width
CALC_ERROR_WIDTH + -- Cmd Calc Error flag
8; -- Cmd Cache, user fields
Constant USE_SYNC_FIFO : integer := 0;
Constant REG_FIFO_PRIM : integer := 0;
Constant BRAM_FIFO_PRIM : integer := 1;
Constant SRL_FIFO_PRIM : integer := 2;
Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM;
-- Signal Declarations --------------------------------------------
signal sig_axi_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_axi_alen : std_logic_vector(7 downto 0) := (others => '0');
signal sig_axi_asize : std_logic_vector(2 downto 0) := (others => '0');
signal sig_axi_aburst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_axi_acache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_axi_auser : std_logic_vector(3 downto 0) := (others => '0');
signal sig_axi_avalid : std_logic := '0';
signal sig_axi_aready : std_logic := '0';
signal sig_addr_posted : std_logic := '0';
signal sig_calc_error : std_logic := '0';
signal sig_cmd_fifo_empty : std_logic := '0';
Signal sig_aq_fifo_data_in : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0');
Signal sig_aq_fifo_data_out : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_fifo_next_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_fifo_next_burst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_fifo_next_user : std_logic_vector(3 downto 0) := (others => '0');
signal sig_fifo_next_cache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_fifo_next_cmd_cmplt : std_logic := '0';
signal sig_fifo_calc_error : std_logic := '0';
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_next_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_next_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_next_len_reg : std_logic_vector(7 downto 0) := (others => '0');
signal sig_next_size_reg : std_logic_vector(2 downto 0) := (others => '0');
signal sig_next_burst_reg : std_logic_vector(1 downto 0) := (others => '0');
signal sig_next_cache_reg : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_user_reg : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_cmd_cmplt_reg : std_logic := '0';
signal sig_addr_valid_reg : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_pop_addr_reg : std_logic := '0';
signal sig_push_addr_reg : std_logic := '0';
signal sig_addr_reg_empty : std_logic := '0';
signal sig_addr_reg_full : std_logic := '0';
signal sig_posted_to_axi : std_logic := '0';
-- obsoleted signal sig_set_wfd_flop : std_logic := '0';
-- obsoleted signal sig_clr_wfd_flop : std_logic := '0';
-- obsoleted signal sig_wait_for_data : std_logic := '0';
-- obsoleted signal sig_data2addr_data_rdy_reg : std_logic := '0';
signal sig_allow_addr_req : std_logic := '0';
signal sig_posted_to_axi_2 : std_logic := '0';
signal new_cmd_in : std_logic;
signal first_addr_valid : std_logic;
signal first_addr_valid_del : std_logic;
signal first_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0);
signal last_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0);
signal addr2axi_cache_int : std_logic_vector (7 downto 0);
signal addr2axi_cache_int1 : std_logic_vector (7 downto 0);
signal last_one : std_logic;
signal latch : std_logic;
signal first_one : std_logic;
signal latch_n : std_logic;
signal latch_n_del : std_logic;
signal mstr2addr_cache_info_int : std_logic_vector (7 downto 0);
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_posted_to_axi : signal is "TRUE"; -- definition
Attribute KEEP of sig_posted_to_axi_2 : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi_2 : signal is "no";
begin --(architecture implementation)
-- AXI I/O Port assignments
addr2axi_aid <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_ADDR_ID, C_ADDR_ID_WIDTH));
addr2axi_aaddr <= sig_axi_addr ;
addr2axi_alen <= sig_axi_alen ;
addr2axi_asize <= sig_axi_asize ;
addr2axi_aburst <= sig_axi_aburst;
addr2axi_acache <= sig_axi_acache;
addr2axi_auser <= sig_axi_auser;
addr2axi_aprot <= APROT_VALUE ;
addr2axi_avalid <= sig_axi_avalid;
sig_axi_aready <= axi2addr_aready;
-- Command Calculator Handshake output
sig_fifo_wr_cmd_valid <= mstr2addr_cmd_valid ;
addr2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
-- Data Channel Controller synchro pulse output
addr2data_addr_posted <= sig_addr_posted;
-- Status Module Interface outputs
addr2stat_calc_error <= sig_calc_error ;
addr2stat_cmd_fifo_empty <= sig_addr_reg_empty and
sig_cmd_fifo_empty;
-- Flag Indicating the Address Controller has completed a Stop
addr2rst_stop_cmplt <= (data2addr_stop_req and -- normal shutdown case
sig_addr_reg_empty) or
(data2addr_stop_req and -- shutdown after error trap
sig_calc_error);
-- Assign the address posting control and status
sig_allow_addr_req <= allow_addr_req ;
addr_req_posted <= sig_posted_to_axi_2 ;
-- Internal logic ------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_FIFO
--
-- If Generate Description:
-- Implements the case where the cmd qualifier depth is
-- greater than 1.
--
------------------------------------------------------------
GEN_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH > 1) generate
begin
-- Format the input FIFO data word
sig_aq_fifo_data_in <= mstr2addr_cache &
mstr2addr_user &
mstr2addr_calc_error &
mstr2addr_cmd_cmplt &
mstr2addr_burst &
mstr2addr_size &
mstr2addr_len &
mstr2addr_addr &
mstr2addr_tag ;
-- Rip fields from FIFO output data word
sig_fifo_next_cache <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 7)
downto
(C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 4)
);
sig_fifo_next_user <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 3)
downto
(C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH)
);
sig_fifo_calc_error <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH)-1);
sig_fifo_next_cmd_cmplt <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH)-1);
sig_fifo_next_burst <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH) ;
sig_fifo_next_size <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH) ;
sig_fifo_next_len <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH) ;
sig_fifo_next_addr <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH)-1
downto
C_TAG_WIDTH) ;
sig_fifo_next_tag <= sig_aq_fifo_data_out(C_TAG_WIDTH-1 downto 0);
------------------------------------------------------------
-- Instance: I_ADDR_QUAL_FIFO
--
-- Description:
-- Instance for the Address/Qualifier FIFO
--
------------------------------------------------------------
I_ADDR_QUAL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => ADDR_QUAL_WIDTH ,
C_DEPTH => C_ADDR_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => FIFO_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => mmap_reset ,
fifo_wr_clk => primary_aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_aq_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => mmap_reset ,
fifo_async_rd_clk => primary_aclk ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_aq_fifo_data_out ,
fifo_rd_empty => sig_cmd_fifo_empty
);
end generate GEN_ADDR_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_ADDR_FIFO
--
-- If Generate Description:
-- Implements the case where no additional FIFOing is needed
-- on the input command address/qualifiers.
--
------------------------------------------------------------
GEN_NO_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH = 1) generate
begin
-- Bypass FIFO
sig_fifo_next_tag <= mstr2addr_tag ;
sig_fifo_next_addr <= mstr2addr_addr ;
sig_fifo_next_len <= mstr2addr_len ;
sig_fifo_next_size <= mstr2addr_size ;
sig_fifo_next_burst <= mstr2addr_burst ;
sig_fifo_next_cache <= mstr2addr_cache ;
sig_fifo_next_user <= mstr2addr_user ;
sig_fifo_next_cmd_cmplt <= mstr2addr_cmd_cmplt ;
sig_fifo_calc_error <= mstr2addr_calc_error ;
sig_cmd_fifo_empty <= sig_addr_reg_empty ;
sig_fifo_wr_cmd_ready <= sig_fifo_rd_cmd_ready ;
sig_fifo_rd_cmd_valid <= sig_fifo_wr_cmd_valid ;
end generate GEN_NO_ADDR_FIFO;
-- Output Register Logic -------------------------------------------
sig_axi_addr <= sig_next_addr_reg ;
sig_axi_alen <= sig_next_len_reg ;
sig_axi_asize <= sig_next_size_reg ;
sig_axi_aburst <= sig_next_burst_reg ;
sig_axi_acache <= sig_next_cache_reg ;
sig_axi_auser <= sig_next_user_reg ;
sig_axi_avalid <= sig_addr_valid_reg ;
sig_calc_error <= sig_calc_error_reg ;
sig_fifo_rd_cmd_ready <= sig_addr_reg_empty and
sig_allow_addr_req and
-- obsoleted not(sig_wait_for_data) and
not(data2addr_stop_req);
sig_addr_posted <= sig_posted_to_axi ;
-- Internal signals
sig_push_addr_reg <= sig_addr_reg_empty and
sig_fifo_rd_cmd_valid and
sig_allow_addr_req and
-- obsoleted not(sig_wait_for_data) and
not(data2addr_stop_req);
sig_pop_addr_reg <= not(sig_calc_error_reg) and
sig_axi_aready and
sig_addr_reg_full;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ADDR_FIFO_REG
--
-- Process Description:
-- This process implements a register for the Address
-- Control FIFO that operates like a 1 deep Sync FIFO.
--
-------------------------------------------------------------
IMP_ADDR_FIFO_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_pop_addr_reg = '1') then
sig_next_tag_reg <= (others => '0') ;
sig_next_addr_reg <= (others => '0') ;
sig_next_len_reg <= (others => '0') ;
sig_next_size_reg <= (others => '0') ;
sig_next_burst_reg <= (others => '0') ;
sig_next_cache_reg <= (others => '0') ;
sig_next_user_reg <= (others => '0') ;
sig_next_cmd_cmplt_reg <= '0' ;
sig_addr_valid_reg <= '0' ;
sig_calc_error_reg <= '0' ;
sig_addr_reg_empty <= '1' ;
sig_addr_reg_full <= '0' ;
elsif (sig_push_addr_reg = '1') then
sig_next_tag_reg <= sig_fifo_next_tag ;
sig_next_addr_reg <= sig_fifo_next_addr ;
sig_next_len_reg <= sig_fifo_next_len ;
sig_next_size_reg <= sig_fifo_next_size ;
sig_next_burst_reg <= sig_fifo_next_burst ;
sig_next_cache_reg <= sig_fifo_next_cache ;
sig_next_user_reg <= sig_fifo_next_user ;
sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ;
sig_addr_valid_reg <= not(sig_fifo_calc_error);
sig_calc_error_reg <= sig_fifo_calc_error ;
sig_addr_reg_empty <= '0' ;
sig_addr_reg_full <= '1' ;
else
null; -- don't change state
end if;
end if;
end process IMP_ADDR_FIFO_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_POSTED_FLAG
--
-- Process Description:
-- This implements a FLOP that creates a 1 clock wide pulse
-- indicating a new address/qualifier set has been posted to
-- the AXI Addres Channel outputs. This is used to synchronize
-- the Data Channel Controller.
--
-------------------------------------------------------------
IMP_POSTED_FLAG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_posted_to_axi <= '0';
sig_posted_to_axi_2 <= '0';
elsif (sig_push_addr_reg = '1') then
sig_posted_to_axi <= '1';
sig_posted_to_axi_2 <= '1';
else
sig_posted_to_axi <= '0';
sig_posted_to_axi_2 <= '0';
end if;
end if;
end process IMP_POSTED_FLAG;
-- PROC_CMD_DETECT : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- first_addr_valid_del <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- first_addr_valid_del <= first_addr_valid;
-- end if;
-- end process PROC_CMD_DETECT;
--
-- PROC_ADDR_DET : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- first_addr_valid <= '0';
-- first_addr_int <= (others => '0');
-- last_addr_int <= (others => '0');
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- if (mstr2addr_cmd_valid = '1' and first_addr_valid = '0') then
-- first_addr_valid <= '1';
-- first_addr_int <= mstr2addr_addr;
-- last_addr_int <= last_addr_int;
-- elsif (mstr2addr_cmd_cmplt = '1') then
-- first_addr_valid <= '0';
-- first_addr_int <= first_addr_int;
-- last_addr_int <= mstr2addr_addr;
-- end if;
-- end if;
-- end process PROC_ADDR_DET;
--
-- latch <= first_addr_valid and (not first_addr_valid_del);
-- latch_n <= (not first_addr_valid) and first_addr_valid_del;
--
-- PROC_CACHE1 : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- mstr2addr_cache_info_int <= (others => '0');
-- latch_n_del <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- if (latch_n = '1') then
-- mstr2addr_cache_info_int <= mstr2addr_cache_info;
-- end if;
-- latch_n_del <= latch_n;
-- end if;
-- end process PROC_CACHE1;
--
--
-- PROC_CACHE : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- addr2axi_cache_int1 <= (others => '0');
-- first_one <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- first_one <= '0';
---- if (latch = '1' and first_one = '0') then -- first one
-- if (sig_addr_valid_reg = '0' and first_addr_valid = '0') then
-- addr2axi_cache_int1 <= mstr2addr_cache_info;
---- first_one <= '1';
---- elsif (latch_n_del = '1') then
---- addr2axi_cache_int <= mstr2addr_cache_info_int;
-- elsif ((first_addr_int = sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then
-- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4);
-- elsif ((last_addr_int >= sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then
-- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4);
-- end if;
-- end if;
-- end process PROC_CACHE;
--
--
-- PROC_CACHE2 : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- addr2axi_cache_int <= (others => '0');
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- addr2axi_cache_int <= addr2axi_cache_int1;
-- end if;
-- end process PROC_CACHE2;
--
--addr2axi_cache <= addr2axi_cache_int (3 downto 0);
--addr2axi_user <= addr2axi_cache_int (7 downto 4);
--
end implementation;
|
----------------------------------------------------------------------------
-- axi_datamover_addr_cntl.vhd
----------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_addr_cntl.vhd
--
-- Description:
-- This file implements the axi_datamover Master Address Controller.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_9;
Use axi_datamover_v5_1_9.axi_datamover_fifo;
-------------------------------------------------------------------------------
entity axi_datamover_addr_cntl is
generic (
C_ADDR_FIFO_DEPTH : Integer range 1 to 32 := 4;
-- sets the depth of the Command Queue FIFO
C_ADDR_WIDTH : Integer range 32 to 64 := 32;
-- Sets the address bus width
C_ADDR_ID : Integer range 0 to 255 := 0;
-- Sets the value to be on the AxID output
C_ADDR_ID_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the AxID output
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Sets the width of the Command Tag field width
C_FAMILY : String := "virtex7"
-- Specifies the target FPGA family
);
port (
-- Clock input ---------------------------------------------
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
------------------------------------------------------------
-- AXI Address Channel I/O --------------------------------------------
addr2axi_aid : out std_logic_vector(C_ADDR_ID_WIDTH-1 downto 0); --
-- AXI Address Channel ID output --
--
addr2axi_aaddr : out std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- AXI Address Channel Address output --
--
addr2axi_alen : out std_logic_vector(7 downto 0); --
-- AXI Address Channel LEN output --
-- Sized to support 256 data beat bursts --
--
addr2axi_asize : out std_logic_vector(2 downto 0); --
-- AXI Address Channel SIZE output --
--
addr2axi_aburst : out std_logic_vector(1 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_acache : out std_logic_vector(3 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_auser : out std_logic_vector(3 downto 0); --
-- AXI Address Channel BURST output --
--
addr2axi_aprot : out std_logic_vector(2 downto 0); --
-- AXI Address Channel PROT output --
--
addr2axi_avalid : out std_logic; --
-- AXI Address Channel VALID output --
--
axi2addr_aready : in std_logic; --
-- AXI Address Channel READY input --
------------------------------------------------------------------------
-- Currently unsupported AXI Address Channel output signals -------
-- addr2axi_alock : out std_logic_vector(2 downto 0); --
-- addr2axi_acache : out std_logic_vector(4 downto 0); --
-- addr2axi_aqos : out std_logic_vector(3 downto 0); --
-- addr2axi_aregion : out std_logic_vector(3 downto 0); --
-------------------------------------------------------------------
-- Command Calculation Interface -----------------------------------------
mstr2addr_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2addr_addr : In std_logic_vector(C_ADDR_WIDTH-1 downto 0); --
-- The next command address to put on the AXI MMap ADDR --
--
mstr2addr_len : In std_logic_vector(7 downto 0); --
-- The next command length to put on the AXI MMap LEN --
-- Sized to support 256 data beat bursts --
--
mstr2addr_size : In std_logic_vector(2 downto 0); --
-- The next command size to put on the AXI MMap SIZE --
--
mstr2addr_burst : In std_logic_vector(1 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cache : In std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_user : In std_logic_vector(3 downto 0); --
-- The next command burst type to put on the AXI MMap BURST --
--
mstr2addr_cmd_cmplt : In std_logic; --
-- The indication to the Address Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2addr_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2addr_cmd_valid : in std_logic; --
-- The next command valid indication to the Address Channel --
-- Controller for the AXI MMap --
--
addr2mstr_cmd_ready : out std_logic; --
-- Indication to the Command Calculator that the --
-- command is being accepted --
--------------------------------------------------------------------------
-- Halted Indication to Reset Module ------------------------------
addr2rst_stop_cmplt : out std_logic; --
-- Output flag indicating the address controller has stopped --
-- posting commands to the Address Channel due to a stop --
-- request vai the data2addr_stop_req input port --
------------------------------------------------------------------
-- Address Generation Control ---------------------------------------
allow_addr_req : in std_logic; --
-- Input used to enable/stall the posting of address requests. --
-- 0 = stall address request generation. --
-- 1 = Enable Address request geneartion --
--
addr_req_posted : out std_logic; --
-- Indication from the Address Channel Controller to external --
-- User logic that an address has been posted to the --
-- AXI Address Channel. --
---------------------------------------------------------------------
-- Data Channel Interface ---------------------------------------------
addr2data_addr_posted : Out std_logic; --
-- Indication from the Address Channel Controller to the --
-- Data Controller that an address has been posted to the --
-- AXI Address Channel. --
--
data2addr_data_rdy : In std_logic; --
-- Indication that the Data Channel is ready to send the first --
-- databeat of the next command on the write data channel. --
-- This is used for the "wait for data" feature which keeps the --
-- address controller from issuing a transfer requset until the --
-- corresponding data is ready. This is expected to be held in --
-- the asserted state until the addr2data_addr_posted signal is --
-- asserted. --
--
data2addr_stop_req : In std_logic; --
-- Indication that the Data Channel has encountered an error --
-- or a soft shutdown request and needs the Address Controller --
-- to stop posting commands to the AXI Address channel --
-----------------------------------------------------------------------
-- Status Module Interface ---------------------------------------
addr2stat_calc_error : out std_logic; --
-- Indication to the Status Module that the Addr Cntl FIFO --
-- is loaded with a Calc error --
--
addr2stat_cmd_fifo_empty : out std_logic --
-- Indication to the Status Module that the Addr Cntl FIFO --
-- is empty --
------------------------------------------------------------------
);
end entity axi_datamover_addr_cntl;
architecture implementation of axi_datamover_addr_cntl is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Constant Declarations --------------------------------------------
Constant APROT_VALUE : std_logic_vector(2 downto 0) := (others => '0');
--'0' & -- bit 2, Normal Access
--'0' & -- bit 1, Nonsecure Access
--'0'; -- bit 0, Data Access
Constant LEN_WIDTH : integer := 8;
Constant SIZE_WIDTH : integer := 3;
Constant BURST_WIDTH : integer := 2;
Constant CMD_CMPLT_WIDTH : integer := 1;
Constant CALC_ERROR_WIDTH : integer := 1;
Constant ADDR_QUAL_WIDTH : integer := C_TAG_WIDTH + -- Cmd Tag field width
C_ADDR_WIDTH + -- Cmd Address field width
LEN_WIDTH + -- Cmd Len field width
SIZE_WIDTH + -- Cmd Size field width
BURST_WIDTH + -- Cmd Burst field width
CMD_CMPLT_WIDTH + -- Cmd Cmplt filed width
CALC_ERROR_WIDTH + -- Cmd Calc Error flag
8; -- Cmd Cache, user fields
Constant USE_SYNC_FIFO : integer := 0;
Constant REG_FIFO_PRIM : integer := 0;
Constant BRAM_FIFO_PRIM : integer := 1;
Constant SRL_FIFO_PRIM : integer := 2;
Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM;
-- Signal Declarations --------------------------------------------
signal sig_axi_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_axi_alen : std_logic_vector(7 downto 0) := (others => '0');
signal sig_axi_asize : std_logic_vector(2 downto 0) := (others => '0');
signal sig_axi_aburst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_axi_acache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_axi_auser : std_logic_vector(3 downto 0) := (others => '0');
signal sig_axi_avalid : std_logic := '0';
signal sig_axi_aready : std_logic := '0';
signal sig_addr_posted : std_logic := '0';
signal sig_calc_error : std_logic := '0';
signal sig_cmd_fifo_empty : std_logic := '0';
Signal sig_aq_fifo_data_in : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0');
Signal sig_aq_fifo_data_out : std_logic_vector(ADDR_QUAL_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_tag : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_addr : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_len : std_logic_vector(7 downto 0) := (others => '0');
signal sig_fifo_next_size : std_logic_vector(2 downto 0) := (others => '0');
signal sig_fifo_next_burst : std_logic_vector(1 downto 0) := (others => '0');
signal sig_fifo_next_user : std_logic_vector(3 downto 0) := (others => '0');
signal sig_fifo_next_cache : std_logic_vector(3 downto 0) := (others => '0');
signal sig_fifo_next_cmd_cmplt : std_logic := '0';
signal sig_fifo_calc_error : std_logic := '0';
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_next_tag_reg : std_logic_vector(C_TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_next_addr_reg : std_logic_vector(C_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_next_len_reg : std_logic_vector(7 downto 0) := (others => '0');
signal sig_next_size_reg : std_logic_vector(2 downto 0) := (others => '0');
signal sig_next_burst_reg : std_logic_vector(1 downto 0) := (others => '0');
signal sig_next_cache_reg : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_user_reg : std_logic_vector(3 downto 0) := (others => '0');
signal sig_next_cmd_cmplt_reg : std_logic := '0';
signal sig_addr_valid_reg : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_pop_addr_reg : std_logic := '0';
signal sig_push_addr_reg : std_logic := '0';
signal sig_addr_reg_empty : std_logic := '0';
signal sig_addr_reg_full : std_logic := '0';
signal sig_posted_to_axi : std_logic := '0';
-- obsoleted signal sig_set_wfd_flop : std_logic := '0';
-- obsoleted signal sig_clr_wfd_flop : std_logic := '0';
-- obsoleted signal sig_wait_for_data : std_logic := '0';
-- obsoleted signal sig_data2addr_data_rdy_reg : std_logic := '0';
signal sig_allow_addr_req : std_logic := '0';
signal sig_posted_to_axi_2 : std_logic := '0';
signal new_cmd_in : std_logic;
signal first_addr_valid : std_logic;
signal first_addr_valid_del : std_logic;
signal first_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0);
signal last_addr_int : std_logic_vector (C_ADDR_WIDTH-1 downto 0);
signal addr2axi_cache_int : std_logic_vector (7 downto 0);
signal addr2axi_cache_int1 : std_logic_vector (7 downto 0);
signal last_one : std_logic;
signal latch : std_logic;
signal first_one : std_logic;
signal latch_n : std_logic;
signal latch_n_del : std_logic;
signal mstr2addr_cache_info_int : std_logic_vector (7 downto 0);
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_posted_to_axi : signal is "TRUE"; -- definition
Attribute KEEP of sig_posted_to_axi_2 : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_posted_to_axi_2 : signal is "no";
begin --(architecture implementation)
-- AXI I/O Port assignments
addr2axi_aid <= STD_LOGIC_VECTOR(TO_UNSIGNED(C_ADDR_ID, C_ADDR_ID_WIDTH));
addr2axi_aaddr <= sig_axi_addr ;
addr2axi_alen <= sig_axi_alen ;
addr2axi_asize <= sig_axi_asize ;
addr2axi_aburst <= sig_axi_aburst;
addr2axi_acache <= sig_axi_acache;
addr2axi_auser <= sig_axi_auser;
addr2axi_aprot <= APROT_VALUE ;
addr2axi_avalid <= sig_axi_avalid;
sig_axi_aready <= axi2addr_aready;
-- Command Calculator Handshake output
sig_fifo_wr_cmd_valid <= mstr2addr_cmd_valid ;
addr2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
-- Data Channel Controller synchro pulse output
addr2data_addr_posted <= sig_addr_posted;
-- Status Module Interface outputs
addr2stat_calc_error <= sig_calc_error ;
addr2stat_cmd_fifo_empty <= sig_addr_reg_empty and
sig_cmd_fifo_empty;
-- Flag Indicating the Address Controller has completed a Stop
addr2rst_stop_cmplt <= (data2addr_stop_req and -- normal shutdown case
sig_addr_reg_empty) or
(data2addr_stop_req and -- shutdown after error trap
sig_calc_error);
-- Assign the address posting control and status
sig_allow_addr_req <= allow_addr_req ;
addr_req_posted <= sig_posted_to_axi_2 ;
-- Internal logic ------------------------------
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_FIFO
--
-- If Generate Description:
-- Implements the case where the cmd qualifier depth is
-- greater than 1.
--
------------------------------------------------------------
GEN_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH > 1) generate
begin
-- Format the input FIFO data word
sig_aq_fifo_data_in <= mstr2addr_cache &
mstr2addr_user &
mstr2addr_calc_error &
mstr2addr_cmd_cmplt &
mstr2addr_burst &
mstr2addr_size &
mstr2addr_len &
mstr2addr_addr &
mstr2addr_tag ;
-- Rip fields from FIFO output data word
sig_fifo_next_cache <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 7)
downto
(C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 4)
);
sig_fifo_next_user <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH + 3)
downto
(C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH)
);
sig_fifo_calc_error <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH +
CALC_ERROR_WIDTH)-1);
sig_fifo_next_cmd_cmplt <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH +
CMD_CMPLT_WIDTH)-1);
sig_fifo_next_burst <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH +
BURST_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH) ;
sig_fifo_next_size <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH +
SIZE_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH) ;
sig_fifo_next_len <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH +
LEN_WIDTH)-1
downto
C_ADDR_WIDTH +
C_TAG_WIDTH) ;
sig_fifo_next_addr <= sig_aq_fifo_data_out((C_ADDR_WIDTH +
C_TAG_WIDTH)-1
downto
C_TAG_WIDTH) ;
sig_fifo_next_tag <= sig_aq_fifo_data_out(C_TAG_WIDTH-1 downto 0);
------------------------------------------------------------
-- Instance: I_ADDR_QUAL_FIFO
--
-- Description:
-- Instance for the Address/Qualifier FIFO
--
------------------------------------------------------------
I_ADDR_QUAL_FIFO : entity axi_datamover_v5_1_9.axi_datamover_fifo
generic map (
C_DWIDTH => ADDR_QUAL_WIDTH ,
C_DEPTH => C_ADDR_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => FIFO_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => mmap_reset ,
fifo_wr_clk => primary_aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_aq_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => mmap_reset ,
fifo_async_rd_clk => primary_aclk ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_aq_fifo_data_out ,
fifo_rd_empty => sig_cmd_fifo_empty
);
end generate GEN_ADDR_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_ADDR_FIFO
--
-- If Generate Description:
-- Implements the case where no additional FIFOing is needed
-- on the input command address/qualifiers.
--
------------------------------------------------------------
GEN_NO_ADDR_FIFO : if (C_ADDR_FIFO_DEPTH = 1) generate
begin
-- Bypass FIFO
sig_fifo_next_tag <= mstr2addr_tag ;
sig_fifo_next_addr <= mstr2addr_addr ;
sig_fifo_next_len <= mstr2addr_len ;
sig_fifo_next_size <= mstr2addr_size ;
sig_fifo_next_burst <= mstr2addr_burst ;
sig_fifo_next_cache <= mstr2addr_cache ;
sig_fifo_next_user <= mstr2addr_user ;
sig_fifo_next_cmd_cmplt <= mstr2addr_cmd_cmplt ;
sig_fifo_calc_error <= mstr2addr_calc_error ;
sig_cmd_fifo_empty <= sig_addr_reg_empty ;
sig_fifo_wr_cmd_ready <= sig_fifo_rd_cmd_ready ;
sig_fifo_rd_cmd_valid <= sig_fifo_wr_cmd_valid ;
end generate GEN_NO_ADDR_FIFO;
-- Output Register Logic -------------------------------------------
sig_axi_addr <= sig_next_addr_reg ;
sig_axi_alen <= sig_next_len_reg ;
sig_axi_asize <= sig_next_size_reg ;
sig_axi_aburst <= sig_next_burst_reg ;
sig_axi_acache <= sig_next_cache_reg ;
sig_axi_auser <= sig_next_user_reg ;
sig_axi_avalid <= sig_addr_valid_reg ;
sig_calc_error <= sig_calc_error_reg ;
sig_fifo_rd_cmd_ready <= sig_addr_reg_empty and
sig_allow_addr_req and
-- obsoleted not(sig_wait_for_data) and
not(data2addr_stop_req);
sig_addr_posted <= sig_posted_to_axi ;
-- Internal signals
sig_push_addr_reg <= sig_addr_reg_empty and
sig_fifo_rd_cmd_valid and
sig_allow_addr_req and
-- obsoleted not(sig_wait_for_data) and
not(data2addr_stop_req);
sig_pop_addr_reg <= not(sig_calc_error_reg) and
sig_axi_aready and
sig_addr_reg_full;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ADDR_FIFO_REG
--
-- Process Description:
-- This process implements a register for the Address
-- Control FIFO that operates like a 1 deep Sync FIFO.
--
-------------------------------------------------------------
IMP_ADDR_FIFO_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_pop_addr_reg = '1') then
sig_next_tag_reg <= (others => '0') ;
sig_next_addr_reg <= (others => '0') ;
sig_next_len_reg <= (others => '0') ;
sig_next_size_reg <= (others => '0') ;
sig_next_burst_reg <= (others => '0') ;
sig_next_cache_reg <= (others => '0') ;
sig_next_user_reg <= (others => '0') ;
sig_next_cmd_cmplt_reg <= '0' ;
sig_addr_valid_reg <= '0' ;
sig_calc_error_reg <= '0' ;
sig_addr_reg_empty <= '1' ;
sig_addr_reg_full <= '0' ;
elsif (sig_push_addr_reg = '1') then
sig_next_tag_reg <= sig_fifo_next_tag ;
sig_next_addr_reg <= sig_fifo_next_addr ;
sig_next_len_reg <= sig_fifo_next_len ;
sig_next_size_reg <= sig_fifo_next_size ;
sig_next_burst_reg <= sig_fifo_next_burst ;
sig_next_cache_reg <= sig_fifo_next_cache ;
sig_next_user_reg <= sig_fifo_next_user ;
sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ;
sig_addr_valid_reg <= not(sig_fifo_calc_error);
sig_calc_error_reg <= sig_fifo_calc_error ;
sig_addr_reg_empty <= '0' ;
sig_addr_reg_full <= '1' ;
else
null; -- don't change state
end if;
end if;
end process IMP_ADDR_FIFO_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_POSTED_FLAG
--
-- Process Description:
-- This implements a FLOP that creates a 1 clock wide pulse
-- indicating a new address/qualifier set has been posted to
-- the AXI Addres Channel outputs. This is used to synchronize
-- the Data Channel Controller.
--
-------------------------------------------------------------
IMP_POSTED_FLAG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_posted_to_axi <= '0';
sig_posted_to_axi_2 <= '0';
elsif (sig_push_addr_reg = '1') then
sig_posted_to_axi <= '1';
sig_posted_to_axi_2 <= '1';
else
sig_posted_to_axi <= '0';
sig_posted_to_axi_2 <= '0';
end if;
end if;
end process IMP_POSTED_FLAG;
-- PROC_CMD_DETECT : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- first_addr_valid_del <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- first_addr_valid_del <= first_addr_valid;
-- end if;
-- end process PROC_CMD_DETECT;
--
-- PROC_ADDR_DET : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- first_addr_valid <= '0';
-- first_addr_int <= (others => '0');
-- last_addr_int <= (others => '0');
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- if (mstr2addr_cmd_valid = '1' and first_addr_valid = '0') then
-- first_addr_valid <= '1';
-- first_addr_int <= mstr2addr_addr;
-- last_addr_int <= last_addr_int;
-- elsif (mstr2addr_cmd_cmplt = '1') then
-- first_addr_valid <= '0';
-- first_addr_int <= first_addr_int;
-- last_addr_int <= mstr2addr_addr;
-- end if;
-- end if;
-- end process PROC_ADDR_DET;
--
-- latch <= first_addr_valid and (not first_addr_valid_del);
-- latch_n <= (not first_addr_valid) and first_addr_valid_del;
--
-- PROC_CACHE1 : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- mstr2addr_cache_info_int <= (others => '0');
-- latch_n_del <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- if (latch_n = '1') then
-- mstr2addr_cache_info_int <= mstr2addr_cache_info;
-- end if;
-- latch_n_del <= latch_n;
-- end if;
-- end process PROC_CACHE1;
--
--
-- PROC_CACHE : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- addr2axi_cache_int1 <= (others => '0');
-- first_one <= '0';
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- first_one <= '0';
---- if (latch = '1' and first_one = '0') then -- first one
-- if (sig_addr_valid_reg = '0' and first_addr_valid = '0') then
-- addr2axi_cache_int1 <= mstr2addr_cache_info;
---- first_one <= '1';
---- elsif (latch_n_del = '1') then
---- addr2axi_cache_int <= mstr2addr_cache_info_int;
-- elsif ((first_addr_int = sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then
-- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4);
-- elsif ((last_addr_int >= sig_next_addr_reg) and (sig_addr_valid_reg = '1')) then
-- addr2axi_cache_int1 <= addr2axi_cache_int1; --mstr2addr_cache_info (7 downto 4);
-- end if;
-- end if;
-- end process PROC_CACHE;
--
--
-- PROC_CACHE2 : process (primary_aclk)
-- begin
-- if (mmap_reset = '1') then
-- addr2axi_cache_int <= (others => '0');
-- elsif (primary_aclk'event and primary_aclk = '1') then
-- addr2axi_cache_int <= addr2axi_cache_int1;
-- end if;
-- end process PROC_CACHE2;
--
--addr2axi_cache <= addr2axi_cache_int (3 downto 0);
--addr2axi_user <= addr2axi_cache_int (7 downto 4);
--
end implementation;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: clkinv
-- File: clkinv.vhd
-- Author: Fredrik Ringhage - Aeroflex Gaisler Research
-- Description: SET protected inverters for clock tree
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.gencomp.all;
use work.allclkgen.all;
entity clkinv is
generic(tech : integer := 0);
port(
i : in std_ulogic;
o : out std_ulogic
);
end entity;
architecture rtl of clkinv is
begin
tec : if has_clkinv(tech) = 1 generate
saed : if (tech = saed32) generate
x0 : clkinv_saed32 port map (i => i, o => o);
end generate;
dar : if (tech = dare) generate
x0 : clkinv_dare port map (i => i, o => o);
end generate;
rhs : if (tech = rhs65) generate
x0 : clkinv_rhs65 port map (i => i, o => o);
end generate;
end generate;
gen : if has_clkinv(tech) = 0 generate
o <= not i;
end generate;
end architecture;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 21:10:20 09/24/2011
-- Design Name:
-- Module Name: Seg7Driver - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_unsigned.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Seg7Driver is
Port(
clk: in std_logic;
data: in std_logic_vector(11 downto 0);
nibble: in std_logic_vector(3 downto 0);
seg: out std_logic_vector(6 downto 0); -- segment outputs
an : out std_logic_vector(3 downto 0) -- anode select signals
);
end Seg7Driver;
architecture Behavioral of Seg7Driver is
signal HEX : std_logic_vector(4 downto 0); -- a digit for 7 seg display
signal cnt : std_logic_vector(10 downto 0):="00000000000";-- divider for 7SD
alias cntr is cnt(10 downto 9);
begin
process (clk, cnt)
begin
if rising_edge(clk) then
cnt <= cnt + "00000000000000001";
end if;
end process;
process(cnt, data, nibble)
begin
if(cntr = "00") then
HEX(3 downto 0) <= data(3 downto 0);
HEX(4) <= '0';
elsif(cntr= "01") then
HEX(3 downto 0) <= data(7 downto 4);
HEX(4) <= '0';
elsif(cntr = "10") then
HEX(3 downto 0) <= data(11 downto 8);
HEX(4) <= '0';
elsif(cntr = "11") then
HEX(3 downto 0) <= nibble;
HEX(4) <= '0';
end if;
end process;
with cntr select
an <= "1110" when "00",
"1101" when "01",
"1011" when "10",
"0111" when others;
--HEX-to-seven-segment decoder
--
-- segment encoding
-- 0
-- ---
-- 5 | | 1
-- --- <- 6
-- 4 | | 2
-- ---
-- 3
with HEX select
seg<= "1000000" when "00000", --0
"1111001" when "00001", --1
"0100100" when "00010", --2
"0110000" when "00011", --3
"0011001" when "00100", --4
"0010010" when "00101", --5
"0000010" when "00110", --6
"1111000" when "00111", --7
"0000000" when "01000", --8
"0010000" when "01001", --9
"0001000" when "01010", --A
"0000011" when "01011", --B
"1000110" when "01100", --C
"0100001" when "01101", --D
"0000110" when "01110", --E
"0001110" when "01111", --F
"0111111" when "10000", -- minus sign
"1111111" when others; -- nothing, for plus sign
end Behavioral;
|
----------------------------------------------------------------------------------
-- Company: ITESM CQ
-- Engineer: Miguel Gonzalez
--
-- Create Date: 10:46:30 11/04/2015
-- Design Name:
-- Module Name: ServoControl - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description: ServoControl
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_unsigned.all;
entity ServoControl is
Port ( Rst : in STD_LOGIC;
Clk : in STD_LOGIC;
Servo : out STD_LOGIC);
end ServoControl;
architecture Behavioral of ServoControl is
type state_values is (HIGH, LOW);
signal pres_state, next_state: state_values;
signal control : STD_LOGIC_VECTOR(2 downto 0);
constant Fosc : integer := 100_000_000;
constant Fdiv : integer := 1_000_000;
constant CtaMax : integer := Fosc / Fdiv;
-- in microseconds
constant th_micros : integer := 2000;
constant tl_micros : integer := 19000;
signal Cont : integer range 0 to CtaMax;
signal ClkOut : std_logic;
signal micros_current_state_duration : integer range 0 to tl_micros;
signal micros_count : integer range 0 to tl_micros;
begin
Freq_Divider: process (Rst, Clk)
begin
if Rst = '1' then
Cont <= 0;
elsif (rising_edge(Clk)) then
if Cont = CtaMax then
Cont <= 0;
ClkOut <= '1';
else
Cont <= Cont + 1;
ClkOut<= '0';
end if;
end if;
end process Freq_Divider;
-- Proceso que describe el modulo "Current State Register"
statereg: process (Clk, Rst, ClkOut)
begin
if (Rst='1') then
pres_state <= HIGH;
elsif (rising_edge(Clk) and ClkOut = '1') then
if(micros_current_state_duration = micros_count) then
pres_state <= next_state;
else
micros_count <= micros_count + 1;
end if;
end if;
end process statereg;
-- Proceso que describe el modulo "Next State Logic"
--agrupar las seniales de entrada
fsm: process (pres_state)
begin
case pres_state is
when HIGH =>
next_state <= LOW;
micros_current_state_duration <= th_micros;
when LOW =>
next_state <= HIGH;
micros_current_state_duration <= tl_micros;
when others =>
next_state <= LOW;
micros_current_state_duration <= th_micros;
end case;
end process fsm;
-- Proceso que describe el bloque "Output Logic"
outputs: process (pres_state)
begin
case pres_state is
when LOW => Servo <= '0';
when others => Servo <= '1';
end case;
-- Servo <= '1';
end process outputs;
end Behavioral;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ANN is
generic (
C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 7;
C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
s_axi_AXILiteS_AWVALID : IN STD_LOGIC;
s_axi_AXILiteS_AWREADY : OUT STD_LOGIC;
s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_WVALID : IN STD_LOGIC;
s_axi_AXILiteS_WREADY : OUT STD_LOGIC;
s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0);
s_axi_AXILiteS_ARVALID : IN STD_LOGIC;
s_axi_AXILiteS_ARREADY : OUT STD_LOGIC;
s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_RVALID : OUT STD_LOGIC;
s_axi_AXILiteS_RREADY : IN STD_LOGIC;
s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_AXILiteS_BVALID : OUT STD_LOGIC;
s_axi_AXILiteS_BREADY : IN STD_LOGIC;
s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of ANN is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"ANN,hls_ip_2015_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.621000,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=18,HLS_SYN_DSP=37,HLS_SYN_FF=8935,HLS_SYN_LUT=12780}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010";
constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100";
constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000";
constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000";
constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000";
constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000";
constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000";
constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000";
constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000";
constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000";
constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000";
constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000";
constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000";
constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000";
constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000";
constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000";
constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000";
constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000";
constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000";
constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000";
constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000";
constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000";
constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000";
constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000";
constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000";
constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000";
constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000";
constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000";
constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000";
constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000";
constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000";
constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000";
constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000";
constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000";
constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000";
constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000";
constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000";
constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000";
constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000";
constant ap_ST_st41_fsm_40 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000";
constant ap_ST_st42_fsm_41 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000";
constant ap_ST_st43_fsm_42 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000";
constant ap_ST_st44_fsm_43 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000";
constant ap_ST_st45_fsm_44 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000";
constant ap_ST_st46_fsm_45 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000";
constant ap_ST_st47_fsm_46 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000";
constant ap_ST_st48_fsm_47 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000";
constant ap_ST_st49_fsm_48 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000";
constant ap_ST_st50_fsm_49 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000";
constant ap_ST_st51_fsm_50 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000";
constant ap_ST_st52_fsm_51 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000";
constant ap_ST_st53_fsm_52 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000";
constant ap_ST_st54_fsm_53 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000";
constant ap_ST_st55_fsm_54 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000";
constant ap_ST_st56_fsm_55 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000";
constant ap_ST_st57_fsm_56 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000";
constant ap_ST_st58_fsm_57 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st59_fsm_58 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st60_fsm_59 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st61_fsm_60 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st62_fsm_61 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st63_fsm_62 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st64_fsm_63 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st65_fsm_64 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st66_fsm_65 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st67_fsm_66 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st68_fsm_67 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st69_fsm_68 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st70_fsm_69 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st71_fsm_70 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st72_fsm_71 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st73_fsm_72 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st74_fsm_73 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st75_fsm_74 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st76_fsm_75 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st77_fsm_76 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st78_fsm_77 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st79_fsm_78 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st80_fsm_79 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st81_fsm_80 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st82_fsm_81 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st83_fsm_82 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st84_fsm_83 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st85_fsm_84 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st86_fsm_85 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st87_fsm_86 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st88_fsm_87 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st89_fsm_88 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st90_fsm_89 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st91_fsm_90 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st92_fsm_91 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st93_fsm_92 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st94_fsm_93 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st95_fsm_94 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st96_fsm_95 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st97_fsm_96 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st98_fsm_97 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st99_fsm_98 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st100_fsm_99 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st101_fsm_100 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st102_fsm_101 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st103_fsm_102 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st104_fsm_103 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st105_fsm_104 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st106_fsm_105 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st107_fsm_106 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st108_fsm_107 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st109_fsm_108 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st110_fsm_109 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st111_fsm_110 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st112_fsm_111 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st113_fsm_112 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st114_fsm_113 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st115_fsm_114 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st116_fsm_115 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st117_fsm_116 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st118_fsm_117 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st119_fsm_118 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st120_fsm_119 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st121_fsm_120 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st122_fsm_121 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st123_fsm_122 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st124_fsm_123 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st125_fsm_124 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st126_fsm_125 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st127_fsm_126 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st128_fsm_127 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st129_fsm_128 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st130_fsm_129 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st131_fsm_130 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st132_fsm_131 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st133_fsm_132 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st134_fsm_133 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st135_fsm_134 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st136_fsm_135 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st137_fsm_136 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st138_fsm_137 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st139_fsm_138 : STD_LOGIC_VECTOR (149 downto 0) := "000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st140_fsm_139 : STD_LOGIC_VECTOR (149 downto 0) := "000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st141_fsm_140 : STD_LOGIC_VECTOR (149 downto 0) := "000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st142_fsm_141 : STD_LOGIC_VECTOR (149 downto 0) := "000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st143_fsm_142 : STD_LOGIC_VECTOR (149 downto 0) := "000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st144_fsm_143 : STD_LOGIC_VECTOR (149 downto 0) := "000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st145_fsm_144 : STD_LOGIC_VECTOR (149 downto 0) := "000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st146_fsm_145 : STD_LOGIC_VECTOR (149 downto 0) := "000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st147_fsm_146 : STD_LOGIC_VECTOR (149 downto 0) := "000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st148_fsm_147 : STD_LOGIC_VECTOR (149 downto 0) := "001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st149_fsm_148 : STD_LOGIC_VECTOR (149 downto 0) := "010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st150_fsm_149 : STD_LOGIC_VECTOR (149 downto 0) := "100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010";
constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110";
constant ap_const_lv32_58 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011000";
constant ap_const_lv32_81 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000001";
constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111";
constant ap_const_lv32_61 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100001";
constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001";
constant ap_const_lv32_5B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011011";
constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110";
constant ap_const_lv32_60 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100000";
constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100";
constant ap_const_lv32_66 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100110";
constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101";
constant ap_const_lv32_67 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100111";
constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111";
constant ap_const_lv32_79 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111001";
constant ap_const_lv32_54 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010100";
constant ap_const_lv32_7A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111010";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100";
constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001";
constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011";
constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100";
constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101";
constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100";
constant ap_const_lv32_53 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010011";
constant ap_const_lv32_56 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010110";
constant ap_const_lv32_57 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010111";
constant ap_const_lv32_7F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111111";
constant ap_const_lv32_80 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000000";
constant ap_const_lv32_91 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010001";
constant ap_const_lv32_93 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010011";
constant ap_const_lv31_1 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000001";
constant ap_const_lv32_55 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010101";
constant ap_const_lv31_0 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000000";
constant ap_const_lv32_92 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010010";
constant ap_const_lv32_94 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010100";
constant ap_const_lv32_BF800000 : STD_LOGIC_VECTOR (31 downto 0) := "10111111100000000000000000000000";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv32_7B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111011";
constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010";
constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000";
constant ap_const_lv32_5C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011100";
constant ap_const_lv32_62 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100010";
constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110";
constant ap_const_lv32_FFFFFFFF : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111111";
constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101";
constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110";
constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111";
constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000";
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111";
constant ap_const_lv23_0 : STD_LOGIC_VECTOR (22 downto 0) := "00000000000000000000000";
constant ap_const_lv31_7FFFFFFF : STD_LOGIC_VECTOR (30 downto 0) := "1111111111111111111111111111111";
constant ap_const_lv32_FFFFFFFE : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111110";
constant ap_const_lv32_80000000 : STD_LOGIC_VECTOR (31 downto 0) := "10000000000000000000000000000000";
constant ap_const_lv14_29 : STD_LOGIC_VECTOR (13 downto 0) := "00000000101001";
constant ap_const_lv5_2 : STD_LOGIC_VECTOR (4 downto 0) := "00010";
constant ap_const_lv32_95 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010101";
constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000";
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC;
signal ap_sig_bdd_168 : BOOLEAN;
signal ap_ready : STD_LOGIC;
signal P_mode : STD_LOGIC_VECTOR (31 downto 0);
signal P_index1 : STD_LOGIC_VECTOR (31 downto 0);
signal P_index2 : STD_LOGIC_VECTOR (31 downto 0);
signal P_intIn_index3 : STD_LOGIC_VECTOR (31 downto 0);
signal P_floatIn : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_WandB_address0 : STD_LOGIC_VECTOR (12 downto 0);
signal ST_WandB_ce0 : STD_LOGIC;
signal ST_WandB_we0 : STD_LOGIC;
signal ST_WandB_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_WandB_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address0 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce0 : STD_LOGIC;
signal ST_uOut_we0 : STD_LOGIC;
signal ST_uOut_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address1 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce1 : STD_LOGIC;
signal ST_uOut_we1 : STD_LOGIC;
signal ST_uOut_d1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_layerSize_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ap_return : STD_LOGIC_VECTOR (31 downto 0);
signal ANN_AXILiteS_s_axi_U_ap_dummy_ce : STD_LOGIC;
signal reg_490 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC;
signal ap_sig_bdd_253 : BOOLEAN;
signal ap_sig_cseq_ST_st11_fsm_10 : STD_LOGIC;
signal ap_sig_bdd_260 : BOOLEAN;
signal ap_sig_cseq_ST_st15_fsm_14 : STD_LOGIC;
signal ap_sig_bdd_268 : BOOLEAN;
signal ap_sig_cseq_ST_st89_fsm_88 : STD_LOGIC;
signal ap_sig_bdd_275 : BOOLEAN;
signal ap_sig_cseq_ST_st130_fsm_129 : STD_LOGIC;
signal ap_sig_bdd_283 : BOOLEAN;
signal reg_499 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st24_fsm_23 : STD_LOGIC;
signal ap_sig_bdd_292 : BOOLEAN;
signal ap_sig_cseq_ST_st98_fsm_97 : STD_LOGIC;
signal ap_sig_bdd_301 : BOOLEAN;
signal grp_fu_428_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_505 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st18_fsm_17 : STD_LOGIC;
signal ap_sig_bdd_311 : BOOLEAN;
signal ap_sig_cseq_ST_st92_fsm_91 : STD_LOGIC;
signal ap_sig_bdd_318 : BOOLEAN;
signal grp_fu_421_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st23_fsm_22 : STD_LOGIC;
signal ap_sig_bdd_328 : BOOLEAN;
signal ap_sig_cseq_ST_st97_fsm_96 : STD_LOGIC;
signal ap_sig_bdd_335 : BOOLEAN;
signal reg_516 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st29_fsm_28 : STD_LOGIC;
signal ap_sig_bdd_344 : BOOLEAN;
signal ap_sig_cseq_ST_st103_fsm_102 : STD_LOGIC;
signal ap_sig_bdd_351 : BOOLEAN;
signal grp_fu_447_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_521 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st30_fsm_29 : STD_LOGIC;
signal ap_sig_bdd_361 : BOOLEAN;
signal ap_sig_cseq_ST_st104_fsm_103 : STD_LOGIC;
signal ap_sig_bdd_368 : BOOLEAN;
signal grp_fu_464_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_526 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st48_fsm_47 : STD_LOGIC;
signal ap_sig_bdd_378 : BOOLEAN;
signal ap_sig_cseq_ST_st122_fsm_121 : STD_LOGIC;
signal ap_sig_bdd_385 : BOOLEAN;
signal grp_fu_444_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_532 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st85_fsm_84 : STD_LOGIC;
signal ap_sig_bdd_395 : BOOLEAN;
signal ap_sig_cseq_ST_st123_fsm_122 : STD_LOGIC;
signal ap_sig_bdd_402 : BOOLEAN;
signal P_floatIn_read_reg_1345 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer_load_reg_1353 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_76_fu_609_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_76_reg_1378 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_1_fu_538_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_2_fu_549_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_4_fu_555_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_8_fu_561_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_s_fu_567_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_10_fu_573_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_14_fu_579_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_31_fu_619_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_31_reg_1384 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_6_fu_683_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_6_reg_1394 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_fu_721_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_reg_1399 : STD_LOGIC_VECTOR (13 downto 0);
signal max_2_cast_fu_761_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal max_2_cast_reg_1407 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC;
signal ap_sig_bdd_458 : BOOLEAN;
signal tmp_24_fu_765_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_4_fu_798_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_4_reg_1425 : STD_LOGIC_VECTOR (30 downto 0);
signal ST_uOut_load_2_reg_1430 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_63_fu_881_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_63_reg_1436 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st4_fsm_3 : STD_LOGIC;
signal ap_sig_bdd_478 : BOOLEAN;
signal max_1_fu_887_p3 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st5_fsm_4 : STD_LOGIC;
signal ap_sig_bdd_487 : BOOLEAN;
signal grp_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st10_fsm_9 : STD_LOGIC;
signal ap_sig_bdd_496 : BOOLEAN;
signal tmp_28_fu_926_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_28_reg_1454 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st12_fsm_11 : STD_LOGIC;
signal ap_sig_bdd_505 : BOOLEAN;
signal tmp_3_fu_897_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_29_fu_932_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_29_reg_1459 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_38_fu_966_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_38_reg_1464 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_45_fu_972_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_45_reg_1469 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_56_fu_1000_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_56_reg_1474 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_58_fu_1006_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_58_reg_1479 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_64_fu_1010_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_64_reg_1484 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_69_fu_1043_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_69_reg_1489 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_70_fu_1049_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_70_reg_1494 : STD_LOGIC_VECTOR (1 downto 0);
signal j_2_fu_1072_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal j_2_reg_1502 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st13_fsm_12 : STD_LOGIC;
signal ap_sig_bdd_535 : BOOLEAN;
signal tmp_53_fu_1078_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_53_reg_1507 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_20_fu_1066_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_80_fu_1333_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_reg_1513 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_5_reg_1519 : STD_LOGIC_VECTOR (7 downto 0);
signal i_3_fu_1105_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_fu_1120_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_reg_1532 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st14_fsm_13 : STD_LOGIC;
signal ap_sig_bdd_557 : BOOLEAN;
signal tmp_33_fu_1115_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_454_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_42_reg_1552 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st53_fsm_52 : STD_LOGIC;
signal ap_sig_bdd_577 : BOOLEAN;
signal grp_fu_459_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_43_reg_1557 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st84_fsm_83 : STD_LOGIC;
signal ap_sig_bdd_586 : BOOLEAN;
signal tmp_27_fu_1182_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_27_reg_1562 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st87_fsm_86 : STD_LOGIC;
signal ap_sig_bdd_595 : BOOLEAN;
signal i_5_fu_1201_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal i_5_reg_1570 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_fu_1207_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_reg_1575 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_22_fu_1195_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_83_fu_1339_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_83_reg_1581 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_7_reg_1587 : STD_LOGIC_VECTOR (7 downto 0);
signal j_3_fu_1243_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal j_3_reg_1595 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st88_fsm_87 : STD_LOGIC;
signal ap_sig_bdd_616 : BOOLEAN;
signal tmp_34_fu_1238_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st128_fsm_127 : STD_LOGIC;
signal ap_sig_bdd_635 : BOOLEAN;
signal i_6_fu_1299_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_6_reg_1623 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st129_fsm_128 : STD_LOGIC;
signal ap_sig_bdd_644 : BOOLEAN;
signal ST_uOut_addr_8_reg_1628 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_35_fu_1294_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_435_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_52_reg_1634 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st146_fsm_145 : STD_LOGIC;
signal ap_sig_bdd_659 : BOOLEAN;
signal tmp_21_fu_1324_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_21_reg_1639 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st148_fsm_147 : STD_LOGIC;
signal ap_sig_bdd_668 : BOOLEAN;
signal max_2_reg_266 : STD_LOGIC_VECTOR (30 downto 0);
signal max_reg_277 : STD_LOGIC_VECTOR (31 downto 0);
signal i_reg_289 : STD_LOGIC_VECTOR (30 downto 0);
signal j_reg_301 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st86_fsm_85 : STD_LOGIC;
signal ap_sig_bdd_690 : BOOLEAN;
signal sum_reg_312 : STD_LOGIC_VECTOR (31 downto 0);
signal k_reg_324 : STD_LOGIC_VECTOR (30 downto 0);
signal sumsoft_reg_335 : STD_LOGIC_VECTOR (31 downto 0);
signal i_1_reg_347 : STD_LOGIC_VECTOR (31 downto 0);
signal sum_1_reg_358 : STD_LOGIC_VECTOR (31 downto 0);
signal j_1_reg_370 : STD_LOGIC_VECTOR (30 downto 0);
signal i_2_reg_381 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st147_fsm_146 : STD_LOGIC;
signal ap_sig_bdd_712 : BOOLEAN;
signal p_0_reg_392 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st149_fsm_148 : STD_LOGIC;
signal ap_sig_bdd_728 : BOOLEAN;
signal tmp_66_cast_fu_673_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_9_fu_678_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_86_cast_fu_779_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_87_cast_fu_793_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_82_cast_fu_1100_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_88_cast_fu_1139_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_89_cast_fu_1149_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_90_cast_fu_1162_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_84_cast_fu_1229_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_91_cast_fu_1262_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_92_cast_fu_1272_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_93_cast_fu_1285_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_94_cast_fu_1314_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_21_cast_fu_1329_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_5_fu_727_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal ap_sig_cseq_ST_st124_fsm_123 : STD_LOGIC;
signal ap_sig_bdd_818 : BOOLEAN;
signal grp_fu_421_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_421_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st19_fsm_18 : STD_LOGIC;
signal ap_sig_bdd_837 : BOOLEAN;
signal ap_sig_cseq_ST_st25_fsm_24 : STD_LOGIC;
signal ap_sig_bdd_844 : BOOLEAN;
signal ap_sig_cseq_ST_st93_fsm_92 : STD_LOGIC;
signal ap_sig_bdd_852 : BOOLEAN;
signal ap_sig_cseq_ST_st99_fsm_98 : STD_LOGIC;
signal ap_sig_bdd_859 : BOOLEAN;
signal grp_fu_428_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_444_p0 : STD_LOGIC_VECTOR (63 downto 0);
signal grp_fu_447_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_fu_1177_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_74_fu_585_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_75_fu_597_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl12_cast_fu_589_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl13_cast_fu_601_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_31_fu_619_p5 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_72_fu_637_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_73_fu_649_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl10_cast_fu_641_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl11_cast_fu_653_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_71_fu_633_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_65_fu_661_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_66_fu_667_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_11_fu_691_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_12_fu_703_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl_cast_fu_695_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl1_cast_fu_707_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_7_fu_687_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_13_fu_715_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_94_fu_770_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_86_fu_774_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_95_fu_784_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_87_fu_788_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal ST_uOut_load_1_to_int_fu_804_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_load_2_to_int_fu_822_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_55_fu_808_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_96_fu_818_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs_fu_845_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs_fu_839_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_57_fu_825_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_97_fu_835_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs2_fu_863_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs1_fu_857_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_59_fu_851_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_60_fu_869_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_61_fu_875_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_62_fu_450_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_cast_fu_893_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_25_fu_902_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_26_fu_914_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl8_cast_fu_906_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl9_cast_fu_918_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_15_fu_936_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal tmp_30_fu_942_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_36_fu_954_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl6_cast_fu_946_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl7_cast_fu_958_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_47_fu_976_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_51_fu_988_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl4_cast_fu_980_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl5_cast_fu_992_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_23_fu_1014_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_67_fu_1019_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_68_fu_1031_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl2_cast_fu_1023_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl3_cast_fu_1035_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_fu_1053_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_78_fu_1091_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_79_fu_1095_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 : string;
attribute use_dsp48 of tmp_79_fu_1095_p2 : signal is "no";
signal k_cast_fu_1111_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_99_fu_1130_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_88_fu_1134_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_88_fu_1134_p2 : signal is "no";
signal tmp_98_fu_1126_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_89_fu_1144_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_100_fu_1154_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_90_fu_1157_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_90_fu_1157_p2 : signal is "no";
signal tmp_39_to_int_fu_1167_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_neg_fu_1171_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_81_fu_1220_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_82_fu_1224_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_82_fu_1224_p2 : signal is "no";
signal j_1_cast_fu_1234_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_102_fu_1253_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_91_fu_1257_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_91_fu_1257_p2 : signal is "no";
signal tmp_101_fu_1249_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_92_fu_1267_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_103_fu_1277_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_93_fu_1280_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_93_fu_1280_p2 : signal is "no";
signal i_2_cast_fu_1290_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_84_fu_1305_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_85_fu_1309_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_19_fu_1319_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_fu_1333_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal tmp_83_fu_1339_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal grp_fu_421_ce : STD_LOGIC;
signal grp_fu_428_ce : STD_LOGIC;
signal grp_fu_435_ce : STD_LOGIC;
signal grp_fu_440_ce : STD_LOGIC;
signal tmp_62_fu_450_opcode : STD_LOGIC_VECTOR (4 downto 0);
signal grp_fu_454_ce : STD_LOGIC;
signal grp_fu_459_ce : STD_LOGIC;
signal grp_fu_464_ce : STD_LOGIC;
signal ap_sig_cseq_ST_st150_fsm_149 : STD_LOGIC;
signal ap_sig_bdd_1429 : BOOLEAN;
signal ap_NS_fsm : STD_LOGIC_VECTOR (149 downto 0);
component ANN_fadd_32ns_32ns_32_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fmul_32ns_32ns_32_4_max_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fdiv_32ns_32ns_32_16 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_sitofp_32ns_32_6 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fptrunc_64ns_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fpext_32ns_64_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_fcmp_32ns_32ns_1_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
opcode : IN STD_LOGIC_VECTOR (4 downto 0);
dout : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
component ANN_dadd_64ns_64ns_64_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_ddiv_64ns_64ns_64_31 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_dexp_64ns_64ns_64_18_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_mux_4to1_sel2_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din1_WIDTH : INTEGER;
din2_WIDTH : INTEGER;
din3_WIDTH : INTEGER;
din4_WIDTH : INTEGER;
din5_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
din2 : IN STD_LOGIC_VECTOR (31 downto 0);
din3 : IN STD_LOGIC_VECTOR (31 downto 0);
din4 : IN STD_LOGIC_VECTOR (31 downto 0);
din5 : IN STD_LOGIC_VECTOR (1 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_mul_mul_7ns_14s_14_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (6 downto 0);
din1 : IN STD_LOGIC_VECTOR (13 downto 0);
dout : OUT STD_LOGIC_VECTOR (13 downto 0) );
end component;
component ANN_ST_WandB IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (12 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_ST_uOut IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (7 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0);
address1 : IN STD_LOGIC_VECTOR (7 downto 0);
ce1 : IN STD_LOGIC;
we1 : IN STD_LOGIC;
d1 : IN STD_LOGIC_VECTOR (31 downto 0);
q1 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_AXILiteS_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_return : IN STD_LOGIC_VECTOR (31 downto 0);
P_mode : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index1 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index2 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_intIn_index3 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_floatIn : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
begin
ST_WandB_U : component ANN_ST_WandB
generic map (
DataWidth => 32,
AddressRange => 6560,
AddressWidth => 13)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_WandB_address0,
ce0 => ST_WandB_ce0,
we0 => ST_WandB_we0,
d0 => ST_WandB_d0,
q0 => ST_WandB_q0);
ST_uOut_U : component ANN_ST_uOut
generic map (
DataWidth => 32,
AddressRange => 160,
AddressWidth => 8)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_uOut_address0,
ce0 => ST_uOut_ce0,
we0 => ST_uOut_we0,
d0 => ST_uOut_d0,
q0 => ST_uOut_q0,
address1 => ST_uOut_address1,
ce1 => ST_uOut_ce1,
we1 => ST_uOut_we1,
d1 => ST_uOut_d1,
q1 => ST_uOut_q1);
ANN_AXILiteS_s_axi_U : component ANN_AXILiteS_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH)
port map (
AWVALID => s_axi_AXILiteS_AWVALID,
AWREADY => s_axi_AXILiteS_AWREADY,
AWADDR => s_axi_AXILiteS_AWADDR,
WVALID => s_axi_AXILiteS_WVALID,
WREADY => s_axi_AXILiteS_WREADY,
WDATA => s_axi_AXILiteS_WDATA,
WSTRB => s_axi_AXILiteS_WSTRB,
ARVALID => s_axi_AXILiteS_ARVALID,
ARREADY => s_axi_AXILiteS_ARREADY,
ARADDR => s_axi_AXILiteS_ARADDR,
RVALID => s_axi_AXILiteS_RVALID,
RREADY => s_axi_AXILiteS_RREADY,
RDATA => s_axi_AXILiteS_RDATA,
RRESP => s_axi_AXILiteS_RRESP,
BVALID => s_axi_AXILiteS_BVALID,
BREADY => s_axi_AXILiteS_BREADY,
BRESP => s_axi_AXILiteS_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ANN_AXILiteS_s_axi_U_ap_dummy_ce,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
ap_return => ap_return,
P_mode => P_mode,
P_index1 => P_index1,
P_index2 => P_index2,
P_intIn_index3 => P_intIn_index3,
P_floatIn => P_floatIn);
ANN_fadd_32ns_32ns_32_5_full_dsp_U0 : component ANN_fadd_32ns_32ns_32_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_421_p0,
din1 => grp_fu_421_p1,
ce => grp_fu_421_ce,
dout => grp_fu_421_p2);
ANN_fmul_32ns_32ns_32_4_max_dsp_U1 : component ANN_fmul_32ns_32ns_32_4_max_dsp
generic map (
ID => 1,
NUM_STAGE => 4,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_428_p0,
din1 => ST_WandB_q0,
ce => grp_fu_428_ce,
dout => grp_fu_428_p2);
ANN_fdiv_32ns_32ns_32_16_U2 : component ANN_fdiv_32ns_32ns_32_16
generic map (
ID => 1,
NUM_STAGE => 16,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_490,
din1 => sumsoft_reg_335,
ce => grp_fu_435_ce,
dout => grp_fu_435_p2);
ANN_sitofp_32ns_32_6_U3 : component ANN_sitofp_32ns_32_6
generic map (
ID => 1,
NUM_STAGE => 6,
din0_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => max_reg_277,
ce => grp_fu_440_ce,
dout => grp_fu_440_p1);
ANN_fptrunc_64ns_32_1_U4 : component ANN_fptrunc_64ns_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 64,
dout_WIDTH => 32)
port map (
din0 => grp_fu_444_p0,
dout => grp_fu_444_p1);
ANN_fpext_32ns_64_1_U5 : component ANN_fpext_32ns_64_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
dout_WIDTH => 64)
port map (
din0 => grp_fu_447_p0,
dout => grp_fu_447_p1);
ANN_fcmp_32ns_32ns_1_1_U6 : component ANN_fcmp_32ns_32ns_1_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 1)
port map (
din0 => reg_490,
din1 => ST_uOut_load_2_reg_1430,
opcode => tmp_62_fu_450_opcode,
dout => tmp_62_fu_450_p2);
ANN_dadd_64ns_64ns_64_5_full_dsp_U7 : component ANN_dadd_64ns_64ns_64_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_526,
din1 => ap_const_lv64_3FF0000000000000,
ce => grp_fu_454_ce,
dout => grp_fu_454_p2);
ANN_ddiv_64ns_64ns_64_31_U8 : component ANN_ddiv_64ns_64ns_64_31
generic map (
ID => 1,
NUM_STAGE => 31,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_3FF0000000000000,
din1 => tmp_42_reg_1552,
ce => grp_fu_459_ce,
dout => grp_fu_459_p2);
ANN_dexp_64ns_64ns_64_18_full_dsp_U9 : component ANN_dexp_64ns_64ns_64_18_full_dsp
generic map (
ID => 1,
NUM_STAGE => 18,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_0,
din1 => reg_521,
ce => grp_fu_464_ce,
dout => grp_fu_464_p2);
ANN_mux_4to1_sel2_32_1_U10 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_31_fu_619_p5,
dout => tmp_31_fu_619_p6);
ANN_mux_4to1_sel2_32_1_U11 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_29_reg_1459,
dout => tmp_fu_1053_p6);
ANN_mux_4to1_sel2_32_1_U12 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_45_reg_1469,
dout => tmp_53_fu_1078_p6);
ANN_mux_4to1_sel2_32_1_U13 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_64_reg_1484,
dout => tmp_27_fu_1182_p6);
ANN_mux_4to1_sel2_32_1_U14 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_70_reg_1494,
dout => tmp_54_fu_1207_p6);
ANN_mul_mul_7ns_14s_14_1_U15 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_80_fu_1333_p0,
din1 => tmp_79_fu_1095_p2,
dout => tmp_80_fu_1333_p2);
ANN_mul_mul_7ns_14s_14_1_U16 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_83_fu_1339_p0,
din1 => tmp_82_fu_1224_p2,
dout => tmp_83_fu_1339_p2);
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- i_1_reg_347 assign process. --
i_1_reg_347_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
i_1_reg_347 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
i_1_reg_347 <= i_5_reg_1570;
end if;
end if;
end process;
-- i_2_reg_381 assign process. --
i_2_reg_381_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and (ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
i_2_reg_381 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
i_2_reg_381 <= i_6_reg_1623;
end if;
end if;
end process;
-- i_reg_289 assign process. --
i_reg_289_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
i_reg_289 <= ap_const_lv31_1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and (ap_const_lv1_0 = tmp_20_fu_1066_p2))) then
i_reg_289 <= i_3_fu_1105_p2;
end if;
end if;
end process;
-- j_1_reg_370 assign process. --
j_1_reg_370_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
j_1_reg_370 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
j_1_reg_370 <= j_3_reg_1595;
end if;
end if;
end process;
-- j_reg_301 assign process. --
j_reg_301_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
j_reg_301 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
j_reg_301 <= j_2_reg_1502;
end if;
end if;
end process;
-- k_reg_324 assign process. --
k_reg_324_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
k_reg_324 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
k_reg_324 <= k_1_reg_1532;
end if;
end if;
end process;
-- max_2_reg_266 assign process. --
max_2_reg_266_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_2_reg_266 <= ap_const_lv31_1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_2_reg_266 <= i_4_reg_1425;
end if;
end if;
end process;
-- max_reg_277 assign process. --
max_reg_277_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_reg_277 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_reg_277 <= max_1_fu_887_p3;
end if;
end if;
end process;
-- p_0_reg_392 assign process. --
p_0_reg_392_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))) then
p_0_reg_392 <= ap_const_lv32_BF800000;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) then
p_0_reg_392 <= grp_fu_440_p1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) then
p_0_reg_392 <= ST_uOut_q0;
elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and (ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
p_0_reg_392 <= ap_const_lv32_0;
end if;
end if;
end process;
-- reg_490 assign process. --
reg_490_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
reg_490 <= ST_uOut_q1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) or (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st130_fsm_129))) then
reg_490 <= ST_uOut_q0;
end if;
end if;
end process;
-- sum_1_reg_358 assign process. --
sum_1_reg_358_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
sum_1_reg_358 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
sum_1_reg_358 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sum_reg_312 assign process. --
sum_reg_312_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
sum_reg_312 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
sum_reg_312 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sumsoft_reg_335 assign process. --
sumsoft_reg_335_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
sumsoft_reg_335 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
sumsoft_reg_335 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then
P_floatIn_read_reg_1345 <= P_floatIn;
ST_numLayer_load_reg_1353 <= ST_numLayer;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_0))) then
ST_layerSize_0 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_1))) then
ST_layerSize_1 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_2))) then
ST_layerSize_2 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_1)) and not((tmp_5_fu_727_p1 = ap_const_lv2_0)))) then
ST_layerSize_3 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0)))) then
ST_numLayer <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
ST_uOut_addr_5_reg_1519 <= tmp_82_cast_fu_1100_p1(8 - 1 downto 0);
tmp_53_reg_1507 <= tmp_53_fu_1078_p6;
tmp_80_reg_1513 <= tmp_80_fu_1333_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
ST_uOut_addr_7_reg_1587 <= tmp_84_cast_fu_1229_p1(8 - 1 downto 0);
tmp_54_reg_1575 <= tmp_54_fu_1207_p6;
tmp_83_reg_1581 <= tmp_83_fu_1339_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and not((ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
ST_uOut_addr_8_reg_1628 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then
ST_uOut_load_2_reg_1430 <= ST_uOut_q1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((ap_const_lv1_0 = tmp_24_fu_765_p2)))) then
i_4_reg_1425 <= i_4_fu_798_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) then
i_5_reg_1570 <= i_5_fu_1201_p2;
tmp_27_reg_1562 <= tmp_27_fu_1182_p6;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
i_6_reg_1623 <= i_6_fu_1299_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) then
j_2_reg_1502 <= j_2_fu_1072_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
j_3_reg_1595 <= j_3_fu_1243_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
k_1_reg_1532 <= k_1_fu_1120_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
max_2_cast_reg_1407(30 downto 0) <= max_2_cast_fu_761_p1(30 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23) or (ap_const_logic_1 = ap_sig_cseq_ST_st98_fsm_97))) then
reg_499 <= ST_WandB_q0;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17) or (ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91))) then
reg_505 <= grp_fu_428_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28) or (ap_const_logic_1 = ap_sig_cseq_ST_st103_fsm_102))) then
reg_516 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29) or (ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103))) then
reg_521 <= grp_fu_447_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st48_fsm_47) or (ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121))) then
reg_526 <= grp_fu_464_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84) or (ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122))) then
reg_532 <= grp_fu_444_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
tmp_16_reg_1399 <= tmp_16_fu_721_p2;
tmp_6_reg_1394 <= tmp_6_fu_683_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st148_fsm_147)) then
tmp_21_reg_1639 <= tmp_21_fu_1324_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
tmp_28_reg_1454(13 downto 3) <= tmp_28_fu_926_p2(13 downto 3);
tmp_29_reg_1459 <= tmp_29_fu_932_p1;
tmp_38_reg_1464(8 downto 3) <= tmp_38_fu_966_p2(8 downto 3);
tmp_45_reg_1469 <= tmp_45_fu_972_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
tmp_31_reg_1384 <= tmp_31_fu_619_p6;
tmp_76_reg_1378(8 downto 3) <= tmp_76_fu_609_p2(8 downto 3);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st53_fsm_52)) then
tmp_42_reg_1552 <= grp_fu_454_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st84_fsm_83)) then
tmp_43_reg_1557 <= grp_fu_459_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st146_fsm_145)) then
tmp_52_reg_1634 <= grp_fu_435_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
tmp_56_reg_1474(13 downto 3) <= tmp_56_fu_1000_p2(13 downto 3);
tmp_58_reg_1479(8 downto 3) <= tmp_58_fu_1006_p1(8 downto 3);
tmp_64_reg_1484 <= tmp_64_fu_1010_p1;
tmp_69_reg_1489(8 downto 3) <= tmp_69_fu_1043_p2(8 downto 3);
tmp_70_reg_1494 <= tmp_70_fu_1049_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) then
tmp_63_reg_1436 <= tmp_63_fu_881_p2;
end if;
end if;
end process;
tmp_76_reg_1378(2 downto 0) <= "000";
max_2_cast_reg_1407(31) <= '0';
tmp_28_reg_1454(2 downto 0) <= "000";
tmp_38_reg_1464(2 downto 0) <= "000";
tmp_56_reg_1474(2 downto 0) <= "000";
tmp_58_reg_1479(2 downto 0) <= "000";
tmp_69_reg_1489(2 downto 0) <= "000";
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, tmp_14_fu_579_p2, tmp_24_fu_765_p2, tmp_3_fu_897_p2, tmp_20_fu_1066_p2, tmp_33_fu_1115_p2, tmp_22_fu_1195_p2, tmp_34_fu_1238_p2, tmp_35_fu_1294_p2)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
if ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
elsif ((not((ap_start = ap_const_logic_0)) and (not((tmp_1_fu_538_p2 = ap_const_lv1_0)) or not((ap_const_lv1_0 = tmp_2_fu_549_p2)) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))))) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ap_NS_fsm <= ap_ST_st11_fsm_10;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
ap_NS_fsm <= ap_ST_st148_fsm_147;
else
ap_NS_fsm <= ap_ST_st1_fsm_0;
end if;
when ap_ST_st2_fsm_1 =>
if ((ap_const_lv1_0 = tmp_24_fu_765_p2)) then
ap_NS_fsm <= ap_ST_st6_fsm_5;
else
ap_NS_fsm <= ap_ST_st3_fsm_2;
end if;
when ap_ST_st3_fsm_2 =>
ap_NS_fsm <= ap_ST_st4_fsm_3;
when ap_ST_st4_fsm_3 =>
ap_NS_fsm <= ap_ST_st5_fsm_4;
when ap_ST_st5_fsm_4 =>
ap_NS_fsm <= ap_ST_st2_fsm_1;
when ap_ST_st6_fsm_5 =>
ap_NS_fsm <= ap_ST_st7_fsm_6;
when ap_ST_st7_fsm_6 =>
ap_NS_fsm <= ap_ST_st8_fsm_7;
when ap_ST_st8_fsm_7 =>
ap_NS_fsm <= ap_ST_st9_fsm_8;
when ap_ST_st9_fsm_8 =>
ap_NS_fsm <= ap_ST_st10_fsm_9;
when ap_ST_st10_fsm_9 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st11_fsm_10 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st12_fsm_11 =>
if ((ap_const_lv1_0 = tmp_3_fu_897_p2)) then
ap_NS_fsm <= ap_ST_st87_fsm_86;
else
ap_NS_fsm <= ap_ST_st13_fsm_12;
end if;
when ap_ST_st13_fsm_12 =>
if ((ap_const_lv1_0 = tmp_20_fu_1066_p2)) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
else
ap_NS_fsm <= ap_ST_st14_fsm_13;
end if;
when ap_ST_st14_fsm_13 =>
if ((ap_const_lv1_0 = tmp_33_fu_1115_p2)) then
ap_NS_fsm <= ap_ST_st24_fsm_23;
else
ap_NS_fsm <= ap_ST_st15_fsm_14;
end if;
when ap_ST_st15_fsm_14 =>
ap_NS_fsm <= ap_ST_st16_fsm_15;
when ap_ST_st16_fsm_15 =>
ap_NS_fsm <= ap_ST_st17_fsm_16;
when ap_ST_st17_fsm_16 =>
ap_NS_fsm <= ap_ST_st18_fsm_17;
when ap_ST_st18_fsm_17 =>
ap_NS_fsm <= ap_ST_st19_fsm_18;
when ap_ST_st19_fsm_18 =>
ap_NS_fsm <= ap_ST_st20_fsm_19;
when ap_ST_st20_fsm_19 =>
ap_NS_fsm <= ap_ST_st21_fsm_20;
when ap_ST_st21_fsm_20 =>
ap_NS_fsm <= ap_ST_st22_fsm_21;
when ap_ST_st22_fsm_21 =>
ap_NS_fsm <= ap_ST_st23_fsm_22;
when ap_ST_st23_fsm_22 =>
ap_NS_fsm <= ap_ST_st14_fsm_13;
when ap_ST_st24_fsm_23 =>
ap_NS_fsm <= ap_ST_st25_fsm_24;
when ap_ST_st25_fsm_24 =>
ap_NS_fsm <= ap_ST_st26_fsm_25;
when ap_ST_st26_fsm_25 =>
ap_NS_fsm <= ap_ST_st27_fsm_26;
when ap_ST_st27_fsm_26 =>
ap_NS_fsm <= ap_ST_st28_fsm_27;
when ap_ST_st28_fsm_27 =>
ap_NS_fsm <= ap_ST_st29_fsm_28;
when ap_ST_st29_fsm_28 =>
ap_NS_fsm <= ap_ST_st30_fsm_29;
when ap_ST_st30_fsm_29 =>
ap_NS_fsm <= ap_ST_st31_fsm_30;
when ap_ST_st31_fsm_30 =>
ap_NS_fsm <= ap_ST_st32_fsm_31;
when ap_ST_st32_fsm_31 =>
ap_NS_fsm <= ap_ST_st33_fsm_32;
when ap_ST_st33_fsm_32 =>
ap_NS_fsm <= ap_ST_st34_fsm_33;
when ap_ST_st34_fsm_33 =>
ap_NS_fsm <= ap_ST_st35_fsm_34;
when ap_ST_st35_fsm_34 =>
ap_NS_fsm <= ap_ST_st36_fsm_35;
when ap_ST_st36_fsm_35 =>
ap_NS_fsm <= ap_ST_st37_fsm_36;
when ap_ST_st37_fsm_36 =>
ap_NS_fsm <= ap_ST_st38_fsm_37;
when ap_ST_st38_fsm_37 =>
ap_NS_fsm <= ap_ST_st39_fsm_38;
when ap_ST_st39_fsm_38 =>
ap_NS_fsm <= ap_ST_st40_fsm_39;
when ap_ST_st40_fsm_39 =>
ap_NS_fsm <= ap_ST_st41_fsm_40;
when ap_ST_st41_fsm_40 =>
ap_NS_fsm <= ap_ST_st42_fsm_41;
when ap_ST_st42_fsm_41 =>
ap_NS_fsm <= ap_ST_st43_fsm_42;
when ap_ST_st43_fsm_42 =>
ap_NS_fsm <= ap_ST_st44_fsm_43;
when ap_ST_st44_fsm_43 =>
ap_NS_fsm <= ap_ST_st45_fsm_44;
when ap_ST_st45_fsm_44 =>
ap_NS_fsm <= ap_ST_st46_fsm_45;
when ap_ST_st46_fsm_45 =>
ap_NS_fsm <= ap_ST_st47_fsm_46;
when ap_ST_st47_fsm_46 =>
ap_NS_fsm <= ap_ST_st48_fsm_47;
when ap_ST_st48_fsm_47 =>
ap_NS_fsm <= ap_ST_st49_fsm_48;
when ap_ST_st49_fsm_48 =>
ap_NS_fsm <= ap_ST_st50_fsm_49;
when ap_ST_st50_fsm_49 =>
ap_NS_fsm <= ap_ST_st51_fsm_50;
when ap_ST_st51_fsm_50 =>
ap_NS_fsm <= ap_ST_st52_fsm_51;
when ap_ST_st52_fsm_51 =>
ap_NS_fsm <= ap_ST_st53_fsm_52;
when ap_ST_st53_fsm_52 =>
ap_NS_fsm <= ap_ST_st54_fsm_53;
when ap_ST_st54_fsm_53 =>
ap_NS_fsm <= ap_ST_st55_fsm_54;
when ap_ST_st55_fsm_54 =>
ap_NS_fsm <= ap_ST_st56_fsm_55;
when ap_ST_st56_fsm_55 =>
ap_NS_fsm <= ap_ST_st57_fsm_56;
when ap_ST_st57_fsm_56 =>
ap_NS_fsm <= ap_ST_st58_fsm_57;
when ap_ST_st58_fsm_57 =>
ap_NS_fsm <= ap_ST_st59_fsm_58;
when ap_ST_st59_fsm_58 =>
ap_NS_fsm <= ap_ST_st60_fsm_59;
when ap_ST_st60_fsm_59 =>
ap_NS_fsm <= ap_ST_st61_fsm_60;
when ap_ST_st61_fsm_60 =>
ap_NS_fsm <= ap_ST_st62_fsm_61;
when ap_ST_st62_fsm_61 =>
ap_NS_fsm <= ap_ST_st63_fsm_62;
when ap_ST_st63_fsm_62 =>
ap_NS_fsm <= ap_ST_st64_fsm_63;
when ap_ST_st64_fsm_63 =>
ap_NS_fsm <= ap_ST_st65_fsm_64;
when ap_ST_st65_fsm_64 =>
ap_NS_fsm <= ap_ST_st66_fsm_65;
when ap_ST_st66_fsm_65 =>
ap_NS_fsm <= ap_ST_st67_fsm_66;
when ap_ST_st67_fsm_66 =>
ap_NS_fsm <= ap_ST_st68_fsm_67;
when ap_ST_st68_fsm_67 =>
ap_NS_fsm <= ap_ST_st69_fsm_68;
when ap_ST_st69_fsm_68 =>
ap_NS_fsm <= ap_ST_st70_fsm_69;
when ap_ST_st70_fsm_69 =>
ap_NS_fsm <= ap_ST_st71_fsm_70;
when ap_ST_st71_fsm_70 =>
ap_NS_fsm <= ap_ST_st72_fsm_71;
when ap_ST_st72_fsm_71 =>
ap_NS_fsm <= ap_ST_st73_fsm_72;
when ap_ST_st73_fsm_72 =>
ap_NS_fsm <= ap_ST_st74_fsm_73;
when ap_ST_st74_fsm_73 =>
ap_NS_fsm <= ap_ST_st75_fsm_74;
when ap_ST_st75_fsm_74 =>
ap_NS_fsm <= ap_ST_st76_fsm_75;
when ap_ST_st76_fsm_75 =>
ap_NS_fsm <= ap_ST_st77_fsm_76;
when ap_ST_st77_fsm_76 =>
ap_NS_fsm <= ap_ST_st78_fsm_77;
when ap_ST_st78_fsm_77 =>
ap_NS_fsm <= ap_ST_st79_fsm_78;
when ap_ST_st79_fsm_78 =>
ap_NS_fsm <= ap_ST_st80_fsm_79;
when ap_ST_st80_fsm_79 =>
ap_NS_fsm <= ap_ST_st81_fsm_80;
when ap_ST_st81_fsm_80 =>
ap_NS_fsm <= ap_ST_st82_fsm_81;
when ap_ST_st82_fsm_81 =>
ap_NS_fsm <= ap_ST_st83_fsm_82;
when ap_ST_st83_fsm_82 =>
ap_NS_fsm <= ap_ST_st84_fsm_83;
when ap_ST_st84_fsm_83 =>
ap_NS_fsm <= ap_ST_st85_fsm_84;
when ap_ST_st85_fsm_84 =>
ap_NS_fsm <= ap_ST_st86_fsm_85;
when ap_ST_st86_fsm_85 =>
ap_NS_fsm <= ap_ST_st13_fsm_12;
when ap_ST_st87_fsm_86 =>
if (not((ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
ap_NS_fsm <= ap_ST_st88_fsm_87;
else
ap_NS_fsm <= ap_ST_st129_fsm_128;
end if;
when ap_ST_st88_fsm_87 =>
if ((ap_const_lv1_0 = tmp_34_fu_1238_p2)) then
ap_NS_fsm <= ap_ST_st98_fsm_97;
else
ap_NS_fsm <= ap_ST_st89_fsm_88;
end if;
when ap_ST_st89_fsm_88 =>
ap_NS_fsm <= ap_ST_st90_fsm_89;
when ap_ST_st90_fsm_89 =>
ap_NS_fsm <= ap_ST_st91_fsm_90;
when ap_ST_st91_fsm_90 =>
ap_NS_fsm <= ap_ST_st92_fsm_91;
when ap_ST_st92_fsm_91 =>
ap_NS_fsm <= ap_ST_st93_fsm_92;
when ap_ST_st93_fsm_92 =>
ap_NS_fsm <= ap_ST_st94_fsm_93;
when ap_ST_st94_fsm_93 =>
ap_NS_fsm <= ap_ST_st95_fsm_94;
when ap_ST_st95_fsm_94 =>
ap_NS_fsm <= ap_ST_st96_fsm_95;
when ap_ST_st96_fsm_95 =>
ap_NS_fsm <= ap_ST_st97_fsm_96;
when ap_ST_st97_fsm_96 =>
ap_NS_fsm <= ap_ST_st88_fsm_87;
when ap_ST_st98_fsm_97 =>
ap_NS_fsm <= ap_ST_st99_fsm_98;
when ap_ST_st99_fsm_98 =>
ap_NS_fsm <= ap_ST_st100_fsm_99;
when ap_ST_st100_fsm_99 =>
ap_NS_fsm <= ap_ST_st101_fsm_100;
when ap_ST_st101_fsm_100 =>
ap_NS_fsm <= ap_ST_st102_fsm_101;
when ap_ST_st102_fsm_101 =>
ap_NS_fsm <= ap_ST_st103_fsm_102;
when ap_ST_st103_fsm_102 =>
ap_NS_fsm <= ap_ST_st104_fsm_103;
when ap_ST_st104_fsm_103 =>
ap_NS_fsm <= ap_ST_st105_fsm_104;
when ap_ST_st105_fsm_104 =>
ap_NS_fsm <= ap_ST_st106_fsm_105;
when ap_ST_st106_fsm_105 =>
ap_NS_fsm <= ap_ST_st107_fsm_106;
when ap_ST_st107_fsm_106 =>
ap_NS_fsm <= ap_ST_st108_fsm_107;
when ap_ST_st108_fsm_107 =>
ap_NS_fsm <= ap_ST_st109_fsm_108;
when ap_ST_st109_fsm_108 =>
ap_NS_fsm <= ap_ST_st110_fsm_109;
when ap_ST_st110_fsm_109 =>
ap_NS_fsm <= ap_ST_st111_fsm_110;
when ap_ST_st111_fsm_110 =>
ap_NS_fsm <= ap_ST_st112_fsm_111;
when ap_ST_st112_fsm_111 =>
ap_NS_fsm <= ap_ST_st113_fsm_112;
when ap_ST_st113_fsm_112 =>
ap_NS_fsm <= ap_ST_st114_fsm_113;
when ap_ST_st114_fsm_113 =>
ap_NS_fsm <= ap_ST_st115_fsm_114;
when ap_ST_st115_fsm_114 =>
ap_NS_fsm <= ap_ST_st116_fsm_115;
when ap_ST_st116_fsm_115 =>
ap_NS_fsm <= ap_ST_st117_fsm_116;
when ap_ST_st117_fsm_116 =>
ap_NS_fsm <= ap_ST_st118_fsm_117;
when ap_ST_st118_fsm_117 =>
ap_NS_fsm <= ap_ST_st119_fsm_118;
when ap_ST_st119_fsm_118 =>
ap_NS_fsm <= ap_ST_st120_fsm_119;
when ap_ST_st120_fsm_119 =>
ap_NS_fsm <= ap_ST_st121_fsm_120;
when ap_ST_st121_fsm_120 =>
ap_NS_fsm <= ap_ST_st122_fsm_121;
when ap_ST_st122_fsm_121 =>
ap_NS_fsm <= ap_ST_st123_fsm_122;
when ap_ST_st123_fsm_122 =>
ap_NS_fsm <= ap_ST_st124_fsm_123;
when ap_ST_st124_fsm_123 =>
ap_NS_fsm <= ap_ST_st125_fsm_124;
when ap_ST_st125_fsm_124 =>
ap_NS_fsm <= ap_ST_st126_fsm_125;
when ap_ST_st126_fsm_125 =>
ap_NS_fsm <= ap_ST_st127_fsm_126;
when ap_ST_st127_fsm_126 =>
ap_NS_fsm <= ap_ST_st128_fsm_127;
when ap_ST_st128_fsm_127 =>
ap_NS_fsm <= ap_ST_st87_fsm_86;
when ap_ST_st129_fsm_128 =>
if ((ap_const_lv1_0 = tmp_35_fu_1294_p2)) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
else
ap_NS_fsm <= ap_ST_st130_fsm_129;
end if;
when ap_ST_st130_fsm_129 =>
ap_NS_fsm <= ap_ST_st131_fsm_130;
when ap_ST_st131_fsm_130 =>
ap_NS_fsm <= ap_ST_st132_fsm_131;
when ap_ST_st132_fsm_131 =>
ap_NS_fsm <= ap_ST_st133_fsm_132;
when ap_ST_st133_fsm_132 =>
ap_NS_fsm <= ap_ST_st134_fsm_133;
when ap_ST_st134_fsm_133 =>
ap_NS_fsm <= ap_ST_st135_fsm_134;
when ap_ST_st135_fsm_134 =>
ap_NS_fsm <= ap_ST_st136_fsm_135;
when ap_ST_st136_fsm_135 =>
ap_NS_fsm <= ap_ST_st137_fsm_136;
when ap_ST_st137_fsm_136 =>
ap_NS_fsm <= ap_ST_st138_fsm_137;
when ap_ST_st138_fsm_137 =>
ap_NS_fsm <= ap_ST_st139_fsm_138;
when ap_ST_st139_fsm_138 =>
ap_NS_fsm <= ap_ST_st140_fsm_139;
when ap_ST_st140_fsm_139 =>
ap_NS_fsm <= ap_ST_st141_fsm_140;
when ap_ST_st141_fsm_140 =>
ap_NS_fsm <= ap_ST_st142_fsm_141;
when ap_ST_st142_fsm_141 =>
ap_NS_fsm <= ap_ST_st143_fsm_142;
when ap_ST_st143_fsm_142 =>
ap_NS_fsm <= ap_ST_st144_fsm_143;
when ap_ST_st144_fsm_143 =>
ap_NS_fsm <= ap_ST_st145_fsm_144;
when ap_ST_st145_fsm_144 =>
ap_NS_fsm <= ap_ST_st146_fsm_145;
when ap_ST_st146_fsm_145 =>
ap_NS_fsm <= ap_ST_st147_fsm_146;
when ap_ST_st147_fsm_146 =>
ap_NS_fsm <= ap_ST_st129_fsm_128;
when ap_ST_st148_fsm_147 =>
ap_NS_fsm <= ap_ST_st149_fsm_148;
when ap_ST_st149_fsm_148 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st150_fsm_149 =>
ap_NS_fsm <= ap_ST_st1_fsm_0;
when others =>
ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end case;
end process;
ANN_AXILiteS_s_axi_U_ap_dummy_ce <= ap_const_logic_1;
-- ST_WandB_address0 assign process. --
ST_WandB_address0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148, tmp_88_cast_fu_1139_p1, tmp_90_cast_fu_1162_p1, tmp_91_cast_fu_1262_p1, tmp_93_cast_fu_1285_p1, tmp_21_cast_fu_1329_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148)) then
ST_WandB_address0 <= tmp_21_cast_fu_1329_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2))) then
ST_WandB_address0 <= tmp_93_cast_fu_1285_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2)))) then
ST_WandB_address0 <= tmp_91_cast_fu_1262_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2))) then
ST_WandB_address0 <= tmp_90_cast_fu_1162_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2)))) then
ST_WandB_address0 <= tmp_88_cast_fu_1139_p1(13 - 1 downto 0);
else
ST_WandB_address0 <= "XXXXXXXXXXXXX";
end if;
end process;
-- ST_WandB_ce0 assign process. --
ST_WandB_ce0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2)) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_ce0 <= ap_const_logic_1;
else
ST_WandB_ce0 <= ap_const_logic_0;
end if;
end process;
ST_WandB_d0 <= P_floatIn_read_reg_1345;
-- ST_WandB_we0 assign process. --
ST_WandB_we0_assign_proc : process(ap_sig_cseq_ST_st149_fsm_148)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_we0 <= ap_const_logic_1;
else
ST_WandB_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_address0 assign process. --
ST_uOut_address0_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128, tmp_66_cast_fu_673_p1, tmp_9_fu_678_p1, tmp_86_cast_fu_779_p1, tmp_92_cast_fu_1272_p1, tmp_94_cast_fu_1314_p1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2)))) then
ST_uOut_address0 <= tmp_9_fu_678_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
ST_uOut_address0 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
ST_uOut_address0 <= tmp_92_cast_fu_1272_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address0 <= tmp_86_cast_fu_779_p1(8 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ST_uOut_address0 <= tmp_66_cast_fu_673_p1(8 - 1 downto 0);
else
ST_uOut_address0 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_address1 assign process. --
ST_uOut_address1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ST_uOut_addr_5_reg_1519, ap_sig_cseq_ST_st14_fsm_13, ST_uOut_addr_7_reg_1587, ST_uOut_addr_8_reg_1628, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, tmp_87_cast_fu_793_p1, tmp_89_cast_fu_1149_p1, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_address1 <= ST_uOut_addr_8_reg_1628;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
ST_uOut_address1 <= ST_uOut_addr_7_reg_1587;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
ST_uOut_address1 <= ST_uOut_addr_5_reg_1519;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
ST_uOut_address1 <= tmp_89_cast_fu_1149_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address1 <= tmp_87_cast_fu_793_p1(8 - 1 downto 0);
else
ST_uOut_address1 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_ce0 assign process. --
ST_uOut_ce0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) or (ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_ce0 <= ap_const_logic_1;
else
ST_uOut_ce0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_ce1 assign process. --
ST_uOut_ce1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st14_fsm_13, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) or (ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_ce1 <= ap_const_logic_1;
else
ST_uOut_ce1 <= ap_const_logic_0;
end if;
end process;
ST_uOut_d0 <= P_floatIn;
-- ST_uOut_d1 assign process. --
ST_uOut_d1_assign_proc : process(reg_532, tmp_52_reg_1634, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_d1 <= tmp_52_reg_1634;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_d1 <= reg_532;
else
ST_uOut_d1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
ST_uOut_load_1_to_int_fu_804_p1 <= reg_490;
ST_uOut_load_2_to_int_fu_822_p1 <= ST_uOut_load_2_reg_1430;
-- ST_uOut_we0 assign process. --
ST_uOut_we0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_we0 <= ap_const_logic_1;
else
ST_uOut_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_we1 assign process. --
ST_uOut_we1_assign_proc : process(ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_we1 <= ap_const_logic_1;
else
ST_uOut_we1 <= ap_const_logic_0;
end if;
end process;
-- ap_done assign process. --
ap_done_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return <= p_0_reg_392;
-- ap_rst_n_inv assign process. --
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
-- ap_sig_bdd_1429 assign process. --
ap_sig_bdd_1429_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_1429 <= (ap_const_lv1_1 = ap_CS_fsm(149 downto 149));
end process;
-- ap_sig_bdd_168 assign process. --
ap_sig_bdd_168_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_168 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1);
end process;
-- ap_sig_bdd_253 assign process. --
ap_sig_bdd_253_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_253 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2));
end process;
-- ap_sig_bdd_260 assign process. --
ap_sig_bdd_260_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_260 <= (ap_const_lv1_1 = ap_CS_fsm(10 downto 10));
end process;
-- ap_sig_bdd_268 assign process. --
ap_sig_bdd_268_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_268 <= (ap_const_lv1_1 = ap_CS_fsm(14 downto 14));
end process;
-- ap_sig_bdd_275 assign process. --
ap_sig_bdd_275_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_275 <= (ap_const_lv1_1 = ap_CS_fsm(88 downto 88));
end process;
-- ap_sig_bdd_283 assign process. --
ap_sig_bdd_283_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_283 <= (ap_const_lv1_1 = ap_CS_fsm(129 downto 129));
end process;
-- ap_sig_bdd_292 assign process. --
ap_sig_bdd_292_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_292 <= (ap_const_lv1_1 = ap_CS_fsm(23 downto 23));
end process;
-- ap_sig_bdd_301 assign process. --
ap_sig_bdd_301_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_301 <= (ap_const_lv1_1 = ap_CS_fsm(97 downto 97));
end process;
-- ap_sig_bdd_311 assign process. --
ap_sig_bdd_311_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_311 <= (ap_const_lv1_1 = ap_CS_fsm(17 downto 17));
end process;
-- ap_sig_bdd_318 assign process. --
ap_sig_bdd_318_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_318 <= (ap_const_lv1_1 = ap_CS_fsm(91 downto 91));
end process;
-- ap_sig_bdd_328 assign process. --
ap_sig_bdd_328_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_328 <= (ap_const_lv1_1 = ap_CS_fsm(22 downto 22));
end process;
-- ap_sig_bdd_335 assign process. --
ap_sig_bdd_335_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_335 <= (ap_const_lv1_1 = ap_CS_fsm(96 downto 96));
end process;
-- ap_sig_bdd_344 assign process. --
ap_sig_bdd_344_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_344 <= (ap_const_lv1_1 = ap_CS_fsm(28 downto 28));
end process;
-- ap_sig_bdd_351 assign process. --
ap_sig_bdd_351_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_351 <= (ap_const_lv1_1 = ap_CS_fsm(102 downto 102));
end process;
-- ap_sig_bdd_361 assign process. --
ap_sig_bdd_361_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_361 <= (ap_const_lv1_1 = ap_CS_fsm(29 downto 29));
end process;
-- ap_sig_bdd_368 assign process. --
ap_sig_bdd_368_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_368 <= (ap_const_lv1_1 = ap_CS_fsm(103 downto 103));
end process;
-- ap_sig_bdd_378 assign process. --
ap_sig_bdd_378_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_378 <= (ap_const_lv1_1 = ap_CS_fsm(47 downto 47));
end process;
-- ap_sig_bdd_385 assign process. --
ap_sig_bdd_385_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_385 <= (ap_const_lv1_1 = ap_CS_fsm(121 downto 121));
end process;
-- ap_sig_bdd_395 assign process. --
ap_sig_bdd_395_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_395 <= (ap_const_lv1_1 = ap_CS_fsm(84 downto 84));
end process;
-- ap_sig_bdd_402 assign process. --
ap_sig_bdd_402_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_402 <= (ap_const_lv1_1 = ap_CS_fsm(122 downto 122));
end process;
-- ap_sig_bdd_458 assign process. --
ap_sig_bdd_458_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_458 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1));
end process;
-- ap_sig_bdd_478 assign process. --
ap_sig_bdd_478_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_478 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3));
end process;
-- ap_sig_bdd_487 assign process. --
ap_sig_bdd_487_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_487 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4));
end process;
-- ap_sig_bdd_496 assign process. --
ap_sig_bdd_496_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_496 <= (ap_const_lv1_1 = ap_CS_fsm(9 downto 9));
end process;
-- ap_sig_bdd_505 assign process. --
ap_sig_bdd_505_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_505 <= (ap_const_lv1_1 = ap_CS_fsm(11 downto 11));
end process;
-- ap_sig_bdd_535 assign process. --
ap_sig_bdd_535_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_535 <= (ap_const_lv1_1 = ap_CS_fsm(12 downto 12));
end process;
-- ap_sig_bdd_557 assign process. --
ap_sig_bdd_557_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_557 <= (ap_const_lv1_1 = ap_CS_fsm(13 downto 13));
end process;
-- ap_sig_bdd_577 assign process. --
ap_sig_bdd_577_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_577 <= (ap_const_lv1_1 = ap_CS_fsm(52 downto 52));
end process;
-- ap_sig_bdd_586 assign process. --
ap_sig_bdd_586_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_586 <= (ap_const_lv1_1 = ap_CS_fsm(83 downto 83));
end process;
-- ap_sig_bdd_595 assign process. --
ap_sig_bdd_595_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_595 <= (ap_const_lv1_1 = ap_CS_fsm(86 downto 86));
end process;
-- ap_sig_bdd_616 assign process. --
ap_sig_bdd_616_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_616 <= (ap_const_lv1_1 = ap_CS_fsm(87 downto 87));
end process;
-- ap_sig_bdd_635 assign process. --
ap_sig_bdd_635_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_635 <= (ap_const_lv1_1 = ap_CS_fsm(127 downto 127));
end process;
-- ap_sig_bdd_644 assign process. --
ap_sig_bdd_644_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_644 <= (ap_const_lv1_1 = ap_CS_fsm(128 downto 128));
end process;
-- ap_sig_bdd_659 assign process. --
ap_sig_bdd_659_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_659 <= (ap_const_lv1_1 = ap_CS_fsm(145 downto 145));
end process;
-- ap_sig_bdd_668 assign process. --
ap_sig_bdd_668_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_668 <= (ap_const_lv1_1 = ap_CS_fsm(147 downto 147));
end process;
-- ap_sig_bdd_690 assign process. --
ap_sig_bdd_690_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_690 <= (ap_const_lv1_1 = ap_CS_fsm(85 downto 85));
end process;
-- ap_sig_bdd_712 assign process. --
ap_sig_bdd_712_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_712 <= (ap_const_lv1_1 = ap_CS_fsm(146 downto 146));
end process;
-- ap_sig_bdd_728 assign process. --
ap_sig_bdd_728_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_728 <= (ap_const_lv1_1 = ap_CS_fsm(148 downto 148));
end process;
-- ap_sig_bdd_818 assign process. --
ap_sig_bdd_818_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_818 <= (ap_const_lv1_1 = ap_CS_fsm(123 downto 123));
end process;
-- ap_sig_bdd_837 assign process. --
ap_sig_bdd_837_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_837 <= (ap_const_lv1_1 = ap_CS_fsm(18 downto 18));
end process;
-- ap_sig_bdd_844 assign process. --
ap_sig_bdd_844_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_844 <= (ap_const_lv1_1 = ap_CS_fsm(24 downto 24));
end process;
-- ap_sig_bdd_852 assign process. --
ap_sig_bdd_852_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_852 <= (ap_const_lv1_1 = ap_CS_fsm(92 downto 92));
end process;
-- ap_sig_bdd_859 assign process. --
ap_sig_bdd_859_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_859 <= (ap_const_lv1_1 = ap_CS_fsm(98 downto 98));
end process;
-- ap_sig_cseq_ST_st103_fsm_102 assign process. --
ap_sig_cseq_ST_st103_fsm_102_assign_proc : process(ap_sig_bdd_351)
begin
if (ap_sig_bdd_351) then
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st104_fsm_103 assign process. --
ap_sig_cseq_ST_st104_fsm_103_assign_proc : process(ap_sig_bdd_368)
begin
if (ap_sig_bdd_368) then
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st10_fsm_9 assign process. --
ap_sig_cseq_ST_st10_fsm_9_assign_proc : process(ap_sig_bdd_496)
begin
if (ap_sig_bdd_496) then
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st11_fsm_10 assign process. --
ap_sig_cseq_ST_st11_fsm_10_assign_proc : process(ap_sig_bdd_260)
begin
if (ap_sig_bdd_260) then
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st122_fsm_121 assign process. --
ap_sig_cseq_ST_st122_fsm_121_assign_proc : process(ap_sig_bdd_385)
begin
if (ap_sig_bdd_385) then
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st123_fsm_122 assign process. --
ap_sig_cseq_ST_st123_fsm_122_assign_proc : process(ap_sig_bdd_402)
begin
if (ap_sig_bdd_402) then
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st124_fsm_123 assign process. --
ap_sig_cseq_ST_st124_fsm_123_assign_proc : process(ap_sig_bdd_818)
begin
if (ap_sig_bdd_818) then
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st128_fsm_127 assign process. --
ap_sig_cseq_ST_st128_fsm_127_assign_proc : process(ap_sig_bdd_635)
begin
if (ap_sig_bdd_635) then
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st129_fsm_128 assign process. --
ap_sig_cseq_ST_st129_fsm_128_assign_proc : process(ap_sig_bdd_644)
begin
if (ap_sig_bdd_644) then
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st12_fsm_11 assign process. --
ap_sig_cseq_ST_st12_fsm_11_assign_proc : process(ap_sig_bdd_505)
begin
if (ap_sig_bdd_505) then
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st130_fsm_129 assign process. --
ap_sig_cseq_ST_st130_fsm_129_assign_proc : process(ap_sig_bdd_283)
begin
if (ap_sig_bdd_283) then
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st13_fsm_12 assign process. --
ap_sig_cseq_ST_st13_fsm_12_assign_proc : process(ap_sig_bdd_535)
begin
if (ap_sig_bdd_535) then
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st146_fsm_145 assign process. --
ap_sig_cseq_ST_st146_fsm_145_assign_proc : process(ap_sig_bdd_659)
begin
if (ap_sig_bdd_659) then
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st147_fsm_146 assign process. --
ap_sig_cseq_ST_st147_fsm_146_assign_proc : process(ap_sig_bdd_712)
begin
if (ap_sig_bdd_712) then
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st148_fsm_147 assign process. --
ap_sig_cseq_ST_st148_fsm_147_assign_proc : process(ap_sig_bdd_668)
begin
if (ap_sig_bdd_668) then
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st149_fsm_148 assign process. --
ap_sig_cseq_ST_st149_fsm_148_assign_proc : process(ap_sig_bdd_728)
begin
if (ap_sig_bdd_728) then
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st14_fsm_13 assign process. --
ap_sig_cseq_ST_st14_fsm_13_assign_proc : process(ap_sig_bdd_557)
begin
if (ap_sig_bdd_557) then
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st150_fsm_149 assign process. --
ap_sig_cseq_ST_st150_fsm_149_assign_proc : process(ap_sig_bdd_1429)
begin
if (ap_sig_bdd_1429) then
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st15_fsm_14 assign process. --
ap_sig_cseq_ST_st15_fsm_14_assign_proc : process(ap_sig_bdd_268)
begin
if (ap_sig_bdd_268) then
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st18_fsm_17 assign process. --
ap_sig_cseq_ST_st18_fsm_17_assign_proc : process(ap_sig_bdd_311)
begin
if (ap_sig_bdd_311) then
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st19_fsm_18 assign process. --
ap_sig_cseq_ST_st19_fsm_18_assign_proc : process(ap_sig_bdd_837)
begin
if (ap_sig_bdd_837) then
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st1_fsm_0 assign process. --
ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_168)
begin
if (ap_sig_bdd_168) then
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st23_fsm_22 assign process. --
ap_sig_cseq_ST_st23_fsm_22_assign_proc : process(ap_sig_bdd_328)
begin
if (ap_sig_bdd_328) then
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st24_fsm_23 assign process. --
ap_sig_cseq_ST_st24_fsm_23_assign_proc : process(ap_sig_bdd_292)
begin
if (ap_sig_bdd_292) then
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st25_fsm_24 assign process. --
ap_sig_cseq_ST_st25_fsm_24_assign_proc : process(ap_sig_bdd_844)
begin
if (ap_sig_bdd_844) then
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st29_fsm_28 assign process. --
ap_sig_cseq_ST_st29_fsm_28_assign_proc : process(ap_sig_bdd_344)
begin
if (ap_sig_bdd_344) then
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st2_fsm_1 assign process. --
ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_458)
begin
if (ap_sig_bdd_458) then
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st30_fsm_29 assign process. --
ap_sig_cseq_ST_st30_fsm_29_assign_proc : process(ap_sig_bdd_361)
begin
if (ap_sig_bdd_361) then
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st3_fsm_2 assign process. --
ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_253)
begin
if (ap_sig_bdd_253) then
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st48_fsm_47 assign process. --
ap_sig_cseq_ST_st48_fsm_47_assign_proc : process(ap_sig_bdd_378)
begin
if (ap_sig_bdd_378) then
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st4_fsm_3 assign process. --
ap_sig_cseq_ST_st4_fsm_3_assign_proc : process(ap_sig_bdd_478)
begin
if (ap_sig_bdd_478) then
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st53_fsm_52 assign process. --
ap_sig_cseq_ST_st53_fsm_52_assign_proc : process(ap_sig_bdd_577)
begin
if (ap_sig_bdd_577) then
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st5_fsm_4 assign process. --
ap_sig_cseq_ST_st5_fsm_4_assign_proc : process(ap_sig_bdd_487)
begin
if (ap_sig_bdd_487) then
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st84_fsm_83 assign process. --
ap_sig_cseq_ST_st84_fsm_83_assign_proc : process(ap_sig_bdd_586)
begin
if (ap_sig_bdd_586) then
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st85_fsm_84 assign process. --
ap_sig_cseq_ST_st85_fsm_84_assign_proc : process(ap_sig_bdd_395)
begin
if (ap_sig_bdd_395) then
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st86_fsm_85 assign process. --
ap_sig_cseq_ST_st86_fsm_85_assign_proc : process(ap_sig_bdd_690)
begin
if (ap_sig_bdd_690) then
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st87_fsm_86 assign process. --
ap_sig_cseq_ST_st87_fsm_86_assign_proc : process(ap_sig_bdd_595)
begin
if (ap_sig_bdd_595) then
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st88_fsm_87 assign process. --
ap_sig_cseq_ST_st88_fsm_87_assign_proc : process(ap_sig_bdd_616)
begin
if (ap_sig_bdd_616) then
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st89_fsm_88 assign process. --
ap_sig_cseq_ST_st89_fsm_88_assign_proc : process(ap_sig_bdd_275)
begin
if (ap_sig_bdd_275) then
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st92_fsm_91 assign process. --
ap_sig_cseq_ST_st92_fsm_91_assign_proc : process(ap_sig_bdd_318)
begin
if (ap_sig_bdd_318) then
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st93_fsm_92 assign process. --
ap_sig_cseq_ST_st93_fsm_92_assign_proc : process(ap_sig_bdd_852)
begin
if (ap_sig_bdd_852) then
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st97_fsm_96 assign process. --
ap_sig_cseq_ST_st97_fsm_96_assign_proc : process(ap_sig_bdd_335)
begin
if (ap_sig_bdd_335) then
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st98_fsm_97 assign process. --
ap_sig_cseq_ST_st98_fsm_97_assign_proc : process(ap_sig_bdd_301)
begin
if (ap_sig_bdd_301) then
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st99_fsm_98 assign process. --
ap_sig_cseq_ST_st99_fsm_98_assign_proc : process(ap_sig_bdd_859)
begin
if (ap_sig_bdd_859) then
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_0;
end if;
end process;
grp_fu_421_ce <= ap_const_logic_1;
-- grp_fu_421_p0 assign process. --
grp_fu_421_p0_assign_proc : process(sum_reg_312, sumsoft_reg_335, sum_1_reg_358, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p0 <= sumsoft_reg_335;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p0 <= sum_1_reg_358;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24))) then
grp_fu_421_p0 <= sum_reg_312;
else
grp_fu_421_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_421_p1 assign process. --
grp_fu_421_p1_assign_proc : process(reg_499, reg_505, reg_532, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p1 <= reg_532;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p1 <= reg_499;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92))) then
grp_fu_421_p1 <= reg_505;
else
grp_fu_421_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_428_ce <= ap_const_logic_1;
-- grp_fu_428_p0 assign process. --
grp_fu_428_p0_assign_proc : process(ST_uOut_q0, ST_uOut_q1, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st89_fsm_88)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) then
grp_fu_428_p0 <= ST_uOut_q0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
grp_fu_428_p0 <= ST_uOut_q1;
else
grp_fu_428_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_435_ce <= ap_const_logic_1;
grp_fu_440_ce <= ap_const_logic_1;
-- grp_fu_444_p0 assign process. --
grp_fu_444_p0_assign_proc : process(reg_526, ap_sig_cseq_ST_st85_fsm_84, ap_sig_cseq_ST_st123_fsm_122, tmp_43_reg_1557)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122)) then
grp_fu_444_p0 <= reg_526;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84)) then
grp_fu_444_p0 <= tmp_43_reg_1557;
else
grp_fu_444_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_447_p0 assign process. --
grp_fu_447_p0_assign_proc : process(reg_516, ap_sig_cseq_ST_st30_fsm_29, ap_sig_cseq_ST_st104_fsm_103, tmp_39_fu_1177_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103)) then
grp_fu_447_p0 <= reg_516;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) then
grp_fu_447_p0 <= tmp_39_fu_1177_p1;
else
grp_fu_447_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_454_ce <= ap_const_logic_1;
grp_fu_459_ce <= ap_const_logic_1;
grp_fu_464_ce <= ap_const_logic_1;
-- grp_fu_469_p1 assign process. --
grp_fu_469_p1_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ST_numLayer, ST_numLayer_load_reg_1353, ap_sig_cseq_ST_st12_fsm_11)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) then
grp_fu_469_p1 <= ST_numLayer_load_reg_1353;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0)) then
grp_fu_469_p1 <= ST_numLayer;
else
grp_fu_469_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_469_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFF) + signed(grp_fu_469_p1));
i_2_cast_fu_1290_p1 <= std_logic_vector(resize(unsigned(i_2_reg_381),32));
i_3_fu_1105_p2 <= std_logic_vector(unsigned(i_reg_289) + unsigned(ap_const_lv31_1));
i_4_fu_798_p2 <= std_logic_vector(unsigned(ap_const_lv31_1) + unsigned(max_2_reg_266));
i_5_fu_1201_p2 <= std_logic_vector(unsigned(i_1_reg_347) + unsigned(ap_const_lv32_1));
i_6_fu_1299_p2 <= std_logic_vector(unsigned(i_2_reg_381) + unsigned(ap_const_lv31_1));
i_cast_fu_893_p1 <= std_logic_vector(resize(unsigned(i_reg_289),32));
j_1_cast_fu_1234_p1 <= std_logic_vector(resize(unsigned(j_1_reg_370),32));
j_2_fu_1072_p2 <= std_logic_vector(unsigned(j_reg_301) + unsigned(ap_const_lv32_1));
j_3_fu_1243_p2 <= std_logic_vector(unsigned(j_1_reg_370) + unsigned(ap_const_lv31_1));
k_1_fu_1120_p2 <= std_logic_vector(unsigned(k_reg_324) + unsigned(ap_const_lv31_1));
k_cast_fu_1111_p1 <= std_logic_vector(resize(unsigned(k_reg_324),32));
max_1_fu_887_p3 <=
max_2_cast_reg_1407 when (tmp_63_reg_1436(0) = '1') else
max_reg_277;
max_2_cast_fu_761_p1 <= std_logic_vector(resize(unsigned(max_2_reg_266),32));
notlhs1_fu_857_p2 <= "0" when (tmp_57_fu_825_p4 = ap_const_lv8_FF) else "1";
notlhs_fu_839_p2 <= "0" when (tmp_55_fu_808_p4 = ap_const_lv8_FF) else "1";
notrhs2_fu_863_p2 <= "1" when (tmp_97_fu_835_p1 = ap_const_lv23_0) else "0";
notrhs_fu_845_p2 <= "1" when (tmp_96_fu_818_p1 = ap_const_lv23_0) else "0";
p_shl10_cast_fu_641_p3 <= (tmp_72_fu_637_p1 & ap_const_lv5_0);
p_shl11_cast_fu_653_p3 <= (tmp_73_fu_649_p1 & ap_const_lv3_0);
p_shl12_cast_fu_589_p3 <= (tmp_74_fu_585_p1 & ap_const_lv5_0);
p_shl13_cast_fu_601_p3 <= (tmp_75_fu_597_p1 & ap_const_lv3_0);
p_shl1_cast_fu_707_p3 <= (tmp_12_fu_703_p1 & ap_const_lv3_0);
p_shl2_cast_fu_1023_p3 <= (tmp_67_fu_1019_p1 & ap_const_lv5_0);
p_shl3_cast_fu_1035_p3 <= (tmp_68_fu_1031_p1 & ap_const_lv3_0);
p_shl4_cast_fu_980_p3 <= (tmp_47_fu_976_p1 & ap_const_lv5_0);
p_shl5_cast_fu_992_p3 <= (tmp_51_fu_988_p1 & ap_const_lv3_0);
p_shl6_cast_fu_946_p3 <= (tmp_30_fu_942_p1 & ap_const_lv5_0);
p_shl7_cast_fu_958_p3 <= (tmp_36_fu_954_p1 & ap_const_lv3_0);
p_shl8_cast_fu_906_p3 <= (tmp_25_fu_902_p1 & ap_const_lv5_0);
p_shl9_cast_fu_918_p3 <= (tmp_26_fu_914_p1 & ap_const_lv3_0);
p_shl_cast_fu_695_p3 <= (tmp_11_fu_691_p1 & ap_const_lv5_0);
tmp_100_fu_1154_p1 <= tmp_53_reg_1507(14 - 1 downto 0);
tmp_101_fu_1249_p1 <= j_1_reg_370(9 - 1 downto 0);
tmp_102_fu_1253_p1 <= j_1_reg_370(14 - 1 downto 0);
tmp_103_fu_1277_p1 <= tmp_54_reg_1575(14 - 1 downto 0);
tmp_10_fu_573_p2 <= "1" when (P_mode = ap_const_lv32_6) else "0";
tmp_11_fu_691_p1 <= P_index1(9 - 1 downto 0);
tmp_12_fu_703_p1 <= P_index1(11 - 1 downto 0);
tmp_13_fu_715_p2 <= std_logic_vector(unsigned(p_shl_cast_fu_695_p3) + unsigned(p_shl1_cast_fu_707_p3));
tmp_14_fu_579_p2 <= "1" when (P_mode = ap_const_lv32_7) else "0";
tmp_15_fu_936_p2 <= std_logic_vector(signed(ap_const_lv31_7FFFFFFF) + signed(i_reg_289));
tmp_16_fu_721_p2 <= std_logic_vector(unsigned(tmp_7_fu_687_p1) + unsigned(tmp_13_fu_715_p2));
tmp_19_fu_1319_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed('0' &ap_const_lv14_29) * signed(tmp_16_reg_1399))), 14));
tmp_1_fu_538_p2 <= "1" when (P_mode = ap_const_lv32_1) else "0";
tmp_20_fu_1066_p2 <= "1" when (signed(j_reg_301) < signed(tmp_fu_1053_p6)) else "0";
tmp_21_cast_fu_1329_p1 <= std_logic_vector(resize(signed(tmp_21_reg_1639),64));
tmp_21_fu_1324_p2 <= std_logic_vector(unsigned(tmp_6_reg_1394) + unsigned(tmp_19_fu_1319_p2));
tmp_22_fu_1195_p2 <= "1" when (signed(i_1_reg_347) < signed(tmp_27_fu_1182_p6)) else "0";
tmp_23_fu_1014_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFE) + signed(ST_numLayer_load_reg_1353));
tmp_24_fu_765_p2 <= "1" when (signed(max_2_cast_fu_761_p1) < signed(tmp_31_reg_1384)) else "0";
tmp_25_fu_902_p1 <= i_reg_289(9 - 1 downto 0);
tmp_26_fu_914_p1 <= i_reg_289(11 - 1 downto 0);
tmp_28_fu_926_p2 <= std_logic_vector(unsigned(p_shl8_cast_fu_906_p3) + unsigned(p_shl9_cast_fu_918_p3));
tmp_29_fu_932_p1 <= i_reg_289(2 - 1 downto 0);
tmp_2_fu_549_p2 <= "1" when (P_mode = ap_const_lv32_2) else "0";
tmp_30_fu_942_p1 <= tmp_15_fu_936_p2(4 - 1 downto 0);
tmp_31_fu_619_p5 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_33_fu_1115_p2 <= "1" when (signed(k_cast_fu_1111_p1) < signed(tmp_53_reg_1507)) else "0";
tmp_34_fu_1238_p2 <= "1" when (signed(j_1_cast_fu_1234_p1) < signed(tmp_54_reg_1575)) else "0";
tmp_35_fu_1294_p2 <= "1" when (signed(i_2_cast_fu_1290_p1) < signed(tmp_27_reg_1562)) else "0";
tmp_36_fu_954_p1 <= tmp_15_fu_936_p2(6 - 1 downto 0);
tmp_38_fu_966_p2 <= std_logic_vector(unsigned(p_shl6_cast_fu_946_p3) + unsigned(p_shl7_cast_fu_958_p3));
tmp_39_fu_1177_p1 <= tmp_39_neg_fu_1171_p2;
tmp_39_neg_fu_1171_p2 <= (tmp_39_to_int_fu_1167_p1 xor ap_const_lv32_80000000);
tmp_39_to_int_fu_1167_p1 <= reg_516;
tmp_3_fu_897_p2 <= "1" when (signed(i_cast_fu_893_p1) < signed(ST_numLayer_load_reg_1353)) else "0";
tmp_45_fu_972_p1 <= tmp_15_fu_936_p2(2 - 1 downto 0);
tmp_47_fu_976_p1 <= grp_fu_469_p2(9 - 1 downto 0);
tmp_4_fu_555_p2 <= "1" when (P_mode = ap_const_lv32_3) else "0";
tmp_51_fu_988_p1 <= grp_fu_469_p2(11 - 1 downto 0);
tmp_55_fu_808_p4 <= ST_uOut_load_1_to_int_fu_804_p1(30 downto 23);
tmp_56_fu_1000_p2 <= std_logic_vector(unsigned(p_shl4_cast_fu_980_p3) + unsigned(p_shl5_cast_fu_992_p3));
tmp_57_fu_825_p4 <= ST_uOut_load_2_to_int_fu_822_p1(30 downto 23);
tmp_58_fu_1006_p1 <= tmp_56_fu_1000_p2(9 - 1 downto 0);
tmp_59_fu_851_p2 <= (notrhs_fu_845_p2 or notlhs_fu_839_p2);
tmp_5_fu_727_p1 <= P_index1(2 - 1 downto 0);
tmp_60_fu_869_p2 <= (notrhs2_fu_863_p2 or notlhs1_fu_857_p2);
tmp_61_fu_875_p2 <= (tmp_59_fu_851_p2 and tmp_60_fu_869_p2);
tmp_62_fu_450_opcode <= ap_const_lv5_2;
tmp_63_fu_881_p2 <= (tmp_61_fu_875_p2 and tmp_62_fu_450_p2);
tmp_64_fu_1010_p1 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_65_fu_661_p2 <= std_logic_vector(unsigned(p_shl10_cast_fu_641_p3) + unsigned(p_shl11_cast_fu_653_p3));
tmp_66_cast_fu_673_p1 <= std_logic_vector(resize(signed(tmp_66_fu_667_p2),64));
tmp_66_fu_667_p2 <= std_logic_vector(unsigned(tmp_71_fu_633_p1) + unsigned(tmp_65_fu_661_p2));
tmp_67_fu_1019_p1 <= tmp_23_fu_1014_p2(4 - 1 downto 0);
tmp_68_fu_1031_p1 <= tmp_23_fu_1014_p2(6 - 1 downto 0);
tmp_69_fu_1043_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_1023_p3) + unsigned(p_shl3_cast_fu_1035_p3));
tmp_6_fu_683_p1 <= P_intIn_index3(14 - 1 downto 0);
tmp_70_fu_1049_p1 <= tmp_23_fu_1014_p2(2 - 1 downto 0);
tmp_71_fu_633_p1 <= P_index2(9 - 1 downto 0);
tmp_72_fu_637_p1 <= P_index1(4 - 1 downto 0);
tmp_73_fu_649_p1 <= P_index1(6 - 1 downto 0);
tmp_74_fu_585_p1 <= grp_fu_469_p2(4 - 1 downto 0);
tmp_75_fu_597_p1 <= grp_fu_469_p2(6 - 1 downto 0);
tmp_76_fu_609_p2 <= std_logic_vector(unsigned(p_shl12_cast_fu_589_p3) + unsigned(p_shl13_cast_fu_601_p3));
tmp_78_fu_1091_p1 <= j_reg_301(14 - 1 downto 0);
tmp_79_fu_1095_p2 <= std_logic_vector(unsigned(tmp_28_reg_1454) + unsigned(tmp_78_fu_1091_p1));
tmp_7_fu_687_p1 <= P_index2(14 - 1 downto 0);
tmp_80_fu_1333_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_81_fu_1220_p1 <= i_1_reg_347(14 - 1 downto 0);
tmp_82_cast_fu_1100_p1 <= std_logic_vector(resize(signed(tmp_79_fu_1095_p2),64));
tmp_82_fu_1224_p2 <= std_logic_vector(unsigned(tmp_56_reg_1474) + unsigned(tmp_81_fu_1220_p1));
tmp_83_fu_1339_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_84_cast_fu_1229_p1 <= std_logic_vector(resize(signed(tmp_82_fu_1224_p2),64));
tmp_84_fu_1305_p1 <= i_2_reg_381(9 - 1 downto 0);
tmp_85_fu_1309_p2 <= std_logic_vector(unsigned(tmp_58_reg_1479) + unsigned(tmp_84_fu_1305_p1));
tmp_86_cast_fu_779_p1 <= std_logic_vector(resize(signed(tmp_86_fu_774_p2),64));
tmp_86_fu_774_p2 <= std_logic_vector(unsigned(tmp_94_fu_770_p1) + unsigned(tmp_76_reg_1378));
tmp_87_cast_fu_793_p1 <= std_logic_vector(resize(signed(tmp_87_fu_788_p2),64));
tmp_87_fu_788_p2 <= std_logic_vector(unsigned(tmp_95_fu_784_p1) + unsigned(tmp_76_reg_1378));
tmp_88_cast_fu_1139_p1 <= std_logic_vector(resize(signed(tmp_88_fu_1134_p2),64));
tmp_88_fu_1134_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_99_fu_1130_p1));
tmp_89_cast_fu_1149_p1 <= std_logic_vector(resize(unsigned(tmp_89_fu_1144_p2),64));
tmp_89_fu_1144_p2 <= std_logic_vector(unsigned(tmp_38_reg_1464) + unsigned(tmp_98_fu_1126_p1));
tmp_8_fu_561_p2 <= "1" when (P_mode = ap_const_lv32_4) else "0";
tmp_90_cast_fu_1162_p1 <= std_logic_vector(resize(signed(tmp_90_fu_1157_p2),64));
tmp_90_fu_1157_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_100_fu_1154_p1));
tmp_91_cast_fu_1262_p1 <= std_logic_vector(resize(signed(tmp_91_fu_1257_p2),64));
tmp_91_fu_1257_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_102_fu_1253_p1));
tmp_92_cast_fu_1272_p1 <= std_logic_vector(resize(signed(tmp_92_fu_1267_p2),64));
tmp_92_fu_1267_p2 <= std_logic_vector(unsigned(tmp_69_reg_1489) + unsigned(tmp_101_fu_1249_p1));
tmp_93_cast_fu_1285_p1 <= std_logic_vector(resize(signed(tmp_93_fu_1280_p2),64));
tmp_93_fu_1280_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_103_fu_1277_p1));
tmp_94_cast_fu_1314_p1 <= std_logic_vector(resize(signed(tmp_85_fu_1309_p2),64));
tmp_94_fu_770_p1 <= max_2_reg_266(9 - 1 downto 0);
tmp_95_fu_784_p1 <= max_reg_277(9 - 1 downto 0);
tmp_96_fu_818_p1 <= ST_uOut_load_1_to_int_fu_804_p1(23 - 1 downto 0);
tmp_97_fu_835_p1 <= ST_uOut_load_2_to_int_fu_822_p1(23 - 1 downto 0);
tmp_98_fu_1126_p1 <= k_reg_324(9 - 1 downto 0);
tmp_99_fu_1130_p1 <= k_reg_324(14 - 1 downto 0);
tmp_9_fu_678_p1 <= std_logic_vector(resize(signed(P_index1),64));
tmp_s_fu_567_p2 <= "1" when (P_mode = ap_const_lv32_5) else "0";
end behav;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ANN is
generic (
C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 7;
C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
s_axi_AXILiteS_AWVALID : IN STD_LOGIC;
s_axi_AXILiteS_AWREADY : OUT STD_LOGIC;
s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_WVALID : IN STD_LOGIC;
s_axi_AXILiteS_WREADY : OUT STD_LOGIC;
s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0);
s_axi_AXILiteS_ARVALID : IN STD_LOGIC;
s_axi_AXILiteS_ARREADY : OUT STD_LOGIC;
s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_RVALID : OUT STD_LOGIC;
s_axi_AXILiteS_RREADY : IN STD_LOGIC;
s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_AXILiteS_BVALID : OUT STD_LOGIC;
s_axi_AXILiteS_BREADY : IN STD_LOGIC;
s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of ANN is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"ANN,hls_ip_2015_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.621000,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=18,HLS_SYN_DSP=37,HLS_SYN_FF=8935,HLS_SYN_LUT=12780}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010";
constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100";
constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000";
constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000";
constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000";
constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000";
constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000";
constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000";
constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000";
constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000";
constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000";
constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000";
constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000";
constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000";
constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000";
constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000";
constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000";
constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000";
constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000";
constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000";
constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000";
constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000";
constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000";
constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000";
constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000";
constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000";
constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000";
constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000";
constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000";
constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000";
constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000";
constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000";
constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000";
constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000";
constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000";
constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000";
constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000";
constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000";
constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000";
constant ap_ST_st41_fsm_40 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000";
constant ap_ST_st42_fsm_41 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000";
constant ap_ST_st43_fsm_42 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000";
constant ap_ST_st44_fsm_43 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000";
constant ap_ST_st45_fsm_44 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000";
constant ap_ST_st46_fsm_45 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000";
constant ap_ST_st47_fsm_46 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000";
constant ap_ST_st48_fsm_47 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000";
constant ap_ST_st49_fsm_48 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000";
constant ap_ST_st50_fsm_49 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000";
constant ap_ST_st51_fsm_50 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000";
constant ap_ST_st52_fsm_51 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000";
constant ap_ST_st53_fsm_52 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000";
constant ap_ST_st54_fsm_53 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000";
constant ap_ST_st55_fsm_54 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000";
constant ap_ST_st56_fsm_55 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000";
constant ap_ST_st57_fsm_56 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000";
constant ap_ST_st58_fsm_57 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st59_fsm_58 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st60_fsm_59 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st61_fsm_60 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st62_fsm_61 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st63_fsm_62 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st64_fsm_63 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st65_fsm_64 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st66_fsm_65 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st67_fsm_66 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st68_fsm_67 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st69_fsm_68 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st70_fsm_69 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st71_fsm_70 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st72_fsm_71 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st73_fsm_72 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st74_fsm_73 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st75_fsm_74 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st76_fsm_75 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st77_fsm_76 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st78_fsm_77 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st79_fsm_78 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st80_fsm_79 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st81_fsm_80 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st82_fsm_81 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st83_fsm_82 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st84_fsm_83 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st85_fsm_84 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st86_fsm_85 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st87_fsm_86 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st88_fsm_87 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st89_fsm_88 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st90_fsm_89 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st91_fsm_90 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st92_fsm_91 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st93_fsm_92 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st94_fsm_93 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st95_fsm_94 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st96_fsm_95 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st97_fsm_96 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st98_fsm_97 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st99_fsm_98 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st100_fsm_99 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st101_fsm_100 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st102_fsm_101 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st103_fsm_102 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st104_fsm_103 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st105_fsm_104 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st106_fsm_105 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st107_fsm_106 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st108_fsm_107 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st109_fsm_108 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st110_fsm_109 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st111_fsm_110 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st112_fsm_111 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st113_fsm_112 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st114_fsm_113 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st115_fsm_114 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st116_fsm_115 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st117_fsm_116 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st118_fsm_117 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st119_fsm_118 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st120_fsm_119 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st121_fsm_120 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st122_fsm_121 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st123_fsm_122 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st124_fsm_123 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st125_fsm_124 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st126_fsm_125 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st127_fsm_126 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st128_fsm_127 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st129_fsm_128 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st130_fsm_129 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st131_fsm_130 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st132_fsm_131 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st133_fsm_132 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st134_fsm_133 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st135_fsm_134 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st136_fsm_135 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st137_fsm_136 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st138_fsm_137 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st139_fsm_138 : STD_LOGIC_VECTOR (149 downto 0) := "000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st140_fsm_139 : STD_LOGIC_VECTOR (149 downto 0) := "000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st141_fsm_140 : STD_LOGIC_VECTOR (149 downto 0) := "000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st142_fsm_141 : STD_LOGIC_VECTOR (149 downto 0) := "000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st143_fsm_142 : STD_LOGIC_VECTOR (149 downto 0) := "000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st144_fsm_143 : STD_LOGIC_VECTOR (149 downto 0) := "000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st145_fsm_144 : STD_LOGIC_VECTOR (149 downto 0) := "000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st146_fsm_145 : STD_LOGIC_VECTOR (149 downto 0) := "000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st147_fsm_146 : STD_LOGIC_VECTOR (149 downto 0) := "000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st148_fsm_147 : STD_LOGIC_VECTOR (149 downto 0) := "001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st149_fsm_148 : STD_LOGIC_VECTOR (149 downto 0) := "010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st150_fsm_149 : STD_LOGIC_VECTOR (149 downto 0) := "100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010";
constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110";
constant ap_const_lv32_58 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011000";
constant ap_const_lv32_81 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000001";
constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111";
constant ap_const_lv32_61 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100001";
constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001";
constant ap_const_lv32_5B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011011";
constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110";
constant ap_const_lv32_60 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100000";
constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100";
constant ap_const_lv32_66 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100110";
constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101";
constant ap_const_lv32_67 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100111";
constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111";
constant ap_const_lv32_79 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111001";
constant ap_const_lv32_54 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010100";
constant ap_const_lv32_7A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111010";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100";
constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001";
constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011";
constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100";
constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101";
constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100";
constant ap_const_lv32_53 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010011";
constant ap_const_lv32_56 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010110";
constant ap_const_lv32_57 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010111";
constant ap_const_lv32_7F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111111";
constant ap_const_lv32_80 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000000";
constant ap_const_lv32_91 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010001";
constant ap_const_lv32_93 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010011";
constant ap_const_lv31_1 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000001";
constant ap_const_lv32_55 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010101";
constant ap_const_lv31_0 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000000";
constant ap_const_lv32_92 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010010";
constant ap_const_lv32_94 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010100";
constant ap_const_lv32_BF800000 : STD_LOGIC_VECTOR (31 downto 0) := "10111111100000000000000000000000";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv32_7B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111011";
constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010";
constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000";
constant ap_const_lv32_5C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011100";
constant ap_const_lv32_62 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100010";
constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110";
constant ap_const_lv32_FFFFFFFF : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111111";
constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101";
constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110";
constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111";
constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000";
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111";
constant ap_const_lv23_0 : STD_LOGIC_VECTOR (22 downto 0) := "00000000000000000000000";
constant ap_const_lv31_7FFFFFFF : STD_LOGIC_VECTOR (30 downto 0) := "1111111111111111111111111111111";
constant ap_const_lv32_FFFFFFFE : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111110";
constant ap_const_lv32_80000000 : STD_LOGIC_VECTOR (31 downto 0) := "10000000000000000000000000000000";
constant ap_const_lv14_29 : STD_LOGIC_VECTOR (13 downto 0) := "00000000101001";
constant ap_const_lv5_2 : STD_LOGIC_VECTOR (4 downto 0) := "00010";
constant ap_const_lv32_95 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010101";
constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000";
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC;
signal ap_sig_bdd_168 : BOOLEAN;
signal ap_ready : STD_LOGIC;
signal P_mode : STD_LOGIC_VECTOR (31 downto 0);
signal P_index1 : STD_LOGIC_VECTOR (31 downto 0);
signal P_index2 : STD_LOGIC_VECTOR (31 downto 0);
signal P_intIn_index3 : STD_LOGIC_VECTOR (31 downto 0);
signal P_floatIn : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_WandB_address0 : STD_LOGIC_VECTOR (12 downto 0);
signal ST_WandB_ce0 : STD_LOGIC;
signal ST_WandB_we0 : STD_LOGIC;
signal ST_WandB_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_WandB_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address0 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce0 : STD_LOGIC;
signal ST_uOut_we0 : STD_LOGIC;
signal ST_uOut_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address1 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce1 : STD_LOGIC;
signal ST_uOut_we1 : STD_LOGIC;
signal ST_uOut_d1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_layerSize_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ap_return : STD_LOGIC_VECTOR (31 downto 0);
signal ANN_AXILiteS_s_axi_U_ap_dummy_ce : STD_LOGIC;
signal reg_490 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC;
signal ap_sig_bdd_253 : BOOLEAN;
signal ap_sig_cseq_ST_st11_fsm_10 : STD_LOGIC;
signal ap_sig_bdd_260 : BOOLEAN;
signal ap_sig_cseq_ST_st15_fsm_14 : STD_LOGIC;
signal ap_sig_bdd_268 : BOOLEAN;
signal ap_sig_cseq_ST_st89_fsm_88 : STD_LOGIC;
signal ap_sig_bdd_275 : BOOLEAN;
signal ap_sig_cseq_ST_st130_fsm_129 : STD_LOGIC;
signal ap_sig_bdd_283 : BOOLEAN;
signal reg_499 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st24_fsm_23 : STD_LOGIC;
signal ap_sig_bdd_292 : BOOLEAN;
signal ap_sig_cseq_ST_st98_fsm_97 : STD_LOGIC;
signal ap_sig_bdd_301 : BOOLEAN;
signal grp_fu_428_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_505 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st18_fsm_17 : STD_LOGIC;
signal ap_sig_bdd_311 : BOOLEAN;
signal ap_sig_cseq_ST_st92_fsm_91 : STD_LOGIC;
signal ap_sig_bdd_318 : BOOLEAN;
signal grp_fu_421_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st23_fsm_22 : STD_LOGIC;
signal ap_sig_bdd_328 : BOOLEAN;
signal ap_sig_cseq_ST_st97_fsm_96 : STD_LOGIC;
signal ap_sig_bdd_335 : BOOLEAN;
signal reg_516 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st29_fsm_28 : STD_LOGIC;
signal ap_sig_bdd_344 : BOOLEAN;
signal ap_sig_cseq_ST_st103_fsm_102 : STD_LOGIC;
signal ap_sig_bdd_351 : BOOLEAN;
signal grp_fu_447_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_521 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st30_fsm_29 : STD_LOGIC;
signal ap_sig_bdd_361 : BOOLEAN;
signal ap_sig_cseq_ST_st104_fsm_103 : STD_LOGIC;
signal ap_sig_bdd_368 : BOOLEAN;
signal grp_fu_464_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_526 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st48_fsm_47 : STD_LOGIC;
signal ap_sig_bdd_378 : BOOLEAN;
signal ap_sig_cseq_ST_st122_fsm_121 : STD_LOGIC;
signal ap_sig_bdd_385 : BOOLEAN;
signal grp_fu_444_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_532 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st85_fsm_84 : STD_LOGIC;
signal ap_sig_bdd_395 : BOOLEAN;
signal ap_sig_cseq_ST_st123_fsm_122 : STD_LOGIC;
signal ap_sig_bdd_402 : BOOLEAN;
signal P_floatIn_read_reg_1345 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer_load_reg_1353 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_76_fu_609_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_76_reg_1378 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_1_fu_538_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_2_fu_549_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_4_fu_555_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_8_fu_561_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_s_fu_567_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_10_fu_573_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_14_fu_579_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_31_fu_619_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_31_reg_1384 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_6_fu_683_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_6_reg_1394 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_fu_721_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_reg_1399 : STD_LOGIC_VECTOR (13 downto 0);
signal max_2_cast_fu_761_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal max_2_cast_reg_1407 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC;
signal ap_sig_bdd_458 : BOOLEAN;
signal tmp_24_fu_765_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_4_fu_798_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_4_reg_1425 : STD_LOGIC_VECTOR (30 downto 0);
signal ST_uOut_load_2_reg_1430 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_63_fu_881_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_63_reg_1436 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st4_fsm_3 : STD_LOGIC;
signal ap_sig_bdd_478 : BOOLEAN;
signal max_1_fu_887_p3 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st5_fsm_4 : STD_LOGIC;
signal ap_sig_bdd_487 : BOOLEAN;
signal grp_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st10_fsm_9 : STD_LOGIC;
signal ap_sig_bdd_496 : BOOLEAN;
signal tmp_28_fu_926_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_28_reg_1454 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st12_fsm_11 : STD_LOGIC;
signal ap_sig_bdd_505 : BOOLEAN;
signal tmp_3_fu_897_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_29_fu_932_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_29_reg_1459 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_38_fu_966_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_38_reg_1464 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_45_fu_972_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_45_reg_1469 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_56_fu_1000_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_56_reg_1474 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_58_fu_1006_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_58_reg_1479 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_64_fu_1010_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_64_reg_1484 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_69_fu_1043_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_69_reg_1489 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_70_fu_1049_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_70_reg_1494 : STD_LOGIC_VECTOR (1 downto 0);
signal j_2_fu_1072_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal j_2_reg_1502 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st13_fsm_12 : STD_LOGIC;
signal ap_sig_bdd_535 : BOOLEAN;
signal tmp_53_fu_1078_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_53_reg_1507 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_20_fu_1066_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_80_fu_1333_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_reg_1513 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_5_reg_1519 : STD_LOGIC_VECTOR (7 downto 0);
signal i_3_fu_1105_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_fu_1120_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_reg_1532 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st14_fsm_13 : STD_LOGIC;
signal ap_sig_bdd_557 : BOOLEAN;
signal tmp_33_fu_1115_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_454_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_42_reg_1552 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st53_fsm_52 : STD_LOGIC;
signal ap_sig_bdd_577 : BOOLEAN;
signal grp_fu_459_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_43_reg_1557 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st84_fsm_83 : STD_LOGIC;
signal ap_sig_bdd_586 : BOOLEAN;
signal tmp_27_fu_1182_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_27_reg_1562 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st87_fsm_86 : STD_LOGIC;
signal ap_sig_bdd_595 : BOOLEAN;
signal i_5_fu_1201_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal i_5_reg_1570 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_fu_1207_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_reg_1575 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_22_fu_1195_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_83_fu_1339_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_83_reg_1581 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_7_reg_1587 : STD_LOGIC_VECTOR (7 downto 0);
signal j_3_fu_1243_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal j_3_reg_1595 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st88_fsm_87 : STD_LOGIC;
signal ap_sig_bdd_616 : BOOLEAN;
signal tmp_34_fu_1238_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st128_fsm_127 : STD_LOGIC;
signal ap_sig_bdd_635 : BOOLEAN;
signal i_6_fu_1299_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_6_reg_1623 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st129_fsm_128 : STD_LOGIC;
signal ap_sig_bdd_644 : BOOLEAN;
signal ST_uOut_addr_8_reg_1628 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_35_fu_1294_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_435_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_52_reg_1634 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st146_fsm_145 : STD_LOGIC;
signal ap_sig_bdd_659 : BOOLEAN;
signal tmp_21_fu_1324_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_21_reg_1639 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st148_fsm_147 : STD_LOGIC;
signal ap_sig_bdd_668 : BOOLEAN;
signal max_2_reg_266 : STD_LOGIC_VECTOR (30 downto 0);
signal max_reg_277 : STD_LOGIC_VECTOR (31 downto 0);
signal i_reg_289 : STD_LOGIC_VECTOR (30 downto 0);
signal j_reg_301 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st86_fsm_85 : STD_LOGIC;
signal ap_sig_bdd_690 : BOOLEAN;
signal sum_reg_312 : STD_LOGIC_VECTOR (31 downto 0);
signal k_reg_324 : STD_LOGIC_VECTOR (30 downto 0);
signal sumsoft_reg_335 : STD_LOGIC_VECTOR (31 downto 0);
signal i_1_reg_347 : STD_LOGIC_VECTOR (31 downto 0);
signal sum_1_reg_358 : STD_LOGIC_VECTOR (31 downto 0);
signal j_1_reg_370 : STD_LOGIC_VECTOR (30 downto 0);
signal i_2_reg_381 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st147_fsm_146 : STD_LOGIC;
signal ap_sig_bdd_712 : BOOLEAN;
signal p_0_reg_392 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st149_fsm_148 : STD_LOGIC;
signal ap_sig_bdd_728 : BOOLEAN;
signal tmp_66_cast_fu_673_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_9_fu_678_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_86_cast_fu_779_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_87_cast_fu_793_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_82_cast_fu_1100_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_88_cast_fu_1139_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_89_cast_fu_1149_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_90_cast_fu_1162_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_84_cast_fu_1229_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_91_cast_fu_1262_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_92_cast_fu_1272_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_93_cast_fu_1285_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_94_cast_fu_1314_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_21_cast_fu_1329_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_5_fu_727_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal ap_sig_cseq_ST_st124_fsm_123 : STD_LOGIC;
signal ap_sig_bdd_818 : BOOLEAN;
signal grp_fu_421_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_421_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st19_fsm_18 : STD_LOGIC;
signal ap_sig_bdd_837 : BOOLEAN;
signal ap_sig_cseq_ST_st25_fsm_24 : STD_LOGIC;
signal ap_sig_bdd_844 : BOOLEAN;
signal ap_sig_cseq_ST_st93_fsm_92 : STD_LOGIC;
signal ap_sig_bdd_852 : BOOLEAN;
signal ap_sig_cseq_ST_st99_fsm_98 : STD_LOGIC;
signal ap_sig_bdd_859 : BOOLEAN;
signal grp_fu_428_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_444_p0 : STD_LOGIC_VECTOR (63 downto 0);
signal grp_fu_447_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_fu_1177_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_74_fu_585_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_75_fu_597_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl12_cast_fu_589_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl13_cast_fu_601_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_31_fu_619_p5 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_72_fu_637_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_73_fu_649_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl10_cast_fu_641_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl11_cast_fu_653_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_71_fu_633_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_65_fu_661_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_66_fu_667_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_11_fu_691_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_12_fu_703_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl_cast_fu_695_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl1_cast_fu_707_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_7_fu_687_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_13_fu_715_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_94_fu_770_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_86_fu_774_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_95_fu_784_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_87_fu_788_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal ST_uOut_load_1_to_int_fu_804_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_load_2_to_int_fu_822_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_55_fu_808_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_96_fu_818_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs_fu_845_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs_fu_839_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_57_fu_825_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_97_fu_835_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs2_fu_863_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs1_fu_857_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_59_fu_851_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_60_fu_869_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_61_fu_875_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_62_fu_450_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_cast_fu_893_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_25_fu_902_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_26_fu_914_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl8_cast_fu_906_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl9_cast_fu_918_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_15_fu_936_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal tmp_30_fu_942_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_36_fu_954_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl6_cast_fu_946_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl7_cast_fu_958_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_47_fu_976_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_51_fu_988_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl4_cast_fu_980_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl5_cast_fu_992_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_23_fu_1014_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_67_fu_1019_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_68_fu_1031_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl2_cast_fu_1023_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl3_cast_fu_1035_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_fu_1053_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_78_fu_1091_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_79_fu_1095_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 : string;
attribute use_dsp48 of tmp_79_fu_1095_p2 : signal is "no";
signal k_cast_fu_1111_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_99_fu_1130_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_88_fu_1134_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_88_fu_1134_p2 : signal is "no";
signal tmp_98_fu_1126_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_89_fu_1144_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_100_fu_1154_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_90_fu_1157_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_90_fu_1157_p2 : signal is "no";
signal tmp_39_to_int_fu_1167_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_neg_fu_1171_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_81_fu_1220_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_82_fu_1224_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_82_fu_1224_p2 : signal is "no";
signal j_1_cast_fu_1234_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_102_fu_1253_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_91_fu_1257_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_91_fu_1257_p2 : signal is "no";
signal tmp_101_fu_1249_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_92_fu_1267_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_103_fu_1277_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_93_fu_1280_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_93_fu_1280_p2 : signal is "no";
signal i_2_cast_fu_1290_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_84_fu_1305_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_85_fu_1309_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_19_fu_1319_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_fu_1333_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal tmp_83_fu_1339_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal grp_fu_421_ce : STD_LOGIC;
signal grp_fu_428_ce : STD_LOGIC;
signal grp_fu_435_ce : STD_LOGIC;
signal grp_fu_440_ce : STD_LOGIC;
signal tmp_62_fu_450_opcode : STD_LOGIC_VECTOR (4 downto 0);
signal grp_fu_454_ce : STD_LOGIC;
signal grp_fu_459_ce : STD_LOGIC;
signal grp_fu_464_ce : STD_LOGIC;
signal ap_sig_cseq_ST_st150_fsm_149 : STD_LOGIC;
signal ap_sig_bdd_1429 : BOOLEAN;
signal ap_NS_fsm : STD_LOGIC_VECTOR (149 downto 0);
component ANN_fadd_32ns_32ns_32_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fmul_32ns_32ns_32_4_max_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fdiv_32ns_32ns_32_16 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_sitofp_32ns_32_6 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fptrunc_64ns_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fpext_32ns_64_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_fcmp_32ns_32ns_1_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
opcode : IN STD_LOGIC_VECTOR (4 downto 0);
dout : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
component ANN_dadd_64ns_64ns_64_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_ddiv_64ns_64ns_64_31 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_dexp_64ns_64ns_64_18_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_mux_4to1_sel2_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din1_WIDTH : INTEGER;
din2_WIDTH : INTEGER;
din3_WIDTH : INTEGER;
din4_WIDTH : INTEGER;
din5_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
din2 : IN STD_LOGIC_VECTOR (31 downto 0);
din3 : IN STD_LOGIC_VECTOR (31 downto 0);
din4 : IN STD_LOGIC_VECTOR (31 downto 0);
din5 : IN STD_LOGIC_VECTOR (1 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_mul_mul_7ns_14s_14_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (6 downto 0);
din1 : IN STD_LOGIC_VECTOR (13 downto 0);
dout : OUT STD_LOGIC_VECTOR (13 downto 0) );
end component;
component ANN_ST_WandB IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (12 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_ST_uOut IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (7 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0);
address1 : IN STD_LOGIC_VECTOR (7 downto 0);
ce1 : IN STD_LOGIC;
we1 : IN STD_LOGIC;
d1 : IN STD_LOGIC_VECTOR (31 downto 0);
q1 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_AXILiteS_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_return : IN STD_LOGIC_VECTOR (31 downto 0);
P_mode : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index1 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index2 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_intIn_index3 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_floatIn : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
begin
ST_WandB_U : component ANN_ST_WandB
generic map (
DataWidth => 32,
AddressRange => 6560,
AddressWidth => 13)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_WandB_address0,
ce0 => ST_WandB_ce0,
we0 => ST_WandB_we0,
d0 => ST_WandB_d0,
q0 => ST_WandB_q0);
ST_uOut_U : component ANN_ST_uOut
generic map (
DataWidth => 32,
AddressRange => 160,
AddressWidth => 8)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_uOut_address0,
ce0 => ST_uOut_ce0,
we0 => ST_uOut_we0,
d0 => ST_uOut_d0,
q0 => ST_uOut_q0,
address1 => ST_uOut_address1,
ce1 => ST_uOut_ce1,
we1 => ST_uOut_we1,
d1 => ST_uOut_d1,
q1 => ST_uOut_q1);
ANN_AXILiteS_s_axi_U : component ANN_AXILiteS_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH)
port map (
AWVALID => s_axi_AXILiteS_AWVALID,
AWREADY => s_axi_AXILiteS_AWREADY,
AWADDR => s_axi_AXILiteS_AWADDR,
WVALID => s_axi_AXILiteS_WVALID,
WREADY => s_axi_AXILiteS_WREADY,
WDATA => s_axi_AXILiteS_WDATA,
WSTRB => s_axi_AXILiteS_WSTRB,
ARVALID => s_axi_AXILiteS_ARVALID,
ARREADY => s_axi_AXILiteS_ARREADY,
ARADDR => s_axi_AXILiteS_ARADDR,
RVALID => s_axi_AXILiteS_RVALID,
RREADY => s_axi_AXILiteS_RREADY,
RDATA => s_axi_AXILiteS_RDATA,
RRESP => s_axi_AXILiteS_RRESP,
BVALID => s_axi_AXILiteS_BVALID,
BREADY => s_axi_AXILiteS_BREADY,
BRESP => s_axi_AXILiteS_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ANN_AXILiteS_s_axi_U_ap_dummy_ce,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
ap_return => ap_return,
P_mode => P_mode,
P_index1 => P_index1,
P_index2 => P_index2,
P_intIn_index3 => P_intIn_index3,
P_floatIn => P_floatIn);
ANN_fadd_32ns_32ns_32_5_full_dsp_U0 : component ANN_fadd_32ns_32ns_32_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_421_p0,
din1 => grp_fu_421_p1,
ce => grp_fu_421_ce,
dout => grp_fu_421_p2);
ANN_fmul_32ns_32ns_32_4_max_dsp_U1 : component ANN_fmul_32ns_32ns_32_4_max_dsp
generic map (
ID => 1,
NUM_STAGE => 4,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_428_p0,
din1 => ST_WandB_q0,
ce => grp_fu_428_ce,
dout => grp_fu_428_p2);
ANN_fdiv_32ns_32ns_32_16_U2 : component ANN_fdiv_32ns_32ns_32_16
generic map (
ID => 1,
NUM_STAGE => 16,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_490,
din1 => sumsoft_reg_335,
ce => grp_fu_435_ce,
dout => grp_fu_435_p2);
ANN_sitofp_32ns_32_6_U3 : component ANN_sitofp_32ns_32_6
generic map (
ID => 1,
NUM_STAGE => 6,
din0_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => max_reg_277,
ce => grp_fu_440_ce,
dout => grp_fu_440_p1);
ANN_fptrunc_64ns_32_1_U4 : component ANN_fptrunc_64ns_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 64,
dout_WIDTH => 32)
port map (
din0 => grp_fu_444_p0,
dout => grp_fu_444_p1);
ANN_fpext_32ns_64_1_U5 : component ANN_fpext_32ns_64_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
dout_WIDTH => 64)
port map (
din0 => grp_fu_447_p0,
dout => grp_fu_447_p1);
ANN_fcmp_32ns_32ns_1_1_U6 : component ANN_fcmp_32ns_32ns_1_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 1)
port map (
din0 => reg_490,
din1 => ST_uOut_load_2_reg_1430,
opcode => tmp_62_fu_450_opcode,
dout => tmp_62_fu_450_p2);
ANN_dadd_64ns_64ns_64_5_full_dsp_U7 : component ANN_dadd_64ns_64ns_64_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_526,
din1 => ap_const_lv64_3FF0000000000000,
ce => grp_fu_454_ce,
dout => grp_fu_454_p2);
ANN_ddiv_64ns_64ns_64_31_U8 : component ANN_ddiv_64ns_64ns_64_31
generic map (
ID => 1,
NUM_STAGE => 31,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_3FF0000000000000,
din1 => tmp_42_reg_1552,
ce => grp_fu_459_ce,
dout => grp_fu_459_p2);
ANN_dexp_64ns_64ns_64_18_full_dsp_U9 : component ANN_dexp_64ns_64ns_64_18_full_dsp
generic map (
ID => 1,
NUM_STAGE => 18,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_0,
din1 => reg_521,
ce => grp_fu_464_ce,
dout => grp_fu_464_p2);
ANN_mux_4to1_sel2_32_1_U10 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_31_fu_619_p5,
dout => tmp_31_fu_619_p6);
ANN_mux_4to1_sel2_32_1_U11 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_29_reg_1459,
dout => tmp_fu_1053_p6);
ANN_mux_4to1_sel2_32_1_U12 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_45_reg_1469,
dout => tmp_53_fu_1078_p6);
ANN_mux_4to1_sel2_32_1_U13 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_64_reg_1484,
dout => tmp_27_fu_1182_p6);
ANN_mux_4to1_sel2_32_1_U14 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_70_reg_1494,
dout => tmp_54_fu_1207_p6);
ANN_mul_mul_7ns_14s_14_1_U15 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_80_fu_1333_p0,
din1 => tmp_79_fu_1095_p2,
dout => tmp_80_fu_1333_p2);
ANN_mul_mul_7ns_14s_14_1_U16 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_83_fu_1339_p0,
din1 => tmp_82_fu_1224_p2,
dout => tmp_83_fu_1339_p2);
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- i_1_reg_347 assign process. --
i_1_reg_347_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
i_1_reg_347 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
i_1_reg_347 <= i_5_reg_1570;
end if;
end if;
end process;
-- i_2_reg_381 assign process. --
i_2_reg_381_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and (ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
i_2_reg_381 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
i_2_reg_381 <= i_6_reg_1623;
end if;
end if;
end process;
-- i_reg_289 assign process. --
i_reg_289_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
i_reg_289 <= ap_const_lv31_1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and (ap_const_lv1_0 = tmp_20_fu_1066_p2))) then
i_reg_289 <= i_3_fu_1105_p2;
end if;
end if;
end process;
-- j_1_reg_370 assign process. --
j_1_reg_370_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
j_1_reg_370 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
j_1_reg_370 <= j_3_reg_1595;
end if;
end if;
end process;
-- j_reg_301 assign process. --
j_reg_301_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
j_reg_301 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
j_reg_301 <= j_2_reg_1502;
end if;
end if;
end process;
-- k_reg_324 assign process. --
k_reg_324_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
k_reg_324 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
k_reg_324 <= k_1_reg_1532;
end if;
end if;
end process;
-- max_2_reg_266 assign process. --
max_2_reg_266_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_2_reg_266 <= ap_const_lv31_1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_2_reg_266 <= i_4_reg_1425;
end if;
end if;
end process;
-- max_reg_277 assign process. --
max_reg_277_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_reg_277 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_reg_277 <= max_1_fu_887_p3;
end if;
end if;
end process;
-- p_0_reg_392 assign process. --
p_0_reg_392_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))) then
p_0_reg_392 <= ap_const_lv32_BF800000;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) then
p_0_reg_392 <= grp_fu_440_p1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) then
p_0_reg_392 <= ST_uOut_q0;
elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and (ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
p_0_reg_392 <= ap_const_lv32_0;
end if;
end if;
end process;
-- reg_490 assign process. --
reg_490_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
reg_490 <= ST_uOut_q1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) or (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st130_fsm_129))) then
reg_490 <= ST_uOut_q0;
end if;
end if;
end process;
-- sum_1_reg_358 assign process. --
sum_1_reg_358_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
sum_1_reg_358 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
sum_1_reg_358 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sum_reg_312 assign process. --
sum_reg_312_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
sum_reg_312 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
sum_reg_312 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sumsoft_reg_335 assign process. --
sumsoft_reg_335_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
sumsoft_reg_335 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
sumsoft_reg_335 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then
P_floatIn_read_reg_1345 <= P_floatIn;
ST_numLayer_load_reg_1353 <= ST_numLayer;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_0))) then
ST_layerSize_0 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_1))) then
ST_layerSize_1 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_2))) then
ST_layerSize_2 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_1)) and not((tmp_5_fu_727_p1 = ap_const_lv2_0)))) then
ST_layerSize_3 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0)))) then
ST_numLayer <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
ST_uOut_addr_5_reg_1519 <= tmp_82_cast_fu_1100_p1(8 - 1 downto 0);
tmp_53_reg_1507 <= tmp_53_fu_1078_p6;
tmp_80_reg_1513 <= tmp_80_fu_1333_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
ST_uOut_addr_7_reg_1587 <= tmp_84_cast_fu_1229_p1(8 - 1 downto 0);
tmp_54_reg_1575 <= tmp_54_fu_1207_p6;
tmp_83_reg_1581 <= tmp_83_fu_1339_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and not((ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
ST_uOut_addr_8_reg_1628 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then
ST_uOut_load_2_reg_1430 <= ST_uOut_q1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((ap_const_lv1_0 = tmp_24_fu_765_p2)))) then
i_4_reg_1425 <= i_4_fu_798_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) then
i_5_reg_1570 <= i_5_fu_1201_p2;
tmp_27_reg_1562 <= tmp_27_fu_1182_p6;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
i_6_reg_1623 <= i_6_fu_1299_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) then
j_2_reg_1502 <= j_2_fu_1072_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
j_3_reg_1595 <= j_3_fu_1243_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
k_1_reg_1532 <= k_1_fu_1120_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
max_2_cast_reg_1407(30 downto 0) <= max_2_cast_fu_761_p1(30 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23) or (ap_const_logic_1 = ap_sig_cseq_ST_st98_fsm_97))) then
reg_499 <= ST_WandB_q0;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17) or (ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91))) then
reg_505 <= grp_fu_428_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28) or (ap_const_logic_1 = ap_sig_cseq_ST_st103_fsm_102))) then
reg_516 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29) or (ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103))) then
reg_521 <= grp_fu_447_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st48_fsm_47) or (ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121))) then
reg_526 <= grp_fu_464_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84) or (ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122))) then
reg_532 <= grp_fu_444_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
tmp_16_reg_1399 <= tmp_16_fu_721_p2;
tmp_6_reg_1394 <= tmp_6_fu_683_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st148_fsm_147)) then
tmp_21_reg_1639 <= tmp_21_fu_1324_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
tmp_28_reg_1454(13 downto 3) <= tmp_28_fu_926_p2(13 downto 3);
tmp_29_reg_1459 <= tmp_29_fu_932_p1;
tmp_38_reg_1464(8 downto 3) <= tmp_38_fu_966_p2(8 downto 3);
tmp_45_reg_1469 <= tmp_45_fu_972_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
tmp_31_reg_1384 <= tmp_31_fu_619_p6;
tmp_76_reg_1378(8 downto 3) <= tmp_76_fu_609_p2(8 downto 3);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st53_fsm_52)) then
tmp_42_reg_1552 <= grp_fu_454_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st84_fsm_83)) then
tmp_43_reg_1557 <= grp_fu_459_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st146_fsm_145)) then
tmp_52_reg_1634 <= grp_fu_435_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
tmp_56_reg_1474(13 downto 3) <= tmp_56_fu_1000_p2(13 downto 3);
tmp_58_reg_1479(8 downto 3) <= tmp_58_fu_1006_p1(8 downto 3);
tmp_64_reg_1484 <= tmp_64_fu_1010_p1;
tmp_69_reg_1489(8 downto 3) <= tmp_69_fu_1043_p2(8 downto 3);
tmp_70_reg_1494 <= tmp_70_fu_1049_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) then
tmp_63_reg_1436 <= tmp_63_fu_881_p2;
end if;
end if;
end process;
tmp_76_reg_1378(2 downto 0) <= "000";
max_2_cast_reg_1407(31) <= '0';
tmp_28_reg_1454(2 downto 0) <= "000";
tmp_38_reg_1464(2 downto 0) <= "000";
tmp_56_reg_1474(2 downto 0) <= "000";
tmp_58_reg_1479(2 downto 0) <= "000";
tmp_69_reg_1489(2 downto 0) <= "000";
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, tmp_14_fu_579_p2, tmp_24_fu_765_p2, tmp_3_fu_897_p2, tmp_20_fu_1066_p2, tmp_33_fu_1115_p2, tmp_22_fu_1195_p2, tmp_34_fu_1238_p2, tmp_35_fu_1294_p2)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
if ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
elsif ((not((ap_start = ap_const_logic_0)) and (not((tmp_1_fu_538_p2 = ap_const_lv1_0)) or not((ap_const_lv1_0 = tmp_2_fu_549_p2)) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))))) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ap_NS_fsm <= ap_ST_st11_fsm_10;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
ap_NS_fsm <= ap_ST_st148_fsm_147;
else
ap_NS_fsm <= ap_ST_st1_fsm_0;
end if;
when ap_ST_st2_fsm_1 =>
if ((ap_const_lv1_0 = tmp_24_fu_765_p2)) then
ap_NS_fsm <= ap_ST_st6_fsm_5;
else
ap_NS_fsm <= ap_ST_st3_fsm_2;
end if;
when ap_ST_st3_fsm_2 =>
ap_NS_fsm <= ap_ST_st4_fsm_3;
when ap_ST_st4_fsm_3 =>
ap_NS_fsm <= ap_ST_st5_fsm_4;
when ap_ST_st5_fsm_4 =>
ap_NS_fsm <= ap_ST_st2_fsm_1;
when ap_ST_st6_fsm_5 =>
ap_NS_fsm <= ap_ST_st7_fsm_6;
when ap_ST_st7_fsm_6 =>
ap_NS_fsm <= ap_ST_st8_fsm_7;
when ap_ST_st8_fsm_7 =>
ap_NS_fsm <= ap_ST_st9_fsm_8;
when ap_ST_st9_fsm_8 =>
ap_NS_fsm <= ap_ST_st10_fsm_9;
when ap_ST_st10_fsm_9 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st11_fsm_10 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st12_fsm_11 =>
if ((ap_const_lv1_0 = tmp_3_fu_897_p2)) then
ap_NS_fsm <= ap_ST_st87_fsm_86;
else
ap_NS_fsm <= ap_ST_st13_fsm_12;
end if;
when ap_ST_st13_fsm_12 =>
if ((ap_const_lv1_0 = tmp_20_fu_1066_p2)) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
else
ap_NS_fsm <= ap_ST_st14_fsm_13;
end if;
when ap_ST_st14_fsm_13 =>
if ((ap_const_lv1_0 = tmp_33_fu_1115_p2)) then
ap_NS_fsm <= ap_ST_st24_fsm_23;
else
ap_NS_fsm <= ap_ST_st15_fsm_14;
end if;
when ap_ST_st15_fsm_14 =>
ap_NS_fsm <= ap_ST_st16_fsm_15;
when ap_ST_st16_fsm_15 =>
ap_NS_fsm <= ap_ST_st17_fsm_16;
when ap_ST_st17_fsm_16 =>
ap_NS_fsm <= ap_ST_st18_fsm_17;
when ap_ST_st18_fsm_17 =>
ap_NS_fsm <= ap_ST_st19_fsm_18;
when ap_ST_st19_fsm_18 =>
ap_NS_fsm <= ap_ST_st20_fsm_19;
when ap_ST_st20_fsm_19 =>
ap_NS_fsm <= ap_ST_st21_fsm_20;
when ap_ST_st21_fsm_20 =>
ap_NS_fsm <= ap_ST_st22_fsm_21;
when ap_ST_st22_fsm_21 =>
ap_NS_fsm <= ap_ST_st23_fsm_22;
when ap_ST_st23_fsm_22 =>
ap_NS_fsm <= ap_ST_st14_fsm_13;
when ap_ST_st24_fsm_23 =>
ap_NS_fsm <= ap_ST_st25_fsm_24;
when ap_ST_st25_fsm_24 =>
ap_NS_fsm <= ap_ST_st26_fsm_25;
when ap_ST_st26_fsm_25 =>
ap_NS_fsm <= ap_ST_st27_fsm_26;
when ap_ST_st27_fsm_26 =>
ap_NS_fsm <= ap_ST_st28_fsm_27;
when ap_ST_st28_fsm_27 =>
ap_NS_fsm <= ap_ST_st29_fsm_28;
when ap_ST_st29_fsm_28 =>
ap_NS_fsm <= ap_ST_st30_fsm_29;
when ap_ST_st30_fsm_29 =>
ap_NS_fsm <= ap_ST_st31_fsm_30;
when ap_ST_st31_fsm_30 =>
ap_NS_fsm <= ap_ST_st32_fsm_31;
when ap_ST_st32_fsm_31 =>
ap_NS_fsm <= ap_ST_st33_fsm_32;
when ap_ST_st33_fsm_32 =>
ap_NS_fsm <= ap_ST_st34_fsm_33;
when ap_ST_st34_fsm_33 =>
ap_NS_fsm <= ap_ST_st35_fsm_34;
when ap_ST_st35_fsm_34 =>
ap_NS_fsm <= ap_ST_st36_fsm_35;
when ap_ST_st36_fsm_35 =>
ap_NS_fsm <= ap_ST_st37_fsm_36;
when ap_ST_st37_fsm_36 =>
ap_NS_fsm <= ap_ST_st38_fsm_37;
when ap_ST_st38_fsm_37 =>
ap_NS_fsm <= ap_ST_st39_fsm_38;
when ap_ST_st39_fsm_38 =>
ap_NS_fsm <= ap_ST_st40_fsm_39;
when ap_ST_st40_fsm_39 =>
ap_NS_fsm <= ap_ST_st41_fsm_40;
when ap_ST_st41_fsm_40 =>
ap_NS_fsm <= ap_ST_st42_fsm_41;
when ap_ST_st42_fsm_41 =>
ap_NS_fsm <= ap_ST_st43_fsm_42;
when ap_ST_st43_fsm_42 =>
ap_NS_fsm <= ap_ST_st44_fsm_43;
when ap_ST_st44_fsm_43 =>
ap_NS_fsm <= ap_ST_st45_fsm_44;
when ap_ST_st45_fsm_44 =>
ap_NS_fsm <= ap_ST_st46_fsm_45;
when ap_ST_st46_fsm_45 =>
ap_NS_fsm <= ap_ST_st47_fsm_46;
when ap_ST_st47_fsm_46 =>
ap_NS_fsm <= ap_ST_st48_fsm_47;
when ap_ST_st48_fsm_47 =>
ap_NS_fsm <= ap_ST_st49_fsm_48;
when ap_ST_st49_fsm_48 =>
ap_NS_fsm <= ap_ST_st50_fsm_49;
when ap_ST_st50_fsm_49 =>
ap_NS_fsm <= ap_ST_st51_fsm_50;
when ap_ST_st51_fsm_50 =>
ap_NS_fsm <= ap_ST_st52_fsm_51;
when ap_ST_st52_fsm_51 =>
ap_NS_fsm <= ap_ST_st53_fsm_52;
when ap_ST_st53_fsm_52 =>
ap_NS_fsm <= ap_ST_st54_fsm_53;
when ap_ST_st54_fsm_53 =>
ap_NS_fsm <= ap_ST_st55_fsm_54;
when ap_ST_st55_fsm_54 =>
ap_NS_fsm <= ap_ST_st56_fsm_55;
when ap_ST_st56_fsm_55 =>
ap_NS_fsm <= ap_ST_st57_fsm_56;
when ap_ST_st57_fsm_56 =>
ap_NS_fsm <= ap_ST_st58_fsm_57;
when ap_ST_st58_fsm_57 =>
ap_NS_fsm <= ap_ST_st59_fsm_58;
when ap_ST_st59_fsm_58 =>
ap_NS_fsm <= ap_ST_st60_fsm_59;
when ap_ST_st60_fsm_59 =>
ap_NS_fsm <= ap_ST_st61_fsm_60;
when ap_ST_st61_fsm_60 =>
ap_NS_fsm <= ap_ST_st62_fsm_61;
when ap_ST_st62_fsm_61 =>
ap_NS_fsm <= ap_ST_st63_fsm_62;
when ap_ST_st63_fsm_62 =>
ap_NS_fsm <= ap_ST_st64_fsm_63;
when ap_ST_st64_fsm_63 =>
ap_NS_fsm <= ap_ST_st65_fsm_64;
when ap_ST_st65_fsm_64 =>
ap_NS_fsm <= ap_ST_st66_fsm_65;
when ap_ST_st66_fsm_65 =>
ap_NS_fsm <= ap_ST_st67_fsm_66;
when ap_ST_st67_fsm_66 =>
ap_NS_fsm <= ap_ST_st68_fsm_67;
when ap_ST_st68_fsm_67 =>
ap_NS_fsm <= ap_ST_st69_fsm_68;
when ap_ST_st69_fsm_68 =>
ap_NS_fsm <= ap_ST_st70_fsm_69;
when ap_ST_st70_fsm_69 =>
ap_NS_fsm <= ap_ST_st71_fsm_70;
when ap_ST_st71_fsm_70 =>
ap_NS_fsm <= ap_ST_st72_fsm_71;
when ap_ST_st72_fsm_71 =>
ap_NS_fsm <= ap_ST_st73_fsm_72;
when ap_ST_st73_fsm_72 =>
ap_NS_fsm <= ap_ST_st74_fsm_73;
when ap_ST_st74_fsm_73 =>
ap_NS_fsm <= ap_ST_st75_fsm_74;
when ap_ST_st75_fsm_74 =>
ap_NS_fsm <= ap_ST_st76_fsm_75;
when ap_ST_st76_fsm_75 =>
ap_NS_fsm <= ap_ST_st77_fsm_76;
when ap_ST_st77_fsm_76 =>
ap_NS_fsm <= ap_ST_st78_fsm_77;
when ap_ST_st78_fsm_77 =>
ap_NS_fsm <= ap_ST_st79_fsm_78;
when ap_ST_st79_fsm_78 =>
ap_NS_fsm <= ap_ST_st80_fsm_79;
when ap_ST_st80_fsm_79 =>
ap_NS_fsm <= ap_ST_st81_fsm_80;
when ap_ST_st81_fsm_80 =>
ap_NS_fsm <= ap_ST_st82_fsm_81;
when ap_ST_st82_fsm_81 =>
ap_NS_fsm <= ap_ST_st83_fsm_82;
when ap_ST_st83_fsm_82 =>
ap_NS_fsm <= ap_ST_st84_fsm_83;
when ap_ST_st84_fsm_83 =>
ap_NS_fsm <= ap_ST_st85_fsm_84;
when ap_ST_st85_fsm_84 =>
ap_NS_fsm <= ap_ST_st86_fsm_85;
when ap_ST_st86_fsm_85 =>
ap_NS_fsm <= ap_ST_st13_fsm_12;
when ap_ST_st87_fsm_86 =>
if (not((ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
ap_NS_fsm <= ap_ST_st88_fsm_87;
else
ap_NS_fsm <= ap_ST_st129_fsm_128;
end if;
when ap_ST_st88_fsm_87 =>
if ((ap_const_lv1_0 = tmp_34_fu_1238_p2)) then
ap_NS_fsm <= ap_ST_st98_fsm_97;
else
ap_NS_fsm <= ap_ST_st89_fsm_88;
end if;
when ap_ST_st89_fsm_88 =>
ap_NS_fsm <= ap_ST_st90_fsm_89;
when ap_ST_st90_fsm_89 =>
ap_NS_fsm <= ap_ST_st91_fsm_90;
when ap_ST_st91_fsm_90 =>
ap_NS_fsm <= ap_ST_st92_fsm_91;
when ap_ST_st92_fsm_91 =>
ap_NS_fsm <= ap_ST_st93_fsm_92;
when ap_ST_st93_fsm_92 =>
ap_NS_fsm <= ap_ST_st94_fsm_93;
when ap_ST_st94_fsm_93 =>
ap_NS_fsm <= ap_ST_st95_fsm_94;
when ap_ST_st95_fsm_94 =>
ap_NS_fsm <= ap_ST_st96_fsm_95;
when ap_ST_st96_fsm_95 =>
ap_NS_fsm <= ap_ST_st97_fsm_96;
when ap_ST_st97_fsm_96 =>
ap_NS_fsm <= ap_ST_st88_fsm_87;
when ap_ST_st98_fsm_97 =>
ap_NS_fsm <= ap_ST_st99_fsm_98;
when ap_ST_st99_fsm_98 =>
ap_NS_fsm <= ap_ST_st100_fsm_99;
when ap_ST_st100_fsm_99 =>
ap_NS_fsm <= ap_ST_st101_fsm_100;
when ap_ST_st101_fsm_100 =>
ap_NS_fsm <= ap_ST_st102_fsm_101;
when ap_ST_st102_fsm_101 =>
ap_NS_fsm <= ap_ST_st103_fsm_102;
when ap_ST_st103_fsm_102 =>
ap_NS_fsm <= ap_ST_st104_fsm_103;
when ap_ST_st104_fsm_103 =>
ap_NS_fsm <= ap_ST_st105_fsm_104;
when ap_ST_st105_fsm_104 =>
ap_NS_fsm <= ap_ST_st106_fsm_105;
when ap_ST_st106_fsm_105 =>
ap_NS_fsm <= ap_ST_st107_fsm_106;
when ap_ST_st107_fsm_106 =>
ap_NS_fsm <= ap_ST_st108_fsm_107;
when ap_ST_st108_fsm_107 =>
ap_NS_fsm <= ap_ST_st109_fsm_108;
when ap_ST_st109_fsm_108 =>
ap_NS_fsm <= ap_ST_st110_fsm_109;
when ap_ST_st110_fsm_109 =>
ap_NS_fsm <= ap_ST_st111_fsm_110;
when ap_ST_st111_fsm_110 =>
ap_NS_fsm <= ap_ST_st112_fsm_111;
when ap_ST_st112_fsm_111 =>
ap_NS_fsm <= ap_ST_st113_fsm_112;
when ap_ST_st113_fsm_112 =>
ap_NS_fsm <= ap_ST_st114_fsm_113;
when ap_ST_st114_fsm_113 =>
ap_NS_fsm <= ap_ST_st115_fsm_114;
when ap_ST_st115_fsm_114 =>
ap_NS_fsm <= ap_ST_st116_fsm_115;
when ap_ST_st116_fsm_115 =>
ap_NS_fsm <= ap_ST_st117_fsm_116;
when ap_ST_st117_fsm_116 =>
ap_NS_fsm <= ap_ST_st118_fsm_117;
when ap_ST_st118_fsm_117 =>
ap_NS_fsm <= ap_ST_st119_fsm_118;
when ap_ST_st119_fsm_118 =>
ap_NS_fsm <= ap_ST_st120_fsm_119;
when ap_ST_st120_fsm_119 =>
ap_NS_fsm <= ap_ST_st121_fsm_120;
when ap_ST_st121_fsm_120 =>
ap_NS_fsm <= ap_ST_st122_fsm_121;
when ap_ST_st122_fsm_121 =>
ap_NS_fsm <= ap_ST_st123_fsm_122;
when ap_ST_st123_fsm_122 =>
ap_NS_fsm <= ap_ST_st124_fsm_123;
when ap_ST_st124_fsm_123 =>
ap_NS_fsm <= ap_ST_st125_fsm_124;
when ap_ST_st125_fsm_124 =>
ap_NS_fsm <= ap_ST_st126_fsm_125;
when ap_ST_st126_fsm_125 =>
ap_NS_fsm <= ap_ST_st127_fsm_126;
when ap_ST_st127_fsm_126 =>
ap_NS_fsm <= ap_ST_st128_fsm_127;
when ap_ST_st128_fsm_127 =>
ap_NS_fsm <= ap_ST_st87_fsm_86;
when ap_ST_st129_fsm_128 =>
if ((ap_const_lv1_0 = tmp_35_fu_1294_p2)) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
else
ap_NS_fsm <= ap_ST_st130_fsm_129;
end if;
when ap_ST_st130_fsm_129 =>
ap_NS_fsm <= ap_ST_st131_fsm_130;
when ap_ST_st131_fsm_130 =>
ap_NS_fsm <= ap_ST_st132_fsm_131;
when ap_ST_st132_fsm_131 =>
ap_NS_fsm <= ap_ST_st133_fsm_132;
when ap_ST_st133_fsm_132 =>
ap_NS_fsm <= ap_ST_st134_fsm_133;
when ap_ST_st134_fsm_133 =>
ap_NS_fsm <= ap_ST_st135_fsm_134;
when ap_ST_st135_fsm_134 =>
ap_NS_fsm <= ap_ST_st136_fsm_135;
when ap_ST_st136_fsm_135 =>
ap_NS_fsm <= ap_ST_st137_fsm_136;
when ap_ST_st137_fsm_136 =>
ap_NS_fsm <= ap_ST_st138_fsm_137;
when ap_ST_st138_fsm_137 =>
ap_NS_fsm <= ap_ST_st139_fsm_138;
when ap_ST_st139_fsm_138 =>
ap_NS_fsm <= ap_ST_st140_fsm_139;
when ap_ST_st140_fsm_139 =>
ap_NS_fsm <= ap_ST_st141_fsm_140;
when ap_ST_st141_fsm_140 =>
ap_NS_fsm <= ap_ST_st142_fsm_141;
when ap_ST_st142_fsm_141 =>
ap_NS_fsm <= ap_ST_st143_fsm_142;
when ap_ST_st143_fsm_142 =>
ap_NS_fsm <= ap_ST_st144_fsm_143;
when ap_ST_st144_fsm_143 =>
ap_NS_fsm <= ap_ST_st145_fsm_144;
when ap_ST_st145_fsm_144 =>
ap_NS_fsm <= ap_ST_st146_fsm_145;
when ap_ST_st146_fsm_145 =>
ap_NS_fsm <= ap_ST_st147_fsm_146;
when ap_ST_st147_fsm_146 =>
ap_NS_fsm <= ap_ST_st129_fsm_128;
when ap_ST_st148_fsm_147 =>
ap_NS_fsm <= ap_ST_st149_fsm_148;
when ap_ST_st149_fsm_148 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st150_fsm_149 =>
ap_NS_fsm <= ap_ST_st1_fsm_0;
when others =>
ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end case;
end process;
ANN_AXILiteS_s_axi_U_ap_dummy_ce <= ap_const_logic_1;
-- ST_WandB_address0 assign process. --
ST_WandB_address0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148, tmp_88_cast_fu_1139_p1, tmp_90_cast_fu_1162_p1, tmp_91_cast_fu_1262_p1, tmp_93_cast_fu_1285_p1, tmp_21_cast_fu_1329_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148)) then
ST_WandB_address0 <= tmp_21_cast_fu_1329_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2))) then
ST_WandB_address0 <= tmp_93_cast_fu_1285_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2)))) then
ST_WandB_address0 <= tmp_91_cast_fu_1262_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2))) then
ST_WandB_address0 <= tmp_90_cast_fu_1162_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2)))) then
ST_WandB_address0 <= tmp_88_cast_fu_1139_p1(13 - 1 downto 0);
else
ST_WandB_address0 <= "XXXXXXXXXXXXX";
end if;
end process;
-- ST_WandB_ce0 assign process. --
ST_WandB_ce0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2)) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_ce0 <= ap_const_logic_1;
else
ST_WandB_ce0 <= ap_const_logic_0;
end if;
end process;
ST_WandB_d0 <= P_floatIn_read_reg_1345;
-- ST_WandB_we0 assign process. --
ST_WandB_we0_assign_proc : process(ap_sig_cseq_ST_st149_fsm_148)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_we0 <= ap_const_logic_1;
else
ST_WandB_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_address0 assign process. --
ST_uOut_address0_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128, tmp_66_cast_fu_673_p1, tmp_9_fu_678_p1, tmp_86_cast_fu_779_p1, tmp_92_cast_fu_1272_p1, tmp_94_cast_fu_1314_p1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2)))) then
ST_uOut_address0 <= tmp_9_fu_678_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
ST_uOut_address0 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
ST_uOut_address0 <= tmp_92_cast_fu_1272_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address0 <= tmp_86_cast_fu_779_p1(8 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ST_uOut_address0 <= tmp_66_cast_fu_673_p1(8 - 1 downto 0);
else
ST_uOut_address0 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_address1 assign process. --
ST_uOut_address1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ST_uOut_addr_5_reg_1519, ap_sig_cseq_ST_st14_fsm_13, ST_uOut_addr_7_reg_1587, ST_uOut_addr_8_reg_1628, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, tmp_87_cast_fu_793_p1, tmp_89_cast_fu_1149_p1, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_address1 <= ST_uOut_addr_8_reg_1628;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
ST_uOut_address1 <= ST_uOut_addr_7_reg_1587;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
ST_uOut_address1 <= ST_uOut_addr_5_reg_1519;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
ST_uOut_address1 <= tmp_89_cast_fu_1149_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address1 <= tmp_87_cast_fu_793_p1(8 - 1 downto 0);
else
ST_uOut_address1 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_ce0 assign process. --
ST_uOut_ce0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) or (ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_ce0 <= ap_const_logic_1;
else
ST_uOut_ce0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_ce1 assign process. --
ST_uOut_ce1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st14_fsm_13, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) or (ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_ce1 <= ap_const_logic_1;
else
ST_uOut_ce1 <= ap_const_logic_0;
end if;
end process;
ST_uOut_d0 <= P_floatIn;
-- ST_uOut_d1 assign process. --
ST_uOut_d1_assign_proc : process(reg_532, tmp_52_reg_1634, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_d1 <= tmp_52_reg_1634;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_d1 <= reg_532;
else
ST_uOut_d1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
ST_uOut_load_1_to_int_fu_804_p1 <= reg_490;
ST_uOut_load_2_to_int_fu_822_p1 <= ST_uOut_load_2_reg_1430;
-- ST_uOut_we0 assign process. --
ST_uOut_we0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_we0 <= ap_const_logic_1;
else
ST_uOut_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_we1 assign process. --
ST_uOut_we1_assign_proc : process(ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_we1 <= ap_const_logic_1;
else
ST_uOut_we1 <= ap_const_logic_0;
end if;
end process;
-- ap_done assign process. --
ap_done_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return <= p_0_reg_392;
-- ap_rst_n_inv assign process. --
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
-- ap_sig_bdd_1429 assign process. --
ap_sig_bdd_1429_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_1429 <= (ap_const_lv1_1 = ap_CS_fsm(149 downto 149));
end process;
-- ap_sig_bdd_168 assign process. --
ap_sig_bdd_168_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_168 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1);
end process;
-- ap_sig_bdd_253 assign process. --
ap_sig_bdd_253_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_253 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2));
end process;
-- ap_sig_bdd_260 assign process. --
ap_sig_bdd_260_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_260 <= (ap_const_lv1_1 = ap_CS_fsm(10 downto 10));
end process;
-- ap_sig_bdd_268 assign process. --
ap_sig_bdd_268_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_268 <= (ap_const_lv1_1 = ap_CS_fsm(14 downto 14));
end process;
-- ap_sig_bdd_275 assign process. --
ap_sig_bdd_275_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_275 <= (ap_const_lv1_1 = ap_CS_fsm(88 downto 88));
end process;
-- ap_sig_bdd_283 assign process. --
ap_sig_bdd_283_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_283 <= (ap_const_lv1_1 = ap_CS_fsm(129 downto 129));
end process;
-- ap_sig_bdd_292 assign process. --
ap_sig_bdd_292_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_292 <= (ap_const_lv1_1 = ap_CS_fsm(23 downto 23));
end process;
-- ap_sig_bdd_301 assign process. --
ap_sig_bdd_301_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_301 <= (ap_const_lv1_1 = ap_CS_fsm(97 downto 97));
end process;
-- ap_sig_bdd_311 assign process. --
ap_sig_bdd_311_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_311 <= (ap_const_lv1_1 = ap_CS_fsm(17 downto 17));
end process;
-- ap_sig_bdd_318 assign process. --
ap_sig_bdd_318_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_318 <= (ap_const_lv1_1 = ap_CS_fsm(91 downto 91));
end process;
-- ap_sig_bdd_328 assign process. --
ap_sig_bdd_328_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_328 <= (ap_const_lv1_1 = ap_CS_fsm(22 downto 22));
end process;
-- ap_sig_bdd_335 assign process. --
ap_sig_bdd_335_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_335 <= (ap_const_lv1_1 = ap_CS_fsm(96 downto 96));
end process;
-- ap_sig_bdd_344 assign process. --
ap_sig_bdd_344_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_344 <= (ap_const_lv1_1 = ap_CS_fsm(28 downto 28));
end process;
-- ap_sig_bdd_351 assign process. --
ap_sig_bdd_351_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_351 <= (ap_const_lv1_1 = ap_CS_fsm(102 downto 102));
end process;
-- ap_sig_bdd_361 assign process. --
ap_sig_bdd_361_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_361 <= (ap_const_lv1_1 = ap_CS_fsm(29 downto 29));
end process;
-- ap_sig_bdd_368 assign process. --
ap_sig_bdd_368_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_368 <= (ap_const_lv1_1 = ap_CS_fsm(103 downto 103));
end process;
-- ap_sig_bdd_378 assign process. --
ap_sig_bdd_378_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_378 <= (ap_const_lv1_1 = ap_CS_fsm(47 downto 47));
end process;
-- ap_sig_bdd_385 assign process. --
ap_sig_bdd_385_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_385 <= (ap_const_lv1_1 = ap_CS_fsm(121 downto 121));
end process;
-- ap_sig_bdd_395 assign process. --
ap_sig_bdd_395_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_395 <= (ap_const_lv1_1 = ap_CS_fsm(84 downto 84));
end process;
-- ap_sig_bdd_402 assign process. --
ap_sig_bdd_402_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_402 <= (ap_const_lv1_1 = ap_CS_fsm(122 downto 122));
end process;
-- ap_sig_bdd_458 assign process. --
ap_sig_bdd_458_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_458 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1));
end process;
-- ap_sig_bdd_478 assign process. --
ap_sig_bdd_478_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_478 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3));
end process;
-- ap_sig_bdd_487 assign process. --
ap_sig_bdd_487_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_487 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4));
end process;
-- ap_sig_bdd_496 assign process. --
ap_sig_bdd_496_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_496 <= (ap_const_lv1_1 = ap_CS_fsm(9 downto 9));
end process;
-- ap_sig_bdd_505 assign process. --
ap_sig_bdd_505_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_505 <= (ap_const_lv1_1 = ap_CS_fsm(11 downto 11));
end process;
-- ap_sig_bdd_535 assign process. --
ap_sig_bdd_535_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_535 <= (ap_const_lv1_1 = ap_CS_fsm(12 downto 12));
end process;
-- ap_sig_bdd_557 assign process. --
ap_sig_bdd_557_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_557 <= (ap_const_lv1_1 = ap_CS_fsm(13 downto 13));
end process;
-- ap_sig_bdd_577 assign process. --
ap_sig_bdd_577_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_577 <= (ap_const_lv1_1 = ap_CS_fsm(52 downto 52));
end process;
-- ap_sig_bdd_586 assign process. --
ap_sig_bdd_586_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_586 <= (ap_const_lv1_1 = ap_CS_fsm(83 downto 83));
end process;
-- ap_sig_bdd_595 assign process. --
ap_sig_bdd_595_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_595 <= (ap_const_lv1_1 = ap_CS_fsm(86 downto 86));
end process;
-- ap_sig_bdd_616 assign process. --
ap_sig_bdd_616_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_616 <= (ap_const_lv1_1 = ap_CS_fsm(87 downto 87));
end process;
-- ap_sig_bdd_635 assign process. --
ap_sig_bdd_635_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_635 <= (ap_const_lv1_1 = ap_CS_fsm(127 downto 127));
end process;
-- ap_sig_bdd_644 assign process. --
ap_sig_bdd_644_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_644 <= (ap_const_lv1_1 = ap_CS_fsm(128 downto 128));
end process;
-- ap_sig_bdd_659 assign process. --
ap_sig_bdd_659_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_659 <= (ap_const_lv1_1 = ap_CS_fsm(145 downto 145));
end process;
-- ap_sig_bdd_668 assign process. --
ap_sig_bdd_668_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_668 <= (ap_const_lv1_1 = ap_CS_fsm(147 downto 147));
end process;
-- ap_sig_bdd_690 assign process. --
ap_sig_bdd_690_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_690 <= (ap_const_lv1_1 = ap_CS_fsm(85 downto 85));
end process;
-- ap_sig_bdd_712 assign process. --
ap_sig_bdd_712_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_712 <= (ap_const_lv1_1 = ap_CS_fsm(146 downto 146));
end process;
-- ap_sig_bdd_728 assign process. --
ap_sig_bdd_728_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_728 <= (ap_const_lv1_1 = ap_CS_fsm(148 downto 148));
end process;
-- ap_sig_bdd_818 assign process. --
ap_sig_bdd_818_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_818 <= (ap_const_lv1_1 = ap_CS_fsm(123 downto 123));
end process;
-- ap_sig_bdd_837 assign process. --
ap_sig_bdd_837_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_837 <= (ap_const_lv1_1 = ap_CS_fsm(18 downto 18));
end process;
-- ap_sig_bdd_844 assign process. --
ap_sig_bdd_844_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_844 <= (ap_const_lv1_1 = ap_CS_fsm(24 downto 24));
end process;
-- ap_sig_bdd_852 assign process. --
ap_sig_bdd_852_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_852 <= (ap_const_lv1_1 = ap_CS_fsm(92 downto 92));
end process;
-- ap_sig_bdd_859 assign process. --
ap_sig_bdd_859_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_859 <= (ap_const_lv1_1 = ap_CS_fsm(98 downto 98));
end process;
-- ap_sig_cseq_ST_st103_fsm_102 assign process. --
ap_sig_cseq_ST_st103_fsm_102_assign_proc : process(ap_sig_bdd_351)
begin
if (ap_sig_bdd_351) then
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st104_fsm_103 assign process. --
ap_sig_cseq_ST_st104_fsm_103_assign_proc : process(ap_sig_bdd_368)
begin
if (ap_sig_bdd_368) then
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st10_fsm_9 assign process. --
ap_sig_cseq_ST_st10_fsm_9_assign_proc : process(ap_sig_bdd_496)
begin
if (ap_sig_bdd_496) then
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st11_fsm_10 assign process. --
ap_sig_cseq_ST_st11_fsm_10_assign_proc : process(ap_sig_bdd_260)
begin
if (ap_sig_bdd_260) then
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st122_fsm_121 assign process. --
ap_sig_cseq_ST_st122_fsm_121_assign_proc : process(ap_sig_bdd_385)
begin
if (ap_sig_bdd_385) then
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st123_fsm_122 assign process. --
ap_sig_cseq_ST_st123_fsm_122_assign_proc : process(ap_sig_bdd_402)
begin
if (ap_sig_bdd_402) then
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st124_fsm_123 assign process. --
ap_sig_cseq_ST_st124_fsm_123_assign_proc : process(ap_sig_bdd_818)
begin
if (ap_sig_bdd_818) then
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st128_fsm_127 assign process. --
ap_sig_cseq_ST_st128_fsm_127_assign_proc : process(ap_sig_bdd_635)
begin
if (ap_sig_bdd_635) then
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st129_fsm_128 assign process. --
ap_sig_cseq_ST_st129_fsm_128_assign_proc : process(ap_sig_bdd_644)
begin
if (ap_sig_bdd_644) then
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st12_fsm_11 assign process. --
ap_sig_cseq_ST_st12_fsm_11_assign_proc : process(ap_sig_bdd_505)
begin
if (ap_sig_bdd_505) then
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st130_fsm_129 assign process. --
ap_sig_cseq_ST_st130_fsm_129_assign_proc : process(ap_sig_bdd_283)
begin
if (ap_sig_bdd_283) then
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st13_fsm_12 assign process. --
ap_sig_cseq_ST_st13_fsm_12_assign_proc : process(ap_sig_bdd_535)
begin
if (ap_sig_bdd_535) then
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st146_fsm_145 assign process. --
ap_sig_cseq_ST_st146_fsm_145_assign_proc : process(ap_sig_bdd_659)
begin
if (ap_sig_bdd_659) then
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st147_fsm_146 assign process. --
ap_sig_cseq_ST_st147_fsm_146_assign_proc : process(ap_sig_bdd_712)
begin
if (ap_sig_bdd_712) then
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st148_fsm_147 assign process. --
ap_sig_cseq_ST_st148_fsm_147_assign_proc : process(ap_sig_bdd_668)
begin
if (ap_sig_bdd_668) then
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st149_fsm_148 assign process. --
ap_sig_cseq_ST_st149_fsm_148_assign_proc : process(ap_sig_bdd_728)
begin
if (ap_sig_bdd_728) then
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st14_fsm_13 assign process. --
ap_sig_cseq_ST_st14_fsm_13_assign_proc : process(ap_sig_bdd_557)
begin
if (ap_sig_bdd_557) then
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st150_fsm_149 assign process. --
ap_sig_cseq_ST_st150_fsm_149_assign_proc : process(ap_sig_bdd_1429)
begin
if (ap_sig_bdd_1429) then
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st15_fsm_14 assign process. --
ap_sig_cseq_ST_st15_fsm_14_assign_proc : process(ap_sig_bdd_268)
begin
if (ap_sig_bdd_268) then
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st18_fsm_17 assign process. --
ap_sig_cseq_ST_st18_fsm_17_assign_proc : process(ap_sig_bdd_311)
begin
if (ap_sig_bdd_311) then
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st19_fsm_18 assign process. --
ap_sig_cseq_ST_st19_fsm_18_assign_proc : process(ap_sig_bdd_837)
begin
if (ap_sig_bdd_837) then
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st1_fsm_0 assign process. --
ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_168)
begin
if (ap_sig_bdd_168) then
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st23_fsm_22 assign process. --
ap_sig_cseq_ST_st23_fsm_22_assign_proc : process(ap_sig_bdd_328)
begin
if (ap_sig_bdd_328) then
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st24_fsm_23 assign process. --
ap_sig_cseq_ST_st24_fsm_23_assign_proc : process(ap_sig_bdd_292)
begin
if (ap_sig_bdd_292) then
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st25_fsm_24 assign process. --
ap_sig_cseq_ST_st25_fsm_24_assign_proc : process(ap_sig_bdd_844)
begin
if (ap_sig_bdd_844) then
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st29_fsm_28 assign process. --
ap_sig_cseq_ST_st29_fsm_28_assign_proc : process(ap_sig_bdd_344)
begin
if (ap_sig_bdd_344) then
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st2_fsm_1 assign process. --
ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_458)
begin
if (ap_sig_bdd_458) then
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st30_fsm_29 assign process. --
ap_sig_cseq_ST_st30_fsm_29_assign_proc : process(ap_sig_bdd_361)
begin
if (ap_sig_bdd_361) then
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st3_fsm_2 assign process. --
ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_253)
begin
if (ap_sig_bdd_253) then
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st48_fsm_47 assign process. --
ap_sig_cseq_ST_st48_fsm_47_assign_proc : process(ap_sig_bdd_378)
begin
if (ap_sig_bdd_378) then
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st4_fsm_3 assign process. --
ap_sig_cseq_ST_st4_fsm_3_assign_proc : process(ap_sig_bdd_478)
begin
if (ap_sig_bdd_478) then
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st53_fsm_52 assign process. --
ap_sig_cseq_ST_st53_fsm_52_assign_proc : process(ap_sig_bdd_577)
begin
if (ap_sig_bdd_577) then
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st5_fsm_4 assign process. --
ap_sig_cseq_ST_st5_fsm_4_assign_proc : process(ap_sig_bdd_487)
begin
if (ap_sig_bdd_487) then
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st84_fsm_83 assign process. --
ap_sig_cseq_ST_st84_fsm_83_assign_proc : process(ap_sig_bdd_586)
begin
if (ap_sig_bdd_586) then
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st85_fsm_84 assign process. --
ap_sig_cseq_ST_st85_fsm_84_assign_proc : process(ap_sig_bdd_395)
begin
if (ap_sig_bdd_395) then
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st86_fsm_85 assign process. --
ap_sig_cseq_ST_st86_fsm_85_assign_proc : process(ap_sig_bdd_690)
begin
if (ap_sig_bdd_690) then
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st87_fsm_86 assign process. --
ap_sig_cseq_ST_st87_fsm_86_assign_proc : process(ap_sig_bdd_595)
begin
if (ap_sig_bdd_595) then
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st88_fsm_87 assign process. --
ap_sig_cseq_ST_st88_fsm_87_assign_proc : process(ap_sig_bdd_616)
begin
if (ap_sig_bdd_616) then
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st89_fsm_88 assign process. --
ap_sig_cseq_ST_st89_fsm_88_assign_proc : process(ap_sig_bdd_275)
begin
if (ap_sig_bdd_275) then
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st92_fsm_91 assign process. --
ap_sig_cseq_ST_st92_fsm_91_assign_proc : process(ap_sig_bdd_318)
begin
if (ap_sig_bdd_318) then
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st93_fsm_92 assign process. --
ap_sig_cseq_ST_st93_fsm_92_assign_proc : process(ap_sig_bdd_852)
begin
if (ap_sig_bdd_852) then
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st97_fsm_96 assign process. --
ap_sig_cseq_ST_st97_fsm_96_assign_proc : process(ap_sig_bdd_335)
begin
if (ap_sig_bdd_335) then
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st98_fsm_97 assign process. --
ap_sig_cseq_ST_st98_fsm_97_assign_proc : process(ap_sig_bdd_301)
begin
if (ap_sig_bdd_301) then
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st99_fsm_98 assign process. --
ap_sig_cseq_ST_st99_fsm_98_assign_proc : process(ap_sig_bdd_859)
begin
if (ap_sig_bdd_859) then
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_0;
end if;
end process;
grp_fu_421_ce <= ap_const_logic_1;
-- grp_fu_421_p0 assign process. --
grp_fu_421_p0_assign_proc : process(sum_reg_312, sumsoft_reg_335, sum_1_reg_358, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p0 <= sumsoft_reg_335;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p0 <= sum_1_reg_358;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24))) then
grp_fu_421_p0 <= sum_reg_312;
else
grp_fu_421_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_421_p1 assign process. --
grp_fu_421_p1_assign_proc : process(reg_499, reg_505, reg_532, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p1 <= reg_532;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p1 <= reg_499;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92))) then
grp_fu_421_p1 <= reg_505;
else
grp_fu_421_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_428_ce <= ap_const_logic_1;
-- grp_fu_428_p0 assign process. --
grp_fu_428_p0_assign_proc : process(ST_uOut_q0, ST_uOut_q1, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st89_fsm_88)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) then
grp_fu_428_p0 <= ST_uOut_q0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
grp_fu_428_p0 <= ST_uOut_q1;
else
grp_fu_428_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_435_ce <= ap_const_logic_1;
grp_fu_440_ce <= ap_const_logic_1;
-- grp_fu_444_p0 assign process. --
grp_fu_444_p0_assign_proc : process(reg_526, ap_sig_cseq_ST_st85_fsm_84, ap_sig_cseq_ST_st123_fsm_122, tmp_43_reg_1557)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122)) then
grp_fu_444_p0 <= reg_526;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84)) then
grp_fu_444_p0 <= tmp_43_reg_1557;
else
grp_fu_444_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_447_p0 assign process. --
grp_fu_447_p0_assign_proc : process(reg_516, ap_sig_cseq_ST_st30_fsm_29, ap_sig_cseq_ST_st104_fsm_103, tmp_39_fu_1177_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103)) then
grp_fu_447_p0 <= reg_516;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) then
grp_fu_447_p0 <= tmp_39_fu_1177_p1;
else
grp_fu_447_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_454_ce <= ap_const_logic_1;
grp_fu_459_ce <= ap_const_logic_1;
grp_fu_464_ce <= ap_const_logic_1;
-- grp_fu_469_p1 assign process. --
grp_fu_469_p1_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ST_numLayer, ST_numLayer_load_reg_1353, ap_sig_cseq_ST_st12_fsm_11)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) then
grp_fu_469_p1 <= ST_numLayer_load_reg_1353;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0)) then
grp_fu_469_p1 <= ST_numLayer;
else
grp_fu_469_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_469_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFF) + signed(grp_fu_469_p1));
i_2_cast_fu_1290_p1 <= std_logic_vector(resize(unsigned(i_2_reg_381),32));
i_3_fu_1105_p2 <= std_logic_vector(unsigned(i_reg_289) + unsigned(ap_const_lv31_1));
i_4_fu_798_p2 <= std_logic_vector(unsigned(ap_const_lv31_1) + unsigned(max_2_reg_266));
i_5_fu_1201_p2 <= std_logic_vector(unsigned(i_1_reg_347) + unsigned(ap_const_lv32_1));
i_6_fu_1299_p2 <= std_logic_vector(unsigned(i_2_reg_381) + unsigned(ap_const_lv31_1));
i_cast_fu_893_p1 <= std_logic_vector(resize(unsigned(i_reg_289),32));
j_1_cast_fu_1234_p1 <= std_logic_vector(resize(unsigned(j_1_reg_370),32));
j_2_fu_1072_p2 <= std_logic_vector(unsigned(j_reg_301) + unsigned(ap_const_lv32_1));
j_3_fu_1243_p2 <= std_logic_vector(unsigned(j_1_reg_370) + unsigned(ap_const_lv31_1));
k_1_fu_1120_p2 <= std_logic_vector(unsigned(k_reg_324) + unsigned(ap_const_lv31_1));
k_cast_fu_1111_p1 <= std_logic_vector(resize(unsigned(k_reg_324),32));
max_1_fu_887_p3 <=
max_2_cast_reg_1407 when (tmp_63_reg_1436(0) = '1') else
max_reg_277;
max_2_cast_fu_761_p1 <= std_logic_vector(resize(unsigned(max_2_reg_266),32));
notlhs1_fu_857_p2 <= "0" when (tmp_57_fu_825_p4 = ap_const_lv8_FF) else "1";
notlhs_fu_839_p2 <= "0" when (tmp_55_fu_808_p4 = ap_const_lv8_FF) else "1";
notrhs2_fu_863_p2 <= "1" when (tmp_97_fu_835_p1 = ap_const_lv23_0) else "0";
notrhs_fu_845_p2 <= "1" when (tmp_96_fu_818_p1 = ap_const_lv23_0) else "0";
p_shl10_cast_fu_641_p3 <= (tmp_72_fu_637_p1 & ap_const_lv5_0);
p_shl11_cast_fu_653_p3 <= (tmp_73_fu_649_p1 & ap_const_lv3_0);
p_shl12_cast_fu_589_p3 <= (tmp_74_fu_585_p1 & ap_const_lv5_0);
p_shl13_cast_fu_601_p3 <= (tmp_75_fu_597_p1 & ap_const_lv3_0);
p_shl1_cast_fu_707_p3 <= (tmp_12_fu_703_p1 & ap_const_lv3_0);
p_shl2_cast_fu_1023_p3 <= (tmp_67_fu_1019_p1 & ap_const_lv5_0);
p_shl3_cast_fu_1035_p3 <= (tmp_68_fu_1031_p1 & ap_const_lv3_0);
p_shl4_cast_fu_980_p3 <= (tmp_47_fu_976_p1 & ap_const_lv5_0);
p_shl5_cast_fu_992_p3 <= (tmp_51_fu_988_p1 & ap_const_lv3_0);
p_shl6_cast_fu_946_p3 <= (tmp_30_fu_942_p1 & ap_const_lv5_0);
p_shl7_cast_fu_958_p3 <= (tmp_36_fu_954_p1 & ap_const_lv3_0);
p_shl8_cast_fu_906_p3 <= (tmp_25_fu_902_p1 & ap_const_lv5_0);
p_shl9_cast_fu_918_p3 <= (tmp_26_fu_914_p1 & ap_const_lv3_0);
p_shl_cast_fu_695_p3 <= (tmp_11_fu_691_p1 & ap_const_lv5_0);
tmp_100_fu_1154_p1 <= tmp_53_reg_1507(14 - 1 downto 0);
tmp_101_fu_1249_p1 <= j_1_reg_370(9 - 1 downto 0);
tmp_102_fu_1253_p1 <= j_1_reg_370(14 - 1 downto 0);
tmp_103_fu_1277_p1 <= tmp_54_reg_1575(14 - 1 downto 0);
tmp_10_fu_573_p2 <= "1" when (P_mode = ap_const_lv32_6) else "0";
tmp_11_fu_691_p1 <= P_index1(9 - 1 downto 0);
tmp_12_fu_703_p1 <= P_index1(11 - 1 downto 0);
tmp_13_fu_715_p2 <= std_logic_vector(unsigned(p_shl_cast_fu_695_p3) + unsigned(p_shl1_cast_fu_707_p3));
tmp_14_fu_579_p2 <= "1" when (P_mode = ap_const_lv32_7) else "0";
tmp_15_fu_936_p2 <= std_logic_vector(signed(ap_const_lv31_7FFFFFFF) + signed(i_reg_289));
tmp_16_fu_721_p2 <= std_logic_vector(unsigned(tmp_7_fu_687_p1) + unsigned(tmp_13_fu_715_p2));
tmp_19_fu_1319_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed('0' &ap_const_lv14_29) * signed(tmp_16_reg_1399))), 14));
tmp_1_fu_538_p2 <= "1" when (P_mode = ap_const_lv32_1) else "0";
tmp_20_fu_1066_p2 <= "1" when (signed(j_reg_301) < signed(tmp_fu_1053_p6)) else "0";
tmp_21_cast_fu_1329_p1 <= std_logic_vector(resize(signed(tmp_21_reg_1639),64));
tmp_21_fu_1324_p2 <= std_logic_vector(unsigned(tmp_6_reg_1394) + unsigned(tmp_19_fu_1319_p2));
tmp_22_fu_1195_p2 <= "1" when (signed(i_1_reg_347) < signed(tmp_27_fu_1182_p6)) else "0";
tmp_23_fu_1014_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFE) + signed(ST_numLayer_load_reg_1353));
tmp_24_fu_765_p2 <= "1" when (signed(max_2_cast_fu_761_p1) < signed(tmp_31_reg_1384)) else "0";
tmp_25_fu_902_p1 <= i_reg_289(9 - 1 downto 0);
tmp_26_fu_914_p1 <= i_reg_289(11 - 1 downto 0);
tmp_28_fu_926_p2 <= std_logic_vector(unsigned(p_shl8_cast_fu_906_p3) + unsigned(p_shl9_cast_fu_918_p3));
tmp_29_fu_932_p1 <= i_reg_289(2 - 1 downto 0);
tmp_2_fu_549_p2 <= "1" when (P_mode = ap_const_lv32_2) else "0";
tmp_30_fu_942_p1 <= tmp_15_fu_936_p2(4 - 1 downto 0);
tmp_31_fu_619_p5 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_33_fu_1115_p2 <= "1" when (signed(k_cast_fu_1111_p1) < signed(tmp_53_reg_1507)) else "0";
tmp_34_fu_1238_p2 <= "1" when (signed(j_1_cast_fu_1234_p1) < signed(tmp_54_reg_1575)) else "0";
tmp_35_fu_1294_p2 <= "1" when (signed(i_2_cast_fu_1290_p1) < signed(tmp_27_reg_1562)) else "0";
tmp_36_fu_954_p1 <= tmp_15_fu_936_p2(6 - 1 downto 0);
tmp_38_fu_966_p2 <= std_logic_vector(unsigned(p_shl6_cast_fu_946_p3) + unsigned(p_shl7_cast_fu_958_p3));
tmp_39_fu_1177_p1 <= tmp_39_neg_fu_1171_p2;
tmp_39_neg_fu_1171_p2 <= (tmp_39_to_int_fu_1167_p1 xor ap_const_lv32_80000000);
tmp_39_to_int_fu_1167_p1 <= reg_516;
tmp_3_fu_897_p2 <= "1" when (signed(i_cast_fu_893_p1) < signed(ST_numLayer_load_reg_1353)) else "0";
tmp_45_fu_972_p1 <= tmp_15_fu_936_p2(2 - 1 downto 0);
tmp_47_fu_976_p1 <= grp_fu_469_p2(9 - 1 downto 0);
tmp_4_fu_555_p2 <= "1" when (P_mode = ap_const_lv32_3) else "0";
tmp_51_fu_988_p1 <= grp_fu_469_p2(11 - 1 downto 0);
tmp_55_fu_808_p4 <= ST_uOut_load_1_to_int_fu_804_p1(30 downto 23);
tmp_56_fu_1000_p2 <= std_logic_vector(unsigned(p_shl4_cast_fu_980_p3) + unsigned(p_shl5_cast_fu_992_p3));
tmp_57_fu_825_p4 <= ST_uOut_load_2_to_int_fu_822_p1(30 downto 23);
tmp_58_fu_1006_p1 <= tmp_56_fu_1000_p2(9 - 1 downto 0);
tmp_59_fu_851_p2 <= (notrhs_fu_845_p2 or notlhs_fu_839_p2);
tmp_5_fu_727_p1 <= P_index1(2 - 1 downto 0);
tmp_60_fu_869_p2 <= (notrhs2_fu_863_p2 or notlhs1_fu_857_p2);
tmp_61_fu_875_p2 <= (tmp_59_fu_851_p2 and tmp_60_fu_869_p2);
tmp_62_fu_450_opcode <= ap_const_lv5_2;
tmp_63_fu_881_p2 <= (tmp_61_fu_875_p2 and tmp_62_fu_450_p2);
tmp_64_fu_1010_p1 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_65_fu_661_p2 <= std_logic_vector(unsigned(p_shl10_cast_fu_641_p3) + unsigned(p_shl11_cast_fu_653_p3));
tmp_66_cast_fu_673_p1 <= std_logic_vector(resize(signed(tmp_66_fu_667_p2),64));
tmp_66_fu_667_p2 <= std_logic_vector(unsigned(tmp_71_fu_633_p1) + unsigned(tmp_65_fu_661_p2));
tmp_67_fu_1019_p1 <= tmp_23_fu_1014_p2(4 - 1 downto 0);
tmp_68_fu_1031_p1 <= tmp_23_fu_1014_p2(6 - 1 downto 0);
tmp_69_fu_1043_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_1023_p3) + unsigned(p_shl3_cast_fu_1035_p3));
tmp_6_fu_683_p1 <= P_intIn_index3(14 - 1 downto 0);
tmp_70_fu_1049_p1 <= tmp_23_fu_1014_p2(2 - 1 downto 0);
tmp_71_fu_633_p1 <= P_index2(9 - 1 downto 0);
tmp_72_fu_637_p1 <= P_index1(4 - 1 downto 0);
tmp_73_fu_649_p1 <= P_index1(6 - 1 downto 0);
tmp_74_fu_585_p1 <= grp_fu_469_p2(4 - 1 downto 0);
tmp_75_fu_597_p1 <= grp_fu_469_p2(6 - 1 downto 0);
tmp_76_fu_609_p2 <= std_logic_vector(unsigned(p_shl12_cast_fu_589_p3) + unsigned(p_shl13_cast_fu_601_p3));
tmp_78_fu_1091_p1 <= j_reg_301(14 - 1 downto 0);
tmp_79_fu_1095_p2 <= std_logic_vector(unsigned(tmp_28_reg_1454) + unsigned(tmp_78_fu_1091_p1));
tmp_7_fu_687_p1 <= P_index2(14 - 1 downto 0);
tmp_80_fu_1333_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_81_fu_1220_p1 <= i_1_reg_347(14 - 1 downto 0);
tmp_82_cast_fu_1100_p1 <= std_logic_vector(resize(signed(tmp_79_fu_1095_p2),64));
tmp_82_fu_1224_p2 <= std_logic_vector(unsigned(tmp_56_reg_1474) + unsigned(tmp_81_fu_1220_p1));
tmp_83_fu_1339_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_84_cast_fu_1229_p1 <= std_logic_vector(resize(signed(tmp_82_fu_1224_p2),64));
tmp_84_fu_1305_p1 <= i_2_reg_381(9 - 1 downto 0);
tmp_85_fu_1309_p2 <= std_logic_vector(unsigned(tmp_58_reg_1479) + unsigned(tmp_84_fu_1305_p1));
tmp_86_cast_fu_779_p1 <= std_logic_vector(resize(signed(tmp_86_fu_774_p2),64));
tmp_86_fu_774_p2 <= std_logic_vector(unsigned(tmp_94_fu_770_p1) + unsigned(tmp_76_reg_1378));
tmp_87_cast_fu_793_p1 <= std_logic_vector(resize(signed(tmp_87_fu_788_p2),64));
tmp_87_fu_788_p2 <= std_logic_vector(unsigned(tmp_95_fu_784_p1) + unsigned(tmp_76_reg_1378));
tmp_88_cast_fu_1139_p1 <= std_logic_vector(resize(signed(tmp_88_fu_1134_p2),64));
tmp_88_fu_1134_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_99_fu_1130_p1));
tmp_89_cast_fu_1149_p1 <= std_logic_vector(resize(unsigned(tmp_89_fu_1144_p2),64));
tmp_89_fu_1144_p2 <= std_logic_vector(unsigned(tmp_38_reg_1464) + unsigned(tmp_98_fu_1126_p1));
tmp_8_fu_561_p2 <= "1" when (P_mode = ap_const_lv32_4) else "0";
tmp_90_cast_fu_1162_p1 <= std_logic_vector(resize(signed(tmp_90_fu_1157_p2),64));
tmp_90_fu_1157_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_100_fu_1154_p1));
tmp_91_cast_fu_1262_p1 <= std_logic_vector(resize(signed(tmp_91_fu_1257_p2),64));
tmp_91_fu_1257_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_102_fu_1253_p1));
tmp_92_cast_fu_1272_p1 <= std_logic_vector(resize(signed(tmp_92_fu_1267_p2),64));
tmp_92_fu_1267_p2 <= std_logic_vector(unsigned(tmp_69_reg_1489) + unsigned(tmp_101_fu_1249_p1));
tmp_93_cast_fu_1285_p1 <= std_logic_vector(resize(signed(tmp_93_fu_1280_p2),64));
tmp_93_fu_1280_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_103_fu_1277_p1));
tmp_94_cast_fu_1314_p1 <= std_logic_vector(resize(signed(tmp_85_fu_1309_p2),64));
tmp_94_fu_770_p1 <= max_2_reg_266(9 - 1 downto 0);
tmp_95_fu_784_p1 <= max_reg_277(9 - 1 downto 0);
tmp_96_fu_818_p1 <= ST_uOut_load_1_to_int_fu_804_p1(23 - 1 downto 0);
tmp_97_fu_835_p1 <= ST_uOut_load_2_to_int_fu_822_p1(23 - 1 downto 0);
tmp_98_fu_1126_p1 <= k_reg_324(9 - 1 downto 0);
tmp_99_fu_1130_p1 <= k_reg_324(14 - 1 downto 0);
tmp_9_fu_678_p1 <= std_logic_vector(resize(signed(P_index1),64));
tmp_s_fu_567_p2 <= "1" when (P_mode = ap_const_lv32_5) else "0";
end behav;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ANN is
generic (
C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 7;
C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
s_axi_AXILiteS_AWVALID : IN STD_LOGIC;
s_axi_AXILiteS_AWREADY : OUT STD_LOGIC;
s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_WVALID : IN STD_LOGIC;
s_axi_AXILiteS_WREADY : OUT STD_LOGIC;
s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0);
s_axi_AXILiteS_ARVALID : IN STD_LOGIC;
s_axi_AXILiteS_ARREADY : OUT STD_LOGIC;
s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_RVALID : OUT STD_LOGIC;
s_axi_AXILiteS_RREADY : IN STD_LOGIC;
s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_AXILiteS_BVALID : OUT STD_LOGIC;
s_axi_AXILiteS_BREADY : IN STD_LOGIC;
s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of ANN is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"ANN,hls_ip_2015_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.621000,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=18,HLS_SYN_DSP=37,HLS_SYN_FF=8935,HLS_SYN_LUT=12780}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010";
constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100";
constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000";
constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000";
constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000";
constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000";
constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000";
constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000";
constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000";
constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000";
constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000";
constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000";
constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000";
constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000";
constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000";
constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000";
constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000";
constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000";
constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000";
constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000";
constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000";
constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000";
constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000";
constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000";
constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000";
constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000";
constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000";
constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000";
constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000";
constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000";
constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000";
constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000";
constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000";
constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000";
constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000";
constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000";
constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000";
constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000";
constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000";
constant ap_ST_st41_fsm_40 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000";
constant ap_ST_st42_fsm_41 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000";
constant ap_ST_st43_fsm_42 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000";
constant ap_ST_st44_fsm_43 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000";
constant ap_ST_st45_fsm_44 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000";
constant ap_ST_st46_fsm_45 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000";
constant ap_ST_st47_fsm_46 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000";
constant ap_ST_st48_fsm_47 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000";
constant ap_ST_st49_fsm_48 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000";
constant ap_ST_st50_fsm_49 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000";
constant ap_ST_st51_fsm_50 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000";
constant ap_ST_st52_fsm_51 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000";
constant ap_ST_st53_fsm_52 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000";
constant ap_ST_st54_fsm_53 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000";
constant ap_ST_st55_fsm_54 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000";
constant ap_ST_st56_fsm_55 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000";
constant ap_ST_st57_fsm_56 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000";
constant ap_ST_st58_fsm_57 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st59_fsm_58 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st60_fsm_59 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st61_fsm_60 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st62_fsm_61 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st63_fsm_62 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st64_fsm_63 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st65_fsm_64 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st66_fsm_65 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st67_fsm_66 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st68_fsm_67 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st69_fsm_68 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st70_fsm_69 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st71_fsm_70 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st72_fsm_71 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st73_fsm_72 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st74_fsm_73 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st75_fsm_74 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st76_fsm_75 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st77_fsm_76 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st78_fsm_77 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st79_fsm_78 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st80_fsm_79 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st81_fsm_80 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st82_fsm_81 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st83_fsm_82 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st84_fsm_83 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st85_fsm_84 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st86_fsm_85 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st87_fsm_86 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st88_fsm_87 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st89_fsm_88 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st90_fsm_89 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st91_fsm_90 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st92_fsm_91 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st93_fsm_92 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st94_fsm_93 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st95_fsm_94 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st96_fsm_95 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st97_fsm_96 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st98_fsm_97 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st99_fsm_98 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st100_fsm_99 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st101_fsm_100 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st102_fsm_101 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st103_fsm_102 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st104_fsm_103 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st105_fsm_104 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st106_fsm_105 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st107_fsm_106 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st108_fsm_107 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st109_fsm_108 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st110_fsm_109 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st111_fsm_110 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st112_fsm_111 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st113_fsm_112 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st114_fsm_113 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st115_fsm_114 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st116_fsm_115 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st117_fsm_116 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st118_fsm_117 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st119_fsm_118 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st120_fsm_119 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st121_fsm_120 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st122_fsm_121 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st123_fsm_122 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st124_fsm_123 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st125_fsm_124 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st126_fsm_125 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st127_fsm_126 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st128_fsm_127 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st129_fsm_128 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st130_fsm_129 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st131_fsm_130 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st132_fsm_131 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st133_fsm_132 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st134_fsm_133 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st135_fsm_134 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st136_fsm_135 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st137_fsm_136 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st138_fsm_137 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st139_fsm_138 : STD_LOGIC_VECTOR (149 downto 0) := "000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st140_fsm_139 : STD_LOGIC_VECTOR (149 downto 0) := "000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st141_fsm_140 : STD_LOGIC_VECTOR (149 downto 0) := "000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st142_fsm_141 : STD_LOGIC_VECTOR (149 downto 0) := "000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st143_fsm_142 : STD_LOGIC_VECTOR (149 downto 0) := "000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st144_fsm_143 : STD_LOGIC_VECTOR (149 downto 0) := "000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st145_fsm_144 : STD_LOGIC_VECTOR (149 downto 0) := "000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st146_fsm_145 : STD_LOGIC_VECTOR (149 downto 0) := "000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st147_fsm_146 : STD_LOGIC_VECTOR (149 downto 0) := "000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st148_fsm_147 : STD_LOGIC_VECTOR (149 downto 0) := "001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st149_fsm_148 : STD_LOGIC_VECTOR (149 downto 0) := "010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st150_fsm_149 : STD_LOGIC_VECTOR (149 downto 0) := "100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010";
constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110";
constant ap_const_lv32_58 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011000";
constant ap_const_lv32_81 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000001";
constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111";
constant ap_const_lv32_61 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100001";
constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001";
constant ap_const_lv32_5B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011011";
constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110";
constant ap_const_lv32_60 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100000";
constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100";
constant ap_const_lv32_66 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100110";
constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101";
constant ap_const_lv32_67 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100111";
constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111";
constant ap_const_lv32_79 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111001";
constant ap_const_lv32_54 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010100";
constant ap_const_lv32_7A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111010";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100";
constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001";
constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011";
constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100";
constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101";
constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100";
constant ap_const_lv32_53 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010011";
constant ap_const_lv32_56 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010110";
constant ap_const_lv32_57 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010111";
constant ap_const_lv32_7F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111111";
constant ap_const_lv32_80 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000000";
constant ap_const_lv32_91 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010001";
constant ap_const_lv32_93 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010011";
constant ap_const_lv31_1 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000001";
constant ap_const_lv32_55 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010101";
constant ap_const_lv31_0 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000000";
constant ap_const_lv32_92 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010010";
constant ap_const_lv32_94 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010100";
constant ap_const_lv32_BF800000 : STD_LOGIC_VECTOR (31 downto 0) := "10111111100000000000000000000000";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv32_7B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111011";
constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010";
constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000";
constant ap_const_lv32_5C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011100";
constant ap_const_lv32_62 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100010";
constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110";
constant ap_const_lv32_FFFFFFFF : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111111";
constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101";
constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110";
constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111";
constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000";
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111";
constant ap_const_lv23_0 : STD_LOGIC_VECTOR (22 downto 0) := "00000000000000000000000";
constant ap_const_lv31_7FFFFFFF : STD_LOGIC_VECTOR (30 downto 0) := "1111111111111111111111111111111";
constant ap_const_lv32_FFFFFFFE : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111110";
constant ap_const_lv32_80000000 : STD_LOGIC_VECTOR (31 downto 0) := "10000000000000000000000000000000";
constant ap_const_lv14_29 : STD_LOGIC_VECTOR (13 downto 0) := "00000000101001";
constant ap_const_lv5_2 : STD_LOGIC_VECTOR (4 downto 0) := "00010";
constant ap_const_lv32_95 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010101";
constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000";
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC;
signal ap_sig_bdd_168 : BOOLEAN;
signal ap_ready : STD_LOGIC;
signal P_mode : STD_LOGIC_VECTOR (31 downto 0);
signal P_index1 : STD_LOGIC_VECTOR (31 downto 0);
signal P_index2 : STD_LOGIC_VECTOR (31 downto 0);
signal P_intIn_index3 : STD_LOGIC_VECTOR (31 downto 0);
signal P_floatIn : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_WandB_address0 : STD_LOGIC_VECTOR (12 downto 0);
signal ST_WandB_ce0 : STD_LOGIC;
signal ST_WandB_we0 : STD_LOGIC;
signal ST_WandB_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_WandB_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address0 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce0 : STD_LOGIC;
signal ST_uOut_we0 : STD_LOGIC;
signal ST_uOut_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address1 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce1 : STD_LOGIC;
signal ST_uOut_we1 : STD_LOGIC;
signal ST_uOut_d1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_layerSize_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ap_return : STD_LOGIC_VECTOR (31 downto 0);
signal ANN_AXILiteS_s_axi_U_ap_dummy_ce : STD_LOGIC;
signal reg_490 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC;
signal ap_sig_bdd_253 : BOOLEAN;
signal ap_sig_cseq_ST_st11_fsm_10 : STD_LOGIC;
signal ap_sig_bdd_260 : BOOLEAN;
signal ap_sig_cseq_ST_st15_fsm_14 : STD_LOGIC;
signal ap_sig_bdd_268 : BOOLEAN;
signal ap_sig_cseq_ST_st89_fsm_88 : STD_LOGIC;
signal ap_sig_bdd_275 : BOOLEAN;
signal ap_sig_cseq_ST_st130_fsm_129 : STD_LOGIC;
signal ap_sig_bdd_283 : BOOLEAN;
signal reg_499 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st24_fsm_23 : STD_LOGIC;
signal ap_sig_bdd_292 : BOOLEAN;
signal ap_sig_cseq_ST_st98_fsm_97 : STD_LOGIC;
signal ap_sig_bdd_301 : BOOLEAN;
signal grp_fu_428_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_505 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st18_fsm_17 : STD_LOGIC;
signal ap_sig_bdd_311 : BOOLEAN;
signal ap_sig_cseq_ST_st92_fsm_91 : STD_LOGIC;
signal ap_sig_bdd_318 : BOOLEAN;
signal grp_fu_421_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st23_fsm_22 : STD_LOGIC;
signal ap_sig_bdd_328 : BOOLEAN;
signal ap_sig_cseq_ST_st97_fsm_96 : STD_LOGIC;
signal ap_sig_bdd_335 : BOOLEAN;
signal reg_516 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st29_fsm_28 : STD_LOGIC;
signal ap_sig_bdd_344 : BOOLEAN;
signal ap_sig_cseq_ST_st103_fsm_102 : STD_LOGIC;
signal ap_sig_bdd_351 : BOOLEAN;
signal grp_fu_447_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_521 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st30_fsm_29 : STD_LOGIC;
signal ap_sig_bdd_361 : BOOLEAN;
signal ap_sig_cseq_ST_st104_fsm_103 : STD_LOGIC;
signal ap_sig_bdd_368 : BOOLEAN;
signal grp_fu_464_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_526 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st48_fsm_47 : STD_LOGIC;
signal ap_sig_bdd_378 : BOOLEAN;
signal ap_sig_cseq_ST_st122_fsm_121 : STD_LOGIC;
signal ap_sig_bdd_385 : BOOLEAN;
signal grp_fu_444_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_532 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st85_fsm_84 : STD_LOGIC;
signal ap_sig_bdd_395 : BOOLEAN;
signal ap_sig_cseq_ST_st123_fsm_122 : STD_LOGIC;
signal ap_sig_bdd_402 : BOOLEAN;
signal P_floatIn_read_reg_1345 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer_load_reg_1353 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_76_fu_609_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_76_reg_1378 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_1_fu_538_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_2_fu_549_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_4_fu_555_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_8_fu_561_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_s_fu_567_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_10_fu_573_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_14_fu_579_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_31_fu_619_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_31_reg_1384 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_6_fu_683_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_6_reg_1394 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_fu_721_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_reg_1399 : STD_LOGIC_VECTOR (13 downto 0);
signal max_2_cast_fu_761_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal max_2_cast_reg_1407 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC;
signal ap_sig_bdd_458 : BOOLEAN;
signal tmp_24_fu_765_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_4_fu_798_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_4_reg_1425 : STD_LOGIC_VECTOR (30 downto 0);
signal ST_uOut_load_2_reg_1430 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_63_fu_881_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_63_reg_1436 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st4_fsm_3 : STD_LOGIC;
signal ap_sig_bdd_478 : BOOLEAN;
signal max_1_fu_887_p3 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st5_fsm_4 : STD_LOGIC;
signal ap_sig_bdd_487 : BOOLEAN;
signal grp_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st10_fsm_9 : STD_LOGIC;
signal ap_sig_bdd_496 : BOOLEAN;
signal tmp_28_fu_926_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_28_reg_1454 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st12_fsm_11 : STD_LOGIC;
signal ap_sig_bdd_505 : BOOLEAN;
signal tmp_3_fu_897_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_29_fu_932_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_29_reg_1459 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_38_fu_966_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_38_reg_1464 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_45_fu_972_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_45_reg_1469 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_56_fu_1000_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_56_reg_1474 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_58_fu_1006_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_58_reg_1479 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_64_fu_1010_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_64_reg_1484 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_69_fu_1043_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_69_reg_1489 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_70_fu_1049_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_70_reg_1494 : STD_LOGIC_VECTOR (1 downto 0);
signal j_2_fu_1072_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal j_2_reg_1502 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st13_fsm_12 : STD_LOGIC;
signal ap_sig_bdd_535 : BOOLEAN;
signal tmp_53_fu_1078_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_53_reg_1507 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_20_fu_1066_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_80_fu_1333_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_reg_1513 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_5_reg_1519 : STD_LOGIC_VECTOR (7 downto 0);
signal i_3_fu_1105_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_fu_1120_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_reg_1532 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st14_fsm_13 : STD_LOGIC;
signal ap_sig_bdd_557 : BOOLEAN;
signal tmp_33_fu_1115_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_454_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_42_reg_1552 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st53_fsm_52 : STD_LOGIC;
signal ap_sig_bdd_577 : BOOLEAN;
signal grp_fu_459_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_43_reg_1557 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st84_fsm_83 : STD_LOGIC;
signal ap_sig_bdd_586 : BOOLEAN;
signal tmp_27_fu_1182_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_27_reg_1562 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st87_fsm_86 : STD_LOGIC;
signal ap_sig_bdd_595 : BOOLEAN;
signal i_5_fu_1201_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal i_5_reg_1570 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_fu_1207_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_reg_1575 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_22_fu_1195_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_83_fu_1339_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_83_reg_1581 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_7_reg_1587 : STD_LOGIC_VECTOR (7 downto 0);
signal j_3_fu_1243_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal j_3_reg_1595 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st88_fsm_87 : STD_LOGIC;
signal ap_sig_bdd_616 : BOOLEAN;
signal tmp_34_fu_1238_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st128_fsm_127 : STD_LOGIC;
signal ap_sig_bdd_635 : BOOLEAN;
signal i_6_fu_1299_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_6_reg_1623 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st129_fsm_128 : STD_LOGIC;
signal ap_sig_bdd_644 : BOOLEAN;
signal ST_uOut_addr_8_reg_1628 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_35_fu_1294_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_435_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_52_reg_1634 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st146_fsm_145 : STD_LOGIC;
signal ap_sig_bdd_659 : BOOLEAN;
signal tmp_21_fu_1324_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_21_reg_1639 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st148_fsm_147 : STD_LOGIC;
signal ap_sig_bdd_668 : BOOLEAN;
signal max_2_reg_266 : STD_LOGIC_VECTOR (30 downto 0);
signal max_reg_277 : STD_LOGIC_VECTOR (31 downto 0);
signal i_reg_289 : STD_LOGIC_VECTOR (30 downto 0);
signal j_reg_301 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st86_fsm_85 : STD_LOGIC;
signal ap_sig_bdd_690 : BOOLEAN;
signal sum_reg_312 : STD_LOGIC_VECTOR (31 downto 0);
signal k_reg_324 : STD_LOGIC_VECTOR (30 downto 0);
signal sumsoft_reg_335 : STD_LOGIC_VECTOR (31 downto 0);
signal i_1_reg_347 : STD_LOGIC_VECTOR (31 downto 0);
signal sum_1_reg_358 : STD_LOGIC_VECTOR (31 downto 0);
signal j_1_reg_370 : STD_LOGIC_VECTOR (30 downto 0);
signal i_2_reg_381 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st147_fsm_146 : STD_LOGIC;
signal ap_sig_bdd_712 : BOOLEAN;
signal p_0_reg_392 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st149_fsm_148 : STD_LOGIC;
signal ap_sig_bdd_728 : BOOLEAN;
signal tmp_66_cast_fu_673_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_9_fu_678_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_86_cast_fu_779_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_87_cast_fu_793_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_82_cast_fu_1100_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_88_cast_fu_1139_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_89_cast_fu_1149_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_90_cast_fu_1162_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_84_cast_fu_1229_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_91_cast_fu_1262_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_92_cast_fu_1272_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_93_cast_fu_1285_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_94_cast_fu_1314_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_21_cast_fu_1329_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_5_fu_727_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal ap_sig_cseq_ST_st124_fsm_123 : STD_LOGIC;
signal ap_sig_bdd_818 : BOOLEAN;
signal grp_fu_421_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_421_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st19_fsm_18 : STD_LOGIC;
signal ap_sig_bdd_837 : BOOLEAN;
signal ap_sig_cseq_ST_st25_fsm_24 : STD_LOGIC;
signal ap_sig_bdd_844 : BOOLEAN;
signal ap_sig_cseq_ST_st93_fsm_92 : STD_LOGIC;
signal ap_sig_bdd_852 : BOOLEAN;
signal ap_sig_cseq_ST_st99_fsm_98 : STD_LOGIC;
signal ap_sig_bdd_859 : BOOLEAN;
signal grp_fu_428_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_444_p0 : STD_LOGIC_VECTOR (63 downto 0);
signal grp_fu_447_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_fu_1177_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_74_fu_585_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_75_fu_597_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl12_cast_fu_589_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl13_cast_fu_601_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_31_fu_619_p5 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_72_fu_637_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_73_fu_649_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl10_cast_fu_641_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl11_cast_fu_653_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_71_fu_633_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_65_fu_661_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_66_fu_667_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_11_fu_691_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_12_fu_703_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl_cast_fu_695_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl1_cast_fu_707_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_7_fu_687_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_13_fu_715_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_94_fu_770_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_86_fu_774_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_95_fu_784_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_87_fu_788_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal ST_uOut_load_1_to_int_fu_804_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_load_2_to_int_fu_822_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_55_fu_808_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_96_fu_818_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs_fu_845_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs_fu_839_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_57_fu_825_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_97_fu_835_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs2_fu_863_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs1_fu_857_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_59_fu_851_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_60_fu_869_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_61_fu_875_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_62_fu_450_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_cast_fu_893_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_25_fu_902_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_26_fu_914_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl8_cast_fu_906_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl9_cast_fu_918_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_15_fu_936_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal tmp_30_fu_942_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_36_fu_954_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl6_cast_fu_946_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl7_cast_fu_958_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_47_fu_976_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_51_fu_988_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl4_cast_fu_980_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl5_cast_fu_992_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_23_fu_1014_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_67_fu_1019_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_68_fu_1031_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl2_cast_fu_1023_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl3_cast_fu_1035_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_fu_1053_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_78_fu_1091_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_79_fu_1095_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 : string;
attribute use_dsp48 of tmp_79_fu_1095_p2 : signal is "no";
signal k_cast_fu_1111_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_99_fu_1130_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_88_fu_1134_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_88_fu_1134_p2 : signal is "no";
signal tmp_98_fu_1126_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_89_fu_1144_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_100_fu_1154_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_90_fu_1157_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_90_fu_1157_p2 : signal is "no";
signal tmp_39_to_int_fu_1167_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_neg_fu_1171_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_81_fu_1220_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_82_fu_1224_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_82_fu_1224_p2 : signal is "no";
signal j_1_cast_fu_1234_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_102_fu_1253_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_91_fu_1257_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_91_fu_1257_p2 : signal is "no";
signal tmp_101_fu_1249_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_92_fu_1267_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_103_fu_1277_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_93_fu_1280_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_93_fu_1280_p2 : signal is "no";
signal i_2_cast_fu_1290_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_84_fu_1305_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_85_fu_1309_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_19_fu_1319_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_fu_1333_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal tmp_83_fu_1339_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal grp_fu_421_ce : STD_LOGIC;
signal grp_fu_428_ce : STD_LOGIC;
signal grp_fu_435_ce : STD_LOGIC;
signal grp_fu_440_ce : STD_LOGIC;
signal tmp_62_fu_450_opcode : STD_LOGIC_VECTOR (4 downto 0);
signal grp_fu_454_ce : STD_LOGIC;
signal grp_fu_459_ce : STD_LOGIC;
signal grp_fu_464_ce : STD_LOGIC;
signal ap_sig_cseq_ST_st150_fsm_149 : STD_LOGIC;
signal ap_sig_bdd_1429 : BOOLEAN;
signal ap_NS_fsm : STD_LOGIC_VECTOR (149 downto 0);
component ANN_fadd_32ns_32ns_32_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fmul_32ns_32ns_32_4_max_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fdiv_32ns_32ns_32_16 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_sitofp_32ns_32_6 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fptrunc_64ns_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fpext_32ns_64_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_fcmp_32ns_32ns_1_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
opcode : IN STD_LOGIC_VECTOR (4 downto 0);
dout : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
component ANN_dadd_64ns_64ns_64_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_ddiv_64ns_64ns_64_31 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_dexp_64ns_64ns_64_18_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_mux_4to1_sel2_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din1_WIDTH : INTEGER;
din2_WIDTH : INTEGER;
din3_WIDTH : INTEGER;
din4_WIDTH : INTEGER;
din5_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
din2 : IN STD_LOGIC_VECTOR (31 downto 0);
din3 : IN STD_LOGIC_VECTOR (31 downto 0);
din4 : IN STD_LOGIC_VECTOR (31 downto 0);
din5 : IN STD_LOGIC_VECTOR (1 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_mul_mul_7ns_14s_14_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (6 downto 0);
din1 : IN STD_LOGIC_VECTOR (13 downto 0);
dout : OUT STD_LOGIC_VECTOR (13 downto 0) );
end component;
component ANN_ST_WandB IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (12 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_ST_uOut IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (7 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0);
address1 : IN STD_LOGIC_VECTOR (7 downto 0);
ce1 : IN STD_LOGIC;
we1 : IN STD_LOGIC;
d1 : IN STD_LOGIC_VECTOR (31 downto 0);
q1 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_AXILiteS_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_return : IN STD_LOGIC_VECTOR (31 downto 0);
P_mode : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index1 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index2 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_intIn_index3 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_floatIn : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
begin
ST_WandB_U : component ANN_ST_WandB
generic map (
DataWidth => 32,
AddressRange => 6560,
AddressWidth => 13)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_WandB_address0,
ce0 => ST_WandB_ce0,
we0 => ST_WandB_we0,
d0 => ST_WandB_d0,
q0 => ST_WandB_q0);
ST_uOut_U : component ANN_ST_uOut
generic map (
DataWidth => 32,
AddressRange => 160,
AddressWidth => 8)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_uOut_address0,
ce0 => ST_uOut_ce0,
we0 => ST_uOut_we0,
d0 => ST_uOut_d0,
q0 => ST_uOut_q0,
address1 => ST_uOut_address1,
ce1 => ST_uOut_ce1,
we1 => ST_uOut_we1,
d1 => ST_uOut_d1,
q1 => ST_uOut_q1);
ANN_AXILiteS_s_axi_U : component ANN_AXILiteS_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH)
port map (
AWVALID => s_axi_AXILiteS_AWVALID,
AWREADY => s_axi_AXILiteS_AWREADY,
AWADDR => s_axi_AXILiteS_AWADDR,
WVALID => s_axi_AXILiteS_WVALID,
WREADY => s_axi_AXILiteS_WREADY,
WDATA => s_axi_AXILiteS_WDATA,
WSTRB => s_axi_AXILiteS_WSTRB,
ARVALID => s_axi_AXILiteS_ARVALID,
ARREADY => s_axi_AXILiteS_ARREADY,
ARADDR => s_axi_AXILiteS_ARADDR,
RVALID => s_axi_AXILiteS_RVALID,
RREADY => s_axi_AXILiteS_RREADY,
RDATA => s_axi_AXILiteS_RDATA,
RRESP => s_axi_AXILiteS_RRESP,
BVALID => s_axi_AXILiteS_BVALID,
BREADY => s_axi_AXILiteS_BREADY,
BRESP => s_axi_AXILiteS_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ANN_AXILiteS_s_axi_U_ap_dummy_ce,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
ap_return => ap_return,
P_mode => P_mode,
P_index1 => P_index1,
P_index2 => P_index2,
P_intIn_index3 => P_intIn_index3,
P_floatIn => P_floatIn);
ANN_fadd_32ns_32ns_32_5_full_dsp_U0 : component ANN_fadd_32ns_32ns_32_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_421_p0,
din1 => grp_fu_421_p1,
ce => grp_fu_421_ce,
dout => grp_fu_421_p2);
ANN_fmul_32ns_32ns_32_4_max_dsp_U1 : component ANN_fmul_32ns_32ns_32_4_max_dsp
generic map (
ID => 1,
NUM_STAGE => 4,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_428_p0,
din1 => ST_WandB_q0,
ce => grp_fu_428_ce,
dout => grp_fu_428_p2);
ANN_fdiv_32ns_32ns_32_16_U2 : component ANN_fdiv_32ns_32ns_32_16
generic map (
ID => 1,
NUM_STAGE => 16,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_490,
din1 => sumsoft_reg_335,
ce => grp_fu_435_ce,
dout => grp_fu_435_p2);
ANN_sitofp_32ns_32_6_U3 : component ANN_sitofp_32ns_32_6
generic map (
ID => 1,
NUM_STAGE => 6,
din0_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => max_reg_277,
ce => grp_fu_440_ce,
dout => grp_fu_440_p1);
ANN_fptrunc_64ns_32_1_U4 : component ANN_fptrunc_64ns_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 64,
dout_WIDTH => 32)
port map (
din0 => grp_fu_444_p0,
dout => grp_fu_444_p1);
ANN_fpext_32ns_64_1_U5 : component ANN_fpext_32ns_64_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
dout_WIDTH => 64)
port map (
din0 => grp_fu_447_p0,
dout => grp_fu_447_p1);
ANN_fcmp_32ns_32ns_1_1_U6 : component ANN_fcmp_32ns_32ns_1_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 1)
port map (
din0 => reg_490,
din1 => ST_uOut_load_2_reg_1430,
opcode => tmp_62_fu_450_opcode,
dout => tmp_62_fu_450_p2);
ANN_dadd_64ns_64ns_64_5_full_dsp_U7 : component ANN_dadd_64ns_64ns_64_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_526,
din1 => ap_const_lv64_3FF0000000000000,
ce => grp_fu_454_ce,
dout => grp_fu_454_p2);
ANN_ddiv_64ns_64ns_64_31_U8 : component ANN_ddiv_64ns_64ns_64_31
generic map (
ID => 1,
NUM_STAGE => 31,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_3FF0000000000000,
din1 => tmp_42_reg_1552,
ce => grp_fu_459_ce,
dout => grp_fu_459_p2);
ANN_dexp_64ns_64ns_64_18_full_dsp_U9 : component ANN_dexp_64ns_64ns_64_18_full_dsp
generic map (
ID => 1,
NUM_STAGE => 18,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_0,
din1 => reg_521,
ce => grp_fu_464_ce,
dout => grp_fu_464_p2);
ANN_mux_4to1_sel2_32_1_U10 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_31_fu_619_p5,
dout => tmp_31_fu_619_p6);
ANN_mux_4to1_sel2_32_1_U11 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_29_reg_1459,
dout => tmp_fu_1053_p6);
ANN_mux_4to1_sel2_32_1_U12 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_45_reg_1469,
dout => tmp_53_fu_1078_p6);
ANN_mux_4to1_sel2_32_1_U13 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_64_reg_1484,
dout => tmp_27_fu_1182_p6);
ANN_mux_4to1_sel2_32_1_U14 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_70_reg_1494,
dout => tmp_54_fu_1207_p6);
ANN_mul_mul_7ns_14s_14_1_U15 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_80_fu_1333_p0,
din1 => tmp_79_fu_1095_p2,
dout => tmp_80_fu_1333_p2);
ANN_mul_mul_7ns_14s_14_1_U16 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_83_fu_1339_p0,
din1 => tmp_82_fu_1224_p2,
dout => tmp_83_fu_1339_p2);
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- i_1_reg_347 assign process. --
i_1_reg_347_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
i_1_reg_347 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
i_1_reg_347 <= i_5_reg_1570;
end if;
end if;
end process;
-- i_2_reg_381 assign process. --
i_2_reg_381_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and (ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
i_2_reg_381 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
i_2_reg_381 <= i_6_reg_1623;
end if;
end if;
end process;
-- i_reg_289 assign process. --
i_reg_289_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
i_reg_289 <= ap_const_lv31_1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and (ap_const_lv1_0 = tmp_20_fu_1066_p2))) then
i_reg_289 <= i_3_fu_1105_p2;
end if;
end if;
end process;
-- j_1_reg_370 assign process. --
j_1_reg_370_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
j_1_reg_370 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
j_1_reg_370 <= j_3_reg_1595;
end if;
end if;
end process;
-- j_reg_301 assign process. --
j_reg_301_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
j_reg_301 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
j_reg_301 <= j_2_reg_1502;
end if;
end if;
end process;
-- k_reg_324 assign process. --
k_reg_324_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
k_reg_324 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
k_reg_324 <= k_1_reg_1532;
end if;
end if;
end process;
-- max_2_reg_266 assign process. --
max_2_reg_266_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_2_reg_266 <= ap_const_lv31_1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_2_reg_266 <= i_4_reg_1425;
end if;
end if;
end process;
-- max_reg_277 assign process. --
max_reg_277_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_reg_277 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_reg_277 <= max_1_fu_887_p3;
end if;
end if;
end process;
-- p_0_reg_392 assign process. --
p_0_reg_392_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))) then
p_0_reg_392 <= ap_const_lv32_BF800000;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) then
p_0_reg_392 <= grp_fu_440_p1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) then
p_0_reg_392 <= ST_uOut_q0;
elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and (ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
p_0_reg_392 <= ap_const_lv32_0;
end if;
end if;
end process;
-- reg_490 assign process. --
reg_490_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
reg_490 <= ST_uOut_q1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) or (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st130_fsm_129))) then
reg_490 <= ST_uOut_q0;
end if;
end if;
end process;
-- sum_1_reg_358 assign process. --
sum_1_reg_358_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
sum_1_reg_358 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
sum_1_reg_358 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sum_reg_312 assign process. --
sum_reg_312_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
sum_reg_312 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
sum_reg_312 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sumsoft_reg_335 assign process. --
sumsoft_reg_335_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
sumsoft_reg_335 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
sumsoft_reg_335 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then
P_floatIn_read_reg_1345 <= P_floatIn;
ST_numLayer_load_reg_1353 <= ST_numLayer;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_0))) then
ST_layerSize_0 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_1))) then
ST_layerSize_1 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_2))) then
ST_layerSize_2 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_1)) and not((tmp_5_fu_727_p1 = ap_const_lv2_0)))) then
ST_layerSize_3 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0)))) then
ST_numLayer <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
ST_uOut_addr_5_reg_1519 <= tmp_82_cast_fu_1100_p1(8 - 1 downto 0);
tmp_53_reg_1507 <= tmp_53_fu_1078_p6;
tmp_80_reg_1513 <= tmp_80_fu_1333_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
ST_uOut_addr_7_reg_1587 <= tmp_84_cast_fu_1229_p1(8 - 1 downto 0);
tmp_54_reg_1575 <= tmp_54_fu_1207_p6;
tmp_83_reg_1581 <= tmp_83_fu_1339_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and not((ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
ST_uOut_addr_8_reg_1628 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then
ST_uOut_load_2_reg_1430 <= ST_uOut_q1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((ap_const_lv1_0 = tmp_24_fu_765_p2)))) then
i_4_reg_1425 <= i_4_fu_798_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) then
i_5_reg_1570 <= i_5_fu_1201_p2;
tmp_27_reg_1562 <= tmp_27_fu_1182_p6;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
i_6_reg_1623 <= i_6_fu_1299_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) then
j_2_reg_1502 <= j_2_fu_1072_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
j_3_reg_1595 <= j_3_fu_1243_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
k_1_reg_1532 <= k_1_fu_1120_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
max_2_cast_reg_1407(30 downto 0) <= max_2_cast_fu_761_p1(30 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23) or (ap_const_logic_1 = ap_sig_cseq_ST_st98_fsm_97))) then
reg_499 <= ST_WandB_q0;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17) or (ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91))) then
reg_505 <= grp_fu_428_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28) or (ap_const_logic_1 = ap_sig_cseq_ST_st103_fsm_102))) then
reg_516 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29) or (ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103))) then
reg_521 <= grp_fu_447_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st48_fsm_47) or (ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121))) then
reg_526 <= grp_fu_464_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84) or (ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122))) then
reg_532 <= grp_fu_444_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
tmp_16_reg_1399 <= tmp_16_fu_721_p2;
tmp_6_reg_1394 <= tmp_6_fu_683_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st148_fsm_147)) then
tmp_21_reg_1639 <= tmp_21_fu_1324_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
tmp_28_reg_1454(13 downto 3) <= tmp_28_fu_926_p2(13 downto 3);
tmp_29_reg_1459 <= tmp_29_fu_932_p1;
tmp_38_reg_1464(8 downto 3) <= tmp_38_fu_966_p2(8 downto 3);
tmp_45_reg_1469 <= tmp_45_fu_972_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
tmp_31_reg_1384 <= tmp_31_fu_619_p6;
tmp_76_reg_1378(8 downto 3) <= tmp_76_fu_609_p2(8 downto 3);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st53_fsm_52)) then
tmp_42_reg_1552 <= grp_fu_454_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st84_fsm_83)) then
tmp_43_reg_1557 <= grp_fu_459_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st146_fsm_145)) then
tmp_52_reg_1634 <= grp_fu_435_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
tmp_56_reg_1474(13 downto 3) <= tmp_56_fu_1000_p2(13 downto 3);
tmp_58_reg_1479(8 downto 3) <= tmp_58_fu_1006_p1(8 downto 3);
tmp_64_reg_1484 <= tmp_64_fu_1010_p1;
tmp_69_reg_1489(8 downto 3) <= tmp_69_fu_1043_p2(8 downto 3);
tmp_70_reg_1494 <= tmp_70_fu_1049_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) then
tmp_63_reg_1436 <= tmp_63_fu_881_p2;
end if;
end if;
end process;
tmp_76_reg_1378(2 downto 0) <= "000";
max_2_cast_reg_1407(31) <= '0';
tmp_28_reg_1454(2 downto 0) <= "000";
tmp_38_reg_1464(2 downto 0) <= "000";
tmp_56_reg_1474(2 downto 0) <= "000";
tmp_58_reg_1479(2 downto 0) <= "000";
tmp_69_reg_1489(2 downto 0) <= "000";
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, tmp_14_fu_579_p2, tmp_24_fu_765_p2, tmp_3_fu_897_p2, tmp_20_fu_1066_p2, tmp_33_fu_1115_p2, tmp_22_fu_1195_p2, tmp_34_fu_1238_p2, tmp_35_fu_1294_p2)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
if ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
elsif ((not((ap_start = ap_const_logic_0)) and (not((tmp_1_fu_538_p2 = ap_const_lv1_0)) or not((ap_const_lv1_0 = tmp_2_fu_549_p2)) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))))) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ap_NS_fsm <= ap_ST_st11_fsm_10;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
ap_NS_fsm <= ap_ST_st148_fsm_147;
else
ap_NS_fsm <= ap_ST_st1_fsm_0;
end if;
when ap_ST_st2_fsm_1 =>
if ((ap_const_lv1_0 = tmp_24_fu_765_p2)) then
ap_NS_fsm <= ap_ST_st6_fsm_5;
else
ap_NS_fsm <= ap_ST_st3_fsm_2;
end if;
when ap_ST_st3_fsm_2 =>
ap_NS_fsm <= ap_ST_st4_fsm_3;
when ap_ST_st4_fsm_3 =>
ap_NS_fsm <= ap_ST_st5_fsm_4;
when ap_ST_st5_fsm_4 =>
ap_NS_fsm <= ap_ST_st2_fsm_1;
when ap_ST_st6_fsm_5 =>
ap_NS_fsm <= ap_ST_st7_fsm_6;
when ap_ST_st7_fsm_6 =>
ap_NS_fsm <= ap_ST_st8_fsm_7;
when ap_ST_st8_fsm_7 =>
ap_NS_fsm <= ap_ST_st9_fsm_8;
when ap_ST_st9_fsm_8 =>
ap_NS_fsm <= ap_ST_st10_fsm_9;
when ap_ST_st10_fsm_9 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st11_fsm_10 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st12_fsm_11 =>
if ((ap_const_lv1_0 = tmp_3_fu_897_p2)) then
ap_NS_fsm <= ap_ST_st87_fsm_86;
else
ap_NS_fsm <= ap_ST_st13_fsm_12;
end if;
when ap_ST_st13_fsm_12 =>
if ((ap_const_lv1_0 = tmp_20_fu_1066_p2)) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
else
ap_NS_fsm <= ap_ST_st14_fsm_13;
end if;
when ap_ST_st14_fsm_13 =>
if ((ap_const_lv1_0 = tmp_33_fu_1115_p2)) then
ap_NS_fsm <= ap_ST_st24_fsm_23;
else
ap_NS_fsm <= ap_ST_st15_fsm_14;
end if;
when ap_ST_st15_fsm_14 =>
ap_NS_fsm <= ap_ST_st16_fsm_15;
when ap_ST_st16_fsm_15 =>
ap_NS_fsm <= ap_ST_st17_fsm_16;
when ap_ST_st17_fsm_16 =>
ap_NS_fsm <= ap_ST_st18_fsm_17;
when ap_ST_st18_fsm_17 =>
ap_NS_fsm <= ap_ST_st19_fsm_18;
when ap_ST_st19_fsm_18 =>
ap_NS_fsm <= ap_ST_st20_fsm_19;
when ap_ST_st20_fsm_19 =>
ap_NS_fsm <= ap_ST_st21_fsm_20;
when ap_ST_st21_fsm_20 =>
ap_NS_fsm <= ap_ST_st22_fsm_21;
when ap_ST_st22_fsm_21 =>
ap_NS_fsm <= ap_ST_st23_fsm_22;
when ap_ST_st23_fsm_22 =>
ap_NS_fsm <= ap_ST_st14_fsm_13;
when ap_ST_st24_fsm_23 =>
ap_NS_fsm <= ap_ST_st25_fsm_24;
when ap_ST_st25_fsm_24 =>
ap_NS_fsm <= ap_ST_st26_fsm_25;
when ap_ST_st26_fsm_25 =>
ap_NS_fsm <= ap_ST_st27_fsm_26;
when ap_ST_st27_fsm_26 =>
ap_NS_fsm <= ap_ST_st28_fsm_27;
when ap_ST_st28_fsm_27 =>
ap_NS_fsm <= ap_ST_st29_fsm_28;
when ap_ST_st29_fsm_28 =>
ap_NS_fsm <= ap_ST_st30_fsm_29;
when ap_ST_st30_fsm_29 =>
ap_NS_fsm <= ap_ST_st31_fsm_30;
when ap_ST_st31_fsm_30 =>
ap_NS_fsm <= ap_ST_st32_fsm_31;
when ap_ST_st32_fsm_31 =>
ap_NS_fsm <= ap_ST_st33_fsm_32;
when ap_ST_st33_fsm_32 =>
ap_NS_fsm <= ap_ST_st34_fsm_33;
when ap_ST_st34_fsm_33 =>
ap_NS_fsm <= ap_ST_st35_fsm_34;
when ap_ST_st35_fsm_34 =>
ap_NS_fsm <= ap_ST_st36_fsm_35;
when ap_ST_st36_fsm_35 =>
ap_NS_fsm <= ap_ST_st37_fsm_36;
when ap_ST_st37_fsm_36 =>
ap_NS_fsm <= ap_ST_st38_fsm_37;
when ap_ST_st38_fsm_37 =>
ap_NS_fsm <= ap_ST_st39_fsm_38;
when ap_ST_st39_fsm_38 =>
ap_NS_fsm <= ap_ST_st40_fsm_39;
when ap_ST_st40_fsm_39 =>
ap_NS_fsm <= ap_ST_st41_fsm_40;
when ap_ST_st41_fsm_40 =>
ap_NS_fsm <= ap_ST_st42_fsm_41;
when ap_ST_st42_fsm_41 =>
ap_NS_fsm <= ap_ST_st43_fsm_42;
when ap_ST_st43_fsm_42 =>
ap_NS_fsm <= ap_ST_st44_fsm_43;
when ap_ST_st44_fsm_43 =>
ap_NS_fsm <= ap_ST_st45_fsm_44;
when ap_ST_st45_fsm_44 =>
ap_NS_fsm <= ap_ST_st46_fsm_45;
when ap_ST_st46_fsm_45 =>
ap_NS_fsm <= ap_ST_st47_fsm_46;
when ap_ST_st47_fsm_46 =>
ap_NS_fsm <= ap_ST_st48_fsm_47;
when ap_ST_st48_fsm_47 =>
ap_NS_fsm <= ap_ST_st49_fsm_48;
when ap_ST_st49_fsm_48 =>
ap_NS_fsm <= ap_ST_st50_fsm_49;
when ap_ST_st50_fsm_49 =>
ap_NS_fsm <= ap_ST_st51_fsm_50;
when ap_ST_st51_fsm_50 =>
ap_NS_fsm <= ap_ST_st52_fsm_51;
when ap_ST_st52_fsm_51 =>
ap_NS_fsm <= ap_ST_st53_fsm_52;
when ap_ST_st53_fsm_52 =>
ap_NS_fsm <= ap_ST_st54_fsm_53;
when ap_ST_st54_fsm_53 =>
ap_NS_fsm <= ap_ST_st55_fsm_54;
when ap_ST_st55_fsm_54 =>
ap_NS_fsm <= ap_ST_st56_fsm_55;
when ap_ST_st56_fsm_55 =>
ap_NS_fsm <= ap_ST_st57_fsm_56;
when ap_ST_st57_fsm_56 =>
ap_NS_fsm <= ap_ST_st58_fsm_57;
when ap_ST_st58_fsm_57 =>
ap_NS_fsm <= ap_ST_st59_fsm_58;
when ap_ST_st59_fsm_58 =>
ap_NS_fsm <= ap_ST_st60_fsm_59;
when ap_ST_st60_fsm_59 =>
ap_NS_fsm <= ap_ST_st61_fsm_60;
when ap_ST_st61_fsm_60 =>
ap_NS_fsm <= ap_ST_st62_fsm_61;
when ap_ST_st62_fsm_61 =>
ap_NS_fsm <= ap_ST_st63_fsm_62;
when ap_ST_st63_fsm_62 =>
ap_NS_fsm <= ap_ST_st64_fsm_63;
when ap_ST_st64_fsm_63 =>
ap_NS_fsm <= ap_ST_st65_fsm_64;
when ap_ST_st65_fsm_64 =>
ap_NS_fsm <= ap_ST_st66_fsm_65;
when ap_ST_st66_fsm_65 =>
ap_NS_fsm <= ap_ST_st67_fsm_66;
when ap_ST_st67_fsm_66 =>
ap_NS_fsm <= ap_ST_st68_fsm_67;
when ap_ST_st68_fsm_67 =>
ap_NS_fsm <= ap_ST_st69_fsm_68;
when ap_ST_st69_fsm_68 =>
ap_NS_fsm <= ap_ST_st70_fsm_69;
when ap_ST_st70_fsm_69 =>
ap_NS_fsm <= ap_ST_st71_fsm_70;
when ap_ST_st71_fsm_70 =>
ap_NS_fsm <= ap_ST_st72_fsm_71;
when ap_ST_st72_fsm_71 =>
ap_NS_fsm <= ap_ST_st73_fsm_72;
when ap_ST_st73_fsm_72 =>
ap_NS_fsm <= ap_ST_st74_fsm_73;
when ap_ST_st74_fsm_73 =>
ap_NS_fsm <= ap_ST_st75_fsm_74;
when ap_ST_st75_fsm_74 =>
ap_NS_fsm <= ap_ST_st76_fsm_75;
when ap_ST_st76_fsm_75 =>
ap_NS_fsm <= ap_ST_st77_fsm_76;
when ap_ST_st77_fsm_76 =>
ap_NS_fsm <= ap_ST_st78_fsm_77;
when ap_ST_st78_fsm_77 =>
ap_NS_fsm <= ap_ST_st79_fsm_78;
when ap_ST_st79_fsm_78 =>
ap_NS_fsm <= ap_ST_st80_fsm_79;
when ap_ST_st80_fsm_79 =>
ap_NS_fsm <= ap_ST_st81_fsm_80;
when ap_ST_st81_fsm_80 =>
ap_NS_fsm <= ap_ST_st82_fsm_81;
when ap_ST_st82_fsm_81 =>
ap_NS_fsm <= ap_ST_st83_fsm_82;
when ap_ST_st83_fsm_82 =>
ap_NS_fsm <= ap_ST_st84_fsm_83;
when ap_ST_st84_fsm_83 =>
ap_NS_fsm <= ap_ST_st85_fsm_84;
when ap_ST_st85_fsm_84 =>
ap_NS_fsm <= ap_ST_st86_fsm_85;
when ap_ST_st86_fsm_85 =>
ap_NS_fsm <= ap_ST_st13_fsm_12;
when ap_ST_st87_fsm_86 =>
if (not((ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
ap_NS_fsm <= ap_ST_st88_fsm_87;
else
ap_NS_fsm <= ap_ST_st129_fsm_128;
end if;
when ap_ST_st88_fsm_87 =>
if ((ap_const_lv1_0 = tmp_34_fu_1238_p2)) then
ap_NS_fsm <= ap_ST_st98_fsm_97;
else
ap_NS_fsm <= ap_ST_st89_fsm_88;
end if;
when ap_ST_st89_fsm_88 =>
ap_NS_fsm <= ap_ST_st90_fsm_89;
when ap_ST_st90_fsm_89 =>
ap_NS_fsm <= ap_ST_st91_fsm_90;
when ap_ST_st91_fsm_90 =>
ap_NS_fsm <= ap_ST_st92_fsm_91;
when ap_ST_st92_fsm_91 =>
ap_NS_fsm <= ap_ST_st93_fsm_92;
when ap_ST_st93_fsm_92 =>
ap_NS_fsm <= ap_ST_st94_fsm_93;
when ap_ST_st94_fsm_93 =>
ap_NS_fsm <= ap_ST_st95_fsm_94;
when ap_ST_st95_fsm_94 =>
ap_NS_fsm <= ap_ST_st96_fsm_95;
when ap_ST_st96_fsm_95 =>
ap_NS_fsm <= ap_ST_st97_fsm_96;
when ap_ST_st97_fsm_96 =>
ap_NS_fsm <= ap_ST_st88_fsm_87;
when ap_ST_st98_fsm_97 =>
ap_NS_fsm <= ap_ST_st99_fsm_98;
when ap_ST_st99_fsm_98 =>
ap_NS_fsm <= ap_ST_st100_fsm_99;
when ap_ST_st100_fsm_99 =>
ap_NS_fsm <= ap_ST_st101_fsm_100;
when ap_ST_st101_fsm_100 =>
ap_NS_fsm <= ap_ST_st102_fsm_101;
when ap_ST_st102_fsm_101 =>
ap_NS_fsm <= ap_ST_st103_fsm_102;
when ap_ST_st103_fsm_102 =>
ap_NS_fsm <= ap_ST_st104_fsm_103;
when ap_ST_st104_fsm_103 =>
ap_NS_fsm <= ap_ST_st105_fsm_104;
when ap_ST_st105_fsm_104 =>
ap_NS_fsm <= ap_ST_st106_fsm_105;
when ap_ST_st106_fsm_105 =>
ap_NS_fsm <= ap_ST_st107_fsm_106;
when ap_ST_st107_fsm_106 =>
ap_NS_fsm <= ap_ST_st108_fsm_107;
when ap_ST_st108_fsm_107 =>
ap_NS_fsm <= ap_ST_st109_fsm_108;
when ap_ST_st109_fsm_108 =>
ap_NS_fsm <= ap_ST_st110_fsm_109;
when ap_ST_st110_fsm_109 =>
ap_NS_fsm <= ap_ST_st111_fsm_110;
when ap_ST_st111_fsm_110 =>
ap_NS_fsm <= ap_ST_st112_fsm_111;
when ap_ST_st112_fsm_111 =>
ap_NS_fsm <= ap_ST_st113_fsm_112;
when ap_ST_st113_fsm_112 =>
ap_NS_fsm <= ap_ST_st114_fsm_113;
when ap_ST_st114_fsm_113 =>
ap_NS_fsm <= ap_ST_st115_fsm_114;
when ap_ST_st115_fsm_114 =>
ap_NS_fsm <= ap_ST_st116_fsm_115;
when ap_ST_st116_fsm_115 =>
ap_NS_fsm <= ap_ST_st117_fsm_116;
when ap_ST_st117_fsm_116 =>
ap_NS_fsm <= ap_ST_st118_fsm_117;
when ap_ST_st118_fsm_117 =>
ap_NS_fsm <= ap_ST_st119_fsm_118;
when ap_ST_st119_fsm_118 =>
ap_NS_fsm <= ap_ST_st120_fsm_119;
when ap_ST_st120_fsm_119 =>
ap_NS_fsm <= ap_ST_st121_fsm_120;
when ap_ST_st121_fsm_120 =>
ap_NS_fsm <= ap_ST_st122_fsm_121;
when ap_ST_st122_fsm_121 =>
ap_NS_fsm <= ap_ST_st123_fsm_122;
when ap_ST_st123_fsm_122 =>
ap_NS_fsm <= ap_ST_st124_fsm_123;
when ap_ST_st124_fsm_123 =>
ap_NS_fsm <= ap_ST_st125_fsm_124;
when ap_ST_st125_fsm_124 =>
ap_NS_fsm <= ap_ST_st126_fsm_125;
when ap_ST_st126_fsm_125 =>
ap_NS_fsm <= ap_ST_st127_fsm_126;
when ap_ST_st127_fsm_126 =>
ap_NS_fsm <= ap_ST_st128_fsm_127;
when ap_ST_st128_fsm_127 =>
ap_NS_fsm <= ap_ST_st87_fsm_86;
when ap_ST_st129_fsm_128 =>
if ((ap_const_lv1_0 = tmp_35_fu_1294_p2)) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
else
ap_NS_fsm <= ap_ST_st130_fsm_129;
end if;
when ap_ST_st130_fsm_129 =>
ap_NS_fsm <= ap_ST_st131_fsm_130;
when ap_ST_st131_fsm_130 =>
ap_NS_fsm <= ap_ST_st132_fsm_131;
when ap_ST_st132_fsm_131 =>
ap_NS_fsm <= ap_ST_st133_fsm_132;
when ap_ST_st133_fsm_132 =>
ap_NS_fsm <= ap_ST_st134_fsm_133;
when ap_ST_st134_fsm_133 =>
ap_NS_fsm <= ap_ST_st135_fsm_134;
when ap_ST_st135_fsm_134 =>
ap_NS_fsm <= ap_ST_st136_fsm_135;
when ap_ST_st136_fsm_135 =>
ap_NS_fsm <= ap_ST_st137_fsm_136;
when ap_ST_st137_fsm_136 =>
ap_NS_fsm <= ap_ST_st138_fsm_137;
when ap_ST_st138_fsm_137 =>
ap_NS_fsm <= ap_ST_st139_fsm_138;
when ap_ST_st139_fsm_138 =>
ap_NS_fsm <= ap_ST_st140_fsm_139;
when ap_ST_st140_fsm_139 =>
ap_NS_fsm <= ap_ST_st141_fsm_140;
when ap_ST_st141_fsm_140 =>
ap_NS_fsm <= ap_ST_st142_fsm_141;
when ap_ST_st142_fsm_141 =>
ap_NS_fsm <= ap_ST_st143_fsm_142;
when ap_ST_st143_fsm_142 =>
ap_NS_fsm <= ap_ST_st144_fsm_143;
when ap_ST_st144_fsm_143 =>
ap_NS_fsm <= ap_ST_st145_fsm_144;
when ap_ST_st145_fsm_144 =>
ap_NS_fsm <= ap_ST_st146_fsm_145;
when ap_ST_st146_fsm_145 =>
ap_NS_fsm <= ap_ST_st147_fsm_146;
when ap_ST_st147_fsm_146 =>
ap_NS_fsm <= ap_ST_st129_fsm_128;
when ap_ST_st148_fsm_147 =>
ap_NS_fsm <= ap_ST_st149_fsm_148;
when ap_ST_st149_fsm_148 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st150_fsm_149 =>
ap_NS_fsm <= ap_ST_st1_fsm_0;
when others =>
ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end case;
end process;
ANN_AXILiteS_s_axi_U_ap_dummy_ce <= ap_const_logic_1;
-- ST_WandB_address0 assign process. --
ST_WandB_address0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148, tmp_88_cast_fu_1139_p1, tmp_90_cast_fu_1162_p1, tmp_91_cast_fu_1262_p1, tmp_93_cast_fu_1285_p1, tmp_21_cast_fu_1329_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148)) then
ST_WandB_address0 <= tmp_21_cast_fu_1329_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2))) then
ST_WandB_address0 <= tmp_93_cast_fu_1285_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2)))) then
ST_WandB_address0 <= tmp_91_cast_fu_1262_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2))) then
ST_WandB_address0 <= tmp_90_cast_fu_1162_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2)))) then
ST_WandB_address0 <= tmp_88_cast_fu_1139_p1(13 - 1 downto 0);
else
ST_WandB_address0 <= "XXXXXXXXXXXXX";
end if;
end process;
-- ST_WandB_ce0 assign process. --
ST_WandB_ce0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2)) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_ce0 <= ap_const_logic_1;
else
ST_WandB_ce0 <= ap_const_logic_0;
end if;
end process;
ST_WandB_d0 <= P_floatIn_read_reg_1345;
-- ST_WandB_we0 assign process. --
ST_WandB_we0_assign_proc : process(ap_sig_cseq_ST_st149_fsm_148)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_we0 <= ap_const_logic_1;
else
ST_WandB_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_address0 assign process. --
ST_uOut_address0_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128, tmp_66_cast_fu_673_p1, tmp_9_fu_678_p1, tmp_86_cast_fu_779_p1, tmp_92_cast_fu_1272_p1, tmp_94_cast_fu_1314_p1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2)))) then
ST_uOut_address0 <= tmp_9_fu_678_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
ST_uOut_address0 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
ST_uOut_address0 <= tmp_92_cast_fu_1272_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address0 <= tmp_86_cast_fu_779_p1(8 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ST_uOut_address0 <= tmp_66_cast_fu_673_p1(8 - 1 downto 0);
else
ST_uOut_address0 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_address1 assign process. --
ST_uOut_address1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ST_uOut_addr_5_reg_1519, ap_sig_cseq_ST_st14_fsm_13, ST_uOut_addr_7_reg_1587, ST_uOut_addr_8_reg_1628, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, tmp_87_cast_fu_793_p1, tmp_89_cast_fu_1149_p1, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_address1 <= ST_uOut_addr_8_reg_1628;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
ST_uOut_address1 <= ST_uOut_addr_7_reg_1587;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
ST_uOut_address1 <= ST_uOut_addr_5_reg_1519;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
ST_uOut_address1 <= tmp_89_cast_fu_1149_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address1 <= tmp_87_cast_fu_793_p1(8 - 1 downto 0);
else
ST_uOut_address1 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_ce0 assign process. --
ST_uOut_ce0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) or (ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_ce0 <= ap_const_logic_1;
else
ST_uOut_ce0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_ce1 assign process. --
ST_uOut_ce1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st14_fsm_13, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) or (ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_ce1 <= ap_const_logic_1;
else
ST_uOut_ce1 <= ap_const_logic_0;
end if;
end process;
ST_uOut_d0 <= P_floatIn;
-- ST_uOut_d1 assign process. --
ST_uOut_d1_assign_proc : process(reg_532, tmp_52_reg_1634, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_d1 <= tmp_52_reg_1634;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_d1 <= reg_532;
else
ST_uOut_d1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
ST_uOut_load_1_to_int_fu_804_p1 <= reg_490;
ST_uOut_load_2_to_int_fu_822_p1 <= ST_uOut_load_2_reg_1430;
-- ST_uOut_we0 assign process. --
ST_uOut_we0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_we0 <= ap_const_logic_1;
else
ST_uOut_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_we1 assign process. --
ST_uOut_we1_assign_proc : process(ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_we1 <= ap_const_logic_1;
else
ST_uOut_we1 <= ap_const_logic_0;
end if;
end process;
-- ap_done assign process. --
ap_done_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return <= p_0_reg_392;
-- ap_rst_n_inv assign process. --
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
-- ap_sig_bdd_1429 assign process. --
ap_sig_bdd_1429_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_1429 <= (ap_const_lv1_1 = ap_CS_fsm(149 downto 149));
end process;
-- ap_sig_bdd_168 assign process. --
ap_sig_bdd_168_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_168 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1);
end process;
-- ap_sig_bdd_253 assign process. --
ap_sig_bdd_253_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_253 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2));
end process;
-- ap_sig_bdd_260 assign process. --
ap_sig_bdd_260_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_260 <= (ap_const_lv1_1 = ap_CS_fsm(10 downto 10));
end process;
-- ap_sig_bdd_268 assign process. --
ap_sig_bdd_268_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_268 <= (ap_const_lv1_1 = ap_CS_fsm(14 downto 14));
end process;
-- ap_sig_bdd_275 assign process. --
ap_sig_bdd_275_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_275 <= (ap_const_lv1_1 = ap_CS_fsm(88 downto 88));
end process;
-- ap_sig_bdd_283 assign process. --
ap_sig_bdd_283_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_283 <= (ap_const_lv1_1 = ap_CS_fsm(129 downto 129));
end process;
-- ap_sig_bdd_292 assign process. --
ap_sig_bdd_292_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_292 <= (ap_const_lv1_1 = ap_CS_fsm(23 downto 23));
end process;
-- ap_sig_bdd_301 assign process. --
ap_sig_bdd_301_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_301 <= (ap_const_lv1_1 = ap_CS_fsm(97 downto 97));
end process;
-- ap_sig_bdd_311 assign process. --
ap_sig_bdd_311_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_311 <= (ap_const_lv1_1 = ap_CS_fsm(17 downto 17));
end process;
-- ap_sig_bdd_318 assign process. --
ap_sig_bdd_318_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_318 <= (ap_const_lv1_1 = ap_CS_fsm(91 downto 91));
end process;
-- ap_sig_bdd_328 assign process. --
ap_sig_bdd_328_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_328 <= (ap_const_lv1_1 = ap_CS_fsm(22 downto 22));
end process;
-- ap_sig_bdd_335 assign process. --
ap_sig_bdd_335_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_335 <= (ap_const_lv1_1 = ap_CS_fsm(96 downto 96));
end process;
-- ap_sig_bdd_344 assign process. --
ap_sig_bdd_344_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_344 <= (ap_const_lv1_1 = ap_CS_fsm(28 downto 28));
end process;
-- ap_sig_bdd_351 assign process. --
ap_sig_bdd_351_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_351 <= (ap_const_lv1_1 = ap_CS_fsm(102 downto 102));
end process;
-- ap_sig_bdd_361 assign process. --
ap_sig_bdd_361_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_361 <= (ap_const_lv1_1 = ap_CS_fsm(29 downto 29));
end process;
-- ap_sig_bdd_368 assign process. --
ap_sig_bdd_368_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_368 <= (ap_const_lv1_1 = ap_CS_fsm(103 downto 103));
end process;
-- ap_sig_bdd_378 assign process. --
ap_sig_bdd_378_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_378 <= (ap_const_lv1_1 = ap_CS_fsm(47 downto 47));
end process;
-- ap_sig_bdd_385 assign process. --
ap_sig_bdd_385_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_385 <= (ap_const_lv1_1 = ap_CS_fsm(121 downto 121));
end process;
-- ap_sig_bdd_395 assign process. --
ap_sig_bdd_395_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_395 <= (ap_const_lv1_1 = ap_CS_fsm(84 downto 84));
end process;
-- ap_sig_bdd_402 assign process. --
ap_sig_bdd_402_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_402 <= (ap_const_lv1_1 = ap_CS_fsm(122 downto 122));
end process;
-- ap_sig_bdd_458 assign process. --
ap_sig_bdd_458_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_458 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1));
end process;
-- ap_sig_bdd_478 assign process. --
ap_sig_bdd_478_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_478 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3));
end process;
-- ap_sig_bdd_487 assign process. --
ap_sig_bdd_487_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_487 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4));
end process;
-- ap_sig_bdd_496 assign process. --
ap_sig_bdd_496_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_496 <= (ap_const_lv1_1 = ap_CS_fsm(9 downto 9));
end process;
-- ap_sig_bdd_505 assign process. --
ap_sig_bdd_505_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_505 <= (ap_const_lv1_1 = ap_CS_fsm(11 downto 11));
end process;
-- ap_sig_bdd_535 assign process. --
ap_sig_bdd_535_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_535 <= (ap_const_lv1_1 = ap_CS_fsm(12 downto 12));
end process;
-- ap_sig_bdd_557 assign process. --
ap_sig_bdd_557_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_557 <= (ap_const_lv1_1 = ap_CS_fsm(13 downto 13));
end process;
-- ap_sig_bdd_577 assign process. --
ap_sig_bdd_577_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_577 <= (ap_const_lv1_1 = ap_CS_fsm(52 downto 52));
end process;
-- ap_sig_bdd_586 assign process. --
ap_sig_bdd_586_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_586 <= (ap_const_lv1_1 = ap_CS_fsm(83 downto 83));
end process;
-- ap_sig_bdd_595 assign process. --
ap_sig_bdd_595_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_595 <= (ap_const_lv1_1 = ap_CS_fsm(86 downto 86));
end process;
-- ap_sig_bdd_616 assign process. --
ap_sig_bdd_616_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_616 <= (ap_const_lv1_1 = ap_CS_fsm(87 downto 87));
end process;
-- ap_sig_bdd_635 assign process. --
ap_sig_bdd_635_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_635 <= (ap_const_lv1_1 = ap_CS_fsm(127 downto 127));
end process;
-- ap_sig_bdd_644 assign process. --
ap_sig_bdd_644_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_644 <= (ap_const_lv1_1 = ap_CS_fsm(128 downto 128));
end process;
-- ap_sig_bdd_659 assign process. --
ap_sig_bdd_659_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_659 <= (ap_const_lv1_1 = ap_CS_fsm(145 downto 145));
end process;
-- ap_sig_bdd_668 assign process. --
ap_sig_bdd_668_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_668 <= (ap_const_lv1_1 = ap_CS_fsm(147 downto 147));
end process;
-- ap_sig_bdd_690 assign process. --
ap_sig_bdd_690_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_690 <= (ap_const_lv1_1 = ap_CS_fsm(85 downto 85));
end process;
-- ap_sig_bdd_712 assign process. --
ap_sig_bdd_712_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_712 <= (ap_const_lv1_1 = ap_CS_fsm(146 downto 146));
end process;
-- ap_sig_bdd_728 assign process. --
ap_sig_bdd_728_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_728 <= (ap_const_lv1_1 = ap_CS_fsm(148 downto 148));
end process;
-- ap_sig_bdd_818 assign process. --
ap_sig_bdd_818_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_818 <= (ap_const_lv1_1 = ap_CS_fsm(123 downto 123));
end process;
-- ap_sig_bdd_837 assign process. --
ap_sig_bdd_837_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_837 <= (ap_const_lv1_1 = ap_CS_fsm(18 downto 18));
end process;
-- ap_sig_bdd_844 assign process. --
ap_sig_bdd_844_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_844 <= (ap_const_lv1_1 = ap_CS_fsm(24 downto 24));
end process;
-- ap_sig_bdd_852 assign process. --
ap_sig_bdd_852_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_852 <= (ap_const_lv1_1 = ap_CS_fsm(92 downto 92));
end process;
-- ap_sig_bdd_859 assign process. --
ap_sig_bdd_859_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_859 <= (ap_const_lv1_1 = ap_CS_fsm(98 downto 98));
end process;
-- ap_sig_cseq_ST_st103_fsm_102 assign process. --
ap_sig_cseq_ST_st103_fsm_102_assign_proc : process(ap_sig_bdd_351)
begin
if (ap_sig_bdd_351) then
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st104_fsm_103 assign process. --
ap_sig_cseq_ST_st104_fsm_103_assign_proc : process(ap_sig_bdd_368)
begin
if (ap_sig_bdd_368) then
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st10_fsm_9 assign process. --
ap_sig_cseq_ST_st10_fsm_9_assign_proc : process(ap_sig_bdd_496)
begin
if (ap_sig_bdd_496) then
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st11_fsm_10 assign process. --
ap_sig_cseq_ST_st11_fsm_10_assign_proc : process(ap_sig_bdd_260)
begin
if (ap_sig_bdd_260) then
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st122_fsm_121 assign process. --
ap_sig_cseq_ST_st122_fsm_121_assign_proc : process(ap_sig_bdd_385)
begin
if (ap_sig_bdd_385) then
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st123_fsm_122 assign process. --
ap_sig_cseq_ST_st123_fsm_122_assign_proc : process(ap_sig_bdd_402)
begin
if (ap_sig_bdd_402) then
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st124_fsm_123 assign process. --
ap_sig_cseq_ST_st124_fsm_123_assign_proc : process(ap_sig_bdd_818)
begin
if (ap_sig_bdd_818) then
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st128_fsm_127 assign process. --
ap_sig_cseq_ST_st128_fsm_127_assign_proc : process(ap_sig_bdd_635)
begin
if (ap_sig_bdd_635) then
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st129_fsm_128 assign process. --
ap_sig_cseq_ST_st129_fsm_128_assign_proc : process(ap_sig_bdd_644)
begin
if (ap_sig_bdd_644) then
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st12_fsm_11 assign process. --
ap_sig_cseq_ST_st12_fsm_11_assign_proc : process(ap_sig_bdd_505)
begin
if (ap_sig_bdd_505) then
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st130_fsm_129 assign process. --
ap_sig_cseq_ST_st130_fsm_129_assign_proc : process(ap_sig_bdd_283)
begin
if (ap_sig_bdd_283) then
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st13_fsm_12 assign process. --
ap_sig_cseq_ST_st13_fsm_12_assign_proc : process(ap_sig_bdd_535)
begin
if (ap_sig_bdd_535) then
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st146_fsm_145 assign process. --
ap_sig_cseq_ST_st146_fsm_145_assign_proc : process(ap_sig_bdd_659)
begin
if (ap_sig_bdd_659) then
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st147_fsm_146 assign process. --
ap_sig_cseq_ST_st147_fsm_146_assign_proc : process(ap_sig_bdd_712)
begin
if (ap_sig_bdd_712) then
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st148_fsm_147 assign process. --
ap_sig_cseq_ST_st148_fsm_147_assign_proc : process(ap_sig_bdd_668)
begin
if (ap_sig_bdd_668) then
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st149_fsm_148 assign process. --
ap_sig_cseq_ST_st149_fsm_148_assign_proc : process(ap_sig_bdd_728)
begin
if (ap_sig_bdd_728) then
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st14_fsm_13 assign process. --
ap_sig_cseq_ST_st14_fsm_13_assign_proc : process(ap_sig_bdd_557)
begin
if (ap_sig_bdd_557) then
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st150_fsm_149 assign process. --
ap_sig_cseq_ST_st150_fsm_149_assign_proc : process(ap_sig_bdd_1429)
begin
if (ap_sig_bdd_1429) then
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st15_fsm_14 assign process. --
ap_sig_cseq_ST_st15_fsm_14_assign_proc : process(ap_sig_bdd_268)
begin
if (ap_sig_bdd_268) then
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st18_fsm_17 assign process. --
ap_sig_cseq_ST_st18_fsm_17_assign_proc : process(ap_sig_bdd_311)
begin
if (ap_sig_bdd_311) then
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st19_fsm_18 assign process. --
ap_sig_cseq_ST_st19_fsm_18_assign_proc : process(ap_sig_bdd_837)
begin
if (ap_sig_bdd_837) then
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st1_fsm_0 assign process. --
ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_168)
begin
if (ap_sig_bdd_168) then
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st23_fsm_22 assign process. --
ap_sig_cseq_ST_st23_fsm_22_assign_proc : process(ap_sig_bdd_328)
begin
if (ap_sig_bdd_328) then
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st24_fsm_23 assign process. --
ap_sig_cseq_ST_st24_fsm_23_assign_proc : process(ap_sig_bdd_292)
begin
if (ap_sig_bdd_292) then
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st25_fsm_24 assign process. --
ap_sig_cseq_ST_st25_fsm_24_assign_proc : process(ap_sig_bdd_844)
begin
if (ap_sig_bdd_844) then
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st29_fsm_28 assign process. --
ap_sig_cseq_ST_st29_fsm_28_assign_proc : process(ap_sig_bdd_344)
begin
if (ap_sig_bdd_344) then
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st2_fsm_1 assign process. --
ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_458)
begin
if (ap_sig_bdd_458) then
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st30_fsm_29 assign process. --
ap_sig_cseq_ST_st30_fsm_29_assign_proc : process(ap_sig_bdd_361)
begin
if (ap_sig_bdd_361) then
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st3_fsm_2 assign process. --
ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_253)
begin
if (ap_sig_bdd_253) then
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st48_fsm_47 assign process. --
ap_sig_cseq_ST_st48_fsm_47_assign_proc : process(ap_sig_bdd_378)
begin
if (ap_sig_bdd_378) then
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st4_fsm_3 assign process. --
ap_sig_cseq_ST_st4_fsm_3_assign_proc : process(ap_sig_bdd_478)
begin
if (ap_sig_bdd_478) then
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st53_fsm_52 assign process. --
ap_sig_cseq_ST_st53_fsm_52_assign_proc : process(ap_sig_bdd_577)
begin
if (ap_sig_bdd_577) then
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st5_fsm_4 assign process. --
ap_sig_cseq_ST_st5_fsm_4_assign_proc : process(ap_sig_bdd_487)
begin
if (ap_sig_bdd_487) then
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st84_fsm_83 assign process. --
ap_sig_cseq_ST_st84_fsm_83_assign_proc : process(ap_sig_bdd_586)
begin
if (ap_sig_bdd_586) then
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st85_fsm_84 assign process. --
ap_sig_cseq_ST_st85_fsm_84_assign_proc : process(ap_sig_bdd_395)
begin
if (ap_sig_bdd_395) then
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st86_fsm_85 assign process. --
ap_sig_cseq_ST_st86_fsm_85_assign_proc : process(ap_sig_bdd_690)
begin
if (ap_sig_bdd_690) then
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st87_fsm_86 assign process. --
ap_sig_cseq_ST_st87_fsm_86_assign_proc : process(ap_sig_bdd_595)
begin
if (ap_sig_bdd_595) then
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st88_fsm_87 assign process. --
ap_sig_cseq_ST_st88_fsm_87_assign_proc : process(ap_sig_bdd_616)
begin
if (ap_sig_bdd_616) then
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st89_fsm_88 assign process. --
ap_sig_cseq_ST_st89_fsm_88_assign_proc : process(ap_sig_bdd_275)
begin
if (ap_sig_bdd_275) then
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st92_fsm_91 assign process. --
ap_sig_cseq_ST_st92_fsm_91_assign_proc : process(ap_sig_bdd_318)
begin
if (ap_sig_bdd_318) then
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st93_fsm_92 assign process. --
ap_sig_cseq_ST_st93_fsm_92_assign_proc : process(ap_sig_bdd_852)
begin
if (ap_sig_bdd_852) then
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st97_fsm_96 assign process. --
ap_sig_cseq_ST_st97_fsm_96_assign_proc : process(ap_sig_bdd_335)
begin
if (ap_sig_bdd_335) then
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st98_fsm_97 assign process. --
ap_sig_cseq_ST_st98_fsm_97_assign_proc : process(ap_sig_bdd_301)
begin
if (ap_sig_bdd_301) then
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st99_fsm_98 assign process. --
ap_sig_cseq_ST_st99_fsm_98_assign_proc : process(ap_sig_bdd_859)
begin
if (ap_sig_bdd_859) then
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_0;
end if;
end process;
grp_fu_421_ce <= ap_const_logic_1;
-- grp_fu_421_p0 assign process. --
grp_fu_421_p0_assign_proc : process(sum_reg_312, sumsoft_reg_335, sum_1_reg_358, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p0 <= sumsoft_reg_335;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p0 <= sum_1_reg_358;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24))) then
grp_fu_421_p0 <= sum_reg_312;
else
grp_fu_421_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_421_p1 assign process. --
grp_fu_421_p1_assign_proc : process(reg_499, reg_505, reg_532, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p1 <= reg_532;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p1 <= reg_499;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92))) then
grp_fu_421_p1 <= reg_505;
else
grp_fu_421_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_428_ce <= ap_const_logic_1;
-- grp_fu_428_p0 assign process. --
grp_fu_428_p0_assign_proc : process(ST_uOut_q0, ST_uOut_q1, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st89_fsm_88)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) then
grp_fu_428_p0 <= ST_uOut_q0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
grp_fu_428_p0 <= ST_uOut_q1;
else
grp_fu_428_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_435_ce <= ap_const_logic_1;
grp_fu_440_ce <= ap_const_logic_1;
-- grp_fu_444_p0 assign process. --
grp_fu_444_p0_assign_proc : process(reg_526, ap_sig_cseq_ST_st85_fsm_84, ap_sig_cseq_ST_st123_fsm_122, tmp_43_reg_1557)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122)) then
grp_fu_444_p0 <= reg_526;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84)) then
grp_fu_444_p0 <= tmp_43_reg_1557;
else
grp_fu_444_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_447_p0 assign process. --
grp_fu_447_p0_assign_proc : process(reg_516, ap_sig_cseq_ST_st30_fsm_29, ap_sig_cseq_ST_st104_fsm_103, tmp_39_fu_1177_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103)) then
grp_fu_447_p0 <= reg_516;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) then
grp_fu_447_p0 <= tmp_39_fu_1177_p1;
else
grp_fu_447_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_454_ce <= ap_const_logic_1;
grp_fu_459_ce <= ap_const_logic_1;
grp_fu_464_ce <= ap_const_logic_1;
-- grp_fu_469_p1 assign process. --
grp_fu_469_p1_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ST_numLayer, ST_numLayer_load_reg_1353, ap_sig_cseq_ST_st12_fsm_11)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) then
grp_fu_469_p1 <= ST_numLayer_load_reg_1353;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0)) then
grp_fu_469_p1 <= ST_numLayer;
else
grp_fu_469_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_469_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFF) + signed(grp_fu_469_p1));
i_2_cast_fu_1290_p1 <= std_logic_vector(resize(unsigned(i_2_reg_381),32));
i_3_fu_1105_p2 <= std_logic_vector(unsigned(i_reg_289) + unsigned(ap_const_lv31_1));
i_4_fu_798_p2 <= std_logic_vector(unsigned(ap_const_lv31_1) + unsigned(max_2_reg_266));
i_5_fu_1201_p2 <= std_logic_vector(unsigned(i_1_reg_347) + unsigned(ap_const_lv32_1));
i_6_fu_1299_p2 <= std_logic_vector(unsigned(i_2_reg_381) + unsigned(ap_const_lv31_1));
i_cast_fu_893_p1 <= std_logic_vector(resize(unsigned(i_reg_289),32));
j_1_cast_fu_1234_p1 <= std_logic_vector(resize(unsigned(j_1_reg_370),32));
j_2_fu_1072_p2 <= std_logic_vector(unsigned(j_reg_301) + unsigned(ap_const_lv32_1));
j_3_fu_1243_p2 <= std_logic_vector(unsigned(j_1_reg_370) + unsigned(ap_const_lv31_1));
k_1_fu_1120_p2 <= std_logic_vector(unsigned(k_reg_324) + unsigned(ap_const_lv31_1));
k_cast_fu_1111_p1 <= std_logic_vector(resize(unsigned(k_reg_324),32));
max_1_fu_887_p3 <=
max_2_cast_reg_1407 when (tmp_63_reg_1436(0) = '1') else
max_reg_277;
max_2_cast_fu_761_p1 <= std_logic_vector(resize(unsigned(max_2_reg_266),32));
notlhs1_fu_857_p2 <= "0" when (tmp_57_fu_825_p4 = ap_const_lv8_FF) else "1";
notlhs_fu_839_p2 <= "0" when (tmp_55_fu_808_p4 = ap_const_lv8_FF) else "1";
notrhs2_fu_863_p2 <= "1" when (tmp_97_fu_835_p1 = ap_const_lv23_0) else "0";
notrhs_fu_845_p2 <= "1" when (tmp_96_fu_818_p1 = ap_const_lv23_0) else "0";
p_shl10_cast_fu_641_p3 <= (tmp_72_fu_637_p1 & ap_const_lv5_0);
p_shl11_cast_fu_653_p3 <= (tmp_73_fu_649_p1 & ap_const_lv3_0);
p_shl12_cast_fu_589_p3 <= (tmp_74_fu_585_p1 & ap_const_lv5_0);
p_shl13_cast_fu_601_p3 <= (tmp_75_fu_597_p1 & ap_const_lv3_0);
p_shl1_cast_fu_707_p3 <= (tmp_12_fu_703_p1 & ap_const_lv3_0);
p_shl2_cast_fu_1023_p3 <= (tmp_67_fu_1019_p1 & ap_const_lv5_0);
p_shl3_cast_fu_1035_p3 <= (tmp_68_fu_1031_p1 & ap_const_lv3_0);
p_shl4_cast_fu_980_p3 <= (tmp_47_fu_976_p1 & ap_const_lv5_0);
p_shl5_cast_fu_992_p3 <= (tmp_51_fu_988_p1 & ap_const_lv3_0);
p_shl6_cast_fu_946_p3 <= (tmp_30_fu_942_p1 & ap_const_lv5_0);
p_shl7_cast_fu_958_p3 <= (tmp_36_fu_954_p1 & ap_const_lv3_0);
p_shl8_cast_fu_906_p3 <= (tmp_25_fu_902_p1 & ap_const_lv5_0);
p_shl9_cast_fu_918_p3 <= (tmp_26_fu_914_p1 & ap_const_lv3_0);
p_shl_cast_fu_695_p3 <= (tmp_11_fu_691_p1 & ap_const_lv5_0);
tmp_100_fu_1154_p1 <= tmp_53_reg_1507(14 - 1 downto 0);
tmp_101_fu_1249_p1 <= j_1_reg_370(9 - 1 downto 0);
tmp_102_fu_1253_p1 <= j_1_reg_370(14 - 1 downto 0);
tmp_103_fu_1277_p1 <= tmp_54_reg_1575(14 - 1 downto 0);
tmp_10_fu_573_p2 <= "1" when (P_mode = ap_const_lv32_6) else "0";
tmp_11_fu_691_p1 <= P_index1(9 - 1 downto 0);
tmp_12_fu_703_p1 <= P_index1(11 - 1 downto 0);
tmp_13_fu_715_p2 <= std_logic_vector(unsigned(p_shl_cast_fu_695_p3) + unsigned(p_shl1_cast_fu_707_p3));
tmp_14_fu_579_p2 <= "1" when (P_mode = ap_const_lv32_7) else "0";
tmp_15_fu_936_p2 <= std_logic_vector(signed(ap_const_lv31_7FFFFFFF) + signed(i_reg_289));
tmp_16_fu_721_p2 <= std_logic_vector(unsigned(tmp_7_fu_687_p1) + unsigned(tmp_13_fu_715_p2));
tmp_19_fu_1319_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed('0' &ap_const_lv14_29) * signed(tmp_16_reg_1399))), 14));
tmp_1_fu_538_p2 <= "1" when (P_mode = ap_const_lv32_1) else "0";
tmp_20_fu_1066_p2 <= "1" when (signed(j_reg_301) < signed(tmp_fu_1053_p6)) else "0";
tmp_21_cast_fu_1329_p1 <= std_logic_vector(resize(signed(tmp_21_reg_1639),64));
tmp_21_fu_1324_p2 <= std_logic_vector(unsigned(tmp_6_reg_1394) + unsigned(tmp_19_fu_1319_p2));
tmp_22_fu_1195_p2 <= "1" when (signed(i_1_reg_347) < signed(tmp_27_fu_1182_p6)) else "0";
tmp_23_fu_1014_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFE) + signed(ST_numLayer_load_reg_1353));
tmp_24_fu_765_p2 <= "1" when (signed(max_2_cast_fu_761_p1) < signed(tmp_31_reg_1384)) else "0";
tmp_25_fu_902_p1 <= i_reg_289(9 - 1 downto 0);
tmp_26_fu_914_p1 <= i_reg_289(11 - 1 downto 0);
tmp_28_fu_926_p2 <= std_logic_vector(unsigned(p_shl8_cast_fu_906_p3) + unsigned(p_shl9_cast_fu_918_p3));
tmp_29_fu_932_p1 <= i_reg_289(2 - 1 downto 0);
tmp_2_fu_549_p2 <= "1" when (P_mode = ap_const_lv32_2) else "0";
tmp_30_fu_942_p1 <= tmp_15_fu_936_p2(4 - 1 downto 0);
tmp_31_fu_619_p5 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_33_fu_1115_p2 <= "1" when (signed(k_cast_fu_1111_p1) < signed(tmp_53_reg_1507)) else "0";
tmp_34_fu_1238_p2 <= "1" when (signed(j_1_cast_fu_1234_p1) < signed(tmp_54_reg_1575)) else "0";
tmp_35_fu_1294_p2 <= "1" when (signed(i_2_cast_fu_1290_p1) < signed(tmp_27_reg_1562)) else "0";
tmp_36_fu_954_p1 <= tmp_15_fu_936_p2(6 - 1 downto 0);
tmp_38_fu_966_p2 <= std_logic_vector(unsigned(p_shl6_cast_fu_946_p3) + unsigned(p_shl7_cast_fu_958_p3));
tmp_39_fu_1177_p1 <= tmp_39_neg_fu_1171_p2;
tmp_39_neg_fu_1171_p2 <= (tmp_39_to_int_fu_1167_p1 xor ap_const_lv32_80000000);
tmp_39_to_int_fu_1167_p1 <= reg_516;
tmp_3_fu_897_p2 <= "1" when (signed(i_cast_fu_893_p1) < signed(ST_numLayer_load_reg_1353)) else "0";
tmp_45_fu_972_p1 <= tmp_15_fu_936_p2(2 - 1 downto 0);
tmp_47_fu_976_p1 <= grp_fu_469_p2(9 - 1 downto 0);
tmp_4_fu_555_p2 <= "1" when (P_mode = ap_const_lv32_3) else "0";
tmp_51_fu_988_p1 <= grp_fu_469_p2(11 - 1 downto 0);
tmp_55_fu_808_p4 <= ST_uOut_load_1_to_int_fu_804_p1(30 downto 23);
tmp_56_fu_1000_p2 <= std_logic_vector(unsigned(p_shl4_cast_fu_980_p3) + unsigned(p_shl5_cast_fu_992_p3));
tmp_57_fu_825_p4 <= ST_uOut_load_2_to_int_fu_822_p1(30 downto 23);
tmp_58_fu_1006_p1 <= tmp_56_fu_1000_p2(9 - 1 downto 0);
tmp_59_fu_851_p2 <= (notrhs_fu_845_p2 or notlhs_fu_839_p2);
tmp_5_fu_727_p1 <= P_index1(2 - 1 downto 0);
tmp_60_fu_869_p2 <= (notrhs2_fu_863_p2 or notlhs1_fu_857_p2);
tmp_61_fu_875_p2 <= (tmp_59_fu_851_p2 and tmp_60_fu_869_p2);
tmp_62_fu_450_opcode <= ap_const_lv5_2;
tmp_63_fu_881_p2 <= (tmp_61_fu_875_p2 and tmp_62_fu_450_p2);
tmp_64_fu_1010_p1 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_65_fu_661_p2 <= std_logic_vector(unsigned(p_shl10_cast_fu_641_p3) + unsigned(p_shl11_cast_fu_653_p3));
tmp_66_cast_fu_673_p1 <= std_logic_vector(resize(signed(tmp_66_fu_667_p2),64));
tmp_66_fu_667_p2 <= std_logic_vector(unsigned(tmp_71_fu_633_p1) + unsigned(tmp_65_fu_661_p2));
tmp_67_fu_1019_p1 <= tmp_23_fu_1014_p2(4 - 1 downto 0);
tmp_68_fu_1031_p1 <= tmp_23_fu_1014_p2(6 - 1 downto 0);
tmp_69_fu_1043_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_1023_p3) + unsigned(p_shl3_cast_fu_1035_p3));
tmp_6_fu_683_p1 <= P_intIn_index3(14 - 1 downto 0);
tmp_70_fu_1049_p1 <= tmp_23_fu_1014_p2(2 - 1 downto 0);
tmp_71_fu_633_p1 <= P_index2(9 - 1 downto 0);
tmp_72_fu_637_p1 <= P_index1(4 - 1 downto 0);
tmp_73_fu_649_p1 <= P_index1(6 - 1 downto 0);
tmp_74_fu_585_p1 <= grp_fu_469_p2(4 - 1 downto 0);
tmp_75_fu_597_p1 <= grp_fu_469_p2(6 - 1 downto 0);
tmp_76_fu_609_p2 <= std_logic_vector(unsigned(p_shl12_cast_fu_589_p3) + unsigned(p_shl13_cast_fu_601_p3));
tmp_78_fu_1091_p1 <= j_reg_301(14 - 1 downto 0);
tmp_79_fu_1095_p2 <= std_logic_vector(unsigned(tmp_28_reg_1454) + unsigned(tmp_78_fu_1091_p1));
tmp_7_fu_687_p1 <= P_index2(14 - 1 downto 0);
tmp_80_fu_1333_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_81_fu_1220_p1 <= i_1_reg_347(14 - 1 downto 0);
tmp_82_cast_fu_1100_p1 <= std_logic_vector(resize(signed(tmp_79_fu_1095_p2),64));
tmp_82_fu_1224_p2 <= std_logic_vector(unsigned(tmp_56_reg_1474) + unsigned(tmp_81_fu_1220_p1));
tmp_83_fu_1339_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_84_cast_fu_1229_p1 <= std_logic_vector(resize(signed(tmp_82_fu_1224_p2),64));
tmp_84_fu_1305_p1 <= i_2_reg_381(9 - 1 downto 0);
tmp_85_fu_1309_p2 <= std_logic_vector(unsigned(tmp_58_reg_1479) + unsigned(tmp_84_fu_1305_p1));
tmp_86_cast_fu_779_p1 <= std_logic_vector(resize(signed(tmp_86_fu_774_p2),64));
tmp_86_fu_774_p2 <= std_logic_vector(unsigned(tmp_94_fu_770_p1) + unsigned(tmp_76_reg_1378));
tmp_87_cast_fu_793_p1 <= std_logic_vector(resize(signed(tmp_87_fu_788_p2),64));
tmp_87_fu_788_p2 <= std_logic_vector(unsigned(tmp_95_fu_784_p1) + unsigned(tmp_76_reg_1378));
tmp_88_cast_fu_1139_p1 <= std_logic_vector(resize(signed(tmp_88_fu_1134_p2),64));
tmp_88_fu_1134_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_99_fu_1130_p1));
tmp_89_cast_fu_1149_p1 <= std_logic_vector(resize(unsigned(tmp_89_fu_1144_p2),64));
tmp_89_fu_1144_p2 <= std_logic_vector(unsigned(tmp_38_reg_1464) + unsigned(tmp_98_fu_1126_p1));
tmp_8_fu_561_p2 <= "1" when (P_mode = ap_const_lv32_4) else "0";
tmp_90_cast_fu_1162_p1 <= std_logic_vector(resize(signed(tmp_90_fu_1157_p2),64));
tmp_90_fu_1157_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_100_fu_1154_p1));
tmp_91_cast_fu_1262_p1 <= std_logic_vector(resize(signed(tmp_91_fu_1257_p2),64));
tmp_91_fu_1257_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_102_fu_1253_p1));
tmp_92_cast_fu_1272_p1 <= std_logic_vector(resize(signed(tmp_92_fu_1267_p2),64));
tmp_92_fu_1267_p2 <= std_logic_vector(unsigned(tmp_69_reg_1489) + unsigned(tmp_101_fu_1249_p1));
tmp_93_cast_fu_1285_p1 <= std_logic_vector(resize(signed(tmp_93_fu_1280_p2),64));
tmp_93_fu_1280_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_103_fu_1277_p1));
tmp_94_cast_fu_1314_p1 <= std_logic_vector(resize(signed(tmp_85_fu_1309_p2),64));
tmp_94_fu_770_p1 <= max_2_reg_266(9 - 1 downto 0);
tmp_95_fu_784_p1 <= max_reg_277(9 - 1 downto 0);
tmp_96_fu_818_p1 <= ST_uOut_load_1_to_int_fu_804_p1(23 - 1 downto 0);
tmp_97_fu_835_p1 <= ST_uOut_load_2_to_int_fu_822_p1(23 - 1 downto 0);
tmp_98_fu_1126_p1 <= k_reg_324(9 - 1 downto 0);
tmp_99_fu_1130_p1 <= k_reg_324(14 - 1 downto 0);
tmp_9_fu_678_p1 <= std_logic_vector(resize(signed(P_index1),64));
tmp_s_fu_567_p2 <= "1" when (P_mode = ap_const_lv32_5) else "0";
end behav;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ANN is
generic (
C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 7;
C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
s_axi_AXILiteS_AWVALID : IN STD_LOGIC;
s_axi_AXILiteS_AWREADY : OUT STD_LOGIC;
s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_WVALID : IN STD_LOGIC;
s_axi_AXILiteS_WREADY : OUT STD_LOGIC;
s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0);
s_axi_AXILiteS_ARVALID : IN STD_LOGIC;
s_axi_AXILiteS_ARREADY : OUT STD_LOGIC;
s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_RVALID : OUT STD_LOGIC;
s_axi_AXILiteS_RREADY : IN STD_LOGIC;
s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_AXILiteS_BVALID : OUT STD_LOGIC;
s_axi_AXILiteS_BREADY : IN STD_LOGIC;
s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of ANN is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"ANN,hls_ip_2015_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.621000,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=18,HLS_SYN_DSP=37,HLS_SYN_FF=8935,HLS_SYN_LUT=12780}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010";
constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100";
constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000";
constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000";
constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000";
constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000";
constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000";
constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000";
constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000";
constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000";
constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000";
constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000";
constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000";
constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000";
constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000";
constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000";
constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000";
constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000";
constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000";
constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000";
constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000";
constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000";
constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000";
constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000";
constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000";
constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000";
constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000";
constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000";
constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000";
constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000";
constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000";
constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000";
constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000";
constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000";
constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000";
constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000";
constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000";
constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000";
constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000";
constant ap_ST_st41_fsm_40 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000";
constant ap_ST_st42_fsm_41 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000";
constant ap_ST_st43_fsm_42 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000";
constant ap_ST_st44_fsm_43 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000";
constant ap_ST_st45_fsm_44 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000";
constant ap_ST_st46_fsm_45 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000";
constant ap_ST_st47_fsm_46 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000";
constant ap_ST_st48_fsm_47 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000";
constant ap_ST_st49_fsm_48 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000";
constant ap_ST_st50_fsm_49 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000";
constant ap_ST_st51_fsm_50 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000";
constant ap_ST_st52_fsm_51 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000";
constant ap_ST_st53_fsm_52 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000";
constant ap_ST_st54_fsm_53 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000";
constant ap_ST_st55_fsm_54 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000";
constant ap_ST_st56_fsm_55 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000";
constant ap_ST_st57_fsm_56 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000";
constant ap_ST_st58_fsm_57 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st59_fsm_58 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st60_fsm_59 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st61_fsm_60 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st62_fsm_61 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st63_fsm_62 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st64_fsm_63 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st65_fsm_64 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st66_fsm_65 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st67_fsm_66 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st68_fsm_67 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st69_fsm_68 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st70_fsm_69 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st71_fsm_70 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st72_fsm_71 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st73_fsm_72 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st74_fsm_73 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st75_fsm_74 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st76_fsm_75 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st77_fsm_76 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st78_fsm_77 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st79_fsm_78 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st80_fsm_79 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st81_fsm_80 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st82_fsm_81 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st83_fsm_82 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st84_fsm_83 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st85_fsm_84 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st86_fsm_85 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st87_fsm_86 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st88_fsm_87 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st89_fsm_88 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st90_fsm_89 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st91_fsm_90 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st92_fsm_91 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st93_fsm_92 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st94_fsm_93 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st95_fsm_94 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st96_fsm_95 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st97_fsm_96 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st98_fsm_97 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st99_fsm_98 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st100_fsm_99 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st101_fsm_100 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st102_fsm_101 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st103_fsm_102 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st104_fsm_103 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st105_fsm_104 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st106_fsm_105 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st107_fsm_106 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st108_fsm_107 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st109_fsm_108 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st110_fsm_109 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st111_fsm_110 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st112_fsm_111 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st113_fsm_112 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st114_fsm_113 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st115_fsm_114 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st116_fsm_115 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st117_fsm_116 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st118_fsm_117 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st119_fsm_118 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st120_fsm_119 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st121_fsm_120 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st122_fsm_121 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st123_fsm_122 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st124_fsm_123 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st125_fsm_124 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st126_fsm_125 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st127_fsm_126 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st128_fsm_127 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st129_fsm_128 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st130_fsm_129 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st131_fsm_130 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st132_fsm_131 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st133_fsm_132 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st134_fsm_133 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st135_fsm_134 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st136_fsm_135 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st137_fsm_136 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st138_fsm_137 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st139_fsm_138 : STD_LOGIC_VECTOR (149 downto 0) := "000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st140_fsm_139 : STD_LOGIC_VECTOR (149 downto 0) := "000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st141_fsm_140 : STD_LOGIC_VECTOR (149 downto 0) := "000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st142_fsm_141 : STD_LOGIC_VECTOR (149 downto 0) := "000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st143_fsm_142 : STD_LOGIC_VECTOR (149 downto 0) := "000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st144_fsm_143 : STD_LOGIC_VECTOR (149 downto 0) := "000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st145_fsm_144 : STD_LOGIC_VECTOR (149 downto 0) := "000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st146_fsm_145 : STD_LOGIC_VECTOR (149 downto 0) := "000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st147_fsm_146 : STD_LOGIC_VECTOR (149 downto 0) := "000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st148_fsm_147 : STD_LOGIC_VECTOR (149 downto 0) := "001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st149_fsm_148 : STD_LOGIC_VECTOR (149 downto 0) := "010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st150_fsm_149 : STD_LOGIC_VECTOR (149 downto 0) := "100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010";
constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110";
constant ap_const_lv32_58 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011000";
constant ap_const_lv32_81 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000001";
constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111";
constant ap_const_lv32_61 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100001";
constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001";
constant ap_const_lv32_5B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011011";
constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110";
constant ap_const_lv32_60 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100000";
constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100";
constant ap_const_lv32_66 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100110";
constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101";
constant ap_const_lv32_67 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100111";
constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111";
constant ap_const_lv32_79 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111001";
constant ap_const_lv32_54 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010100";
constant ap_const_lv32_7A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111010";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100";
constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001";
constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011";
constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100";
constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101";
constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100";
constant ap_const_lv32_53 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010011";
constant ap_const_lv32_56 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010110";
constant ap_const_lv32_57 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010111";
constant ap_const_lv32_7F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111111";
constant ap_const_lv32_80 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000000";
constant ap_const_lv32_91 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010001";
constant ap_const_lv32_93 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010011";
constant ap_const_lv31_1 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000001";
constant ap_const_lv32_55 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010101";
constant ap_const_lv31_0 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000000";
constant ap_const_lv32_92 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010010";
constant ap_const_lv32_94 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010100";
constant ap_const_lv32_BF800000 : STD_LOGIC_VECTOR (31 downto 0) := "10111111100000000000000000000000";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv32_7B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111011";
constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010";
constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000";
constant ap_const_lv32_5C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011100";
constant ap_const_lv32_62 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100010";
constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110";
constant ap_const_lv32_FFFFFFFF : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111111";
constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101";
constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110";
constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111";
constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000";
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111";
constant ap_const_lv23_0 : STD_LOGIC_VECTOR (22 downto 0) := "00000000000000000000000";
constant ap_const_lv31_7FFFFFFF : STD_LOGIC_VECTOR (30 downto 0) := "1111111111111111111111111111111";
constant ap_const_lv32_FFFFFFFE : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111110";
constant ap_const_lv32_80000000 : STD_LOGIC_VECTOR (31 downto 0) := "10000000000000000000000000000000";
constant ap_const_lv14_29 : STD_LOGIC_VECTOR (13 downto 0) := "00000000101001";
constant ap_const_lv5_2 : STD_LOGIC_VECTOR (4 downto 0) := "00010";
constant ap_const_lv32_95 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010101";
constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000";
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC;
signal ap_sig_bdd_168 : BOOLEAN;
signal ap_ready : STD_LOGIC;
signal P_mode : STD_LOGIC_VECTOR (31 downto 0);
signal P_index1 : STD_LOGIC_VECTOR (31 downto 0);
signal P_index2 : STD_LOGIC_VECTOR (31 downto 0);
signal P_intIn_index3 : STD_LOGIC_VECTOR (31 downto 0);
signal P_floatIn : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_WandB_address0 : STD_LOGIC_VECTOR (12 downto 0);
signal ST_WandB_ce0 : STD_LOGIC;
signal ST_WandB_we0 : STD_LOGIC;
signal ST_WandB_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_WandB_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address0 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce0 : STD_LOGIC;
signal ST_uOut_we0 : STD_LOGIC;
signal ST_uOut_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address1 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce1 : STD_LOGIC;
signal ST_uOut_we1 : STD_LOGIC;
signal ST_uOut_d1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_layerSize_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ap_return : STD_LOGIC_VECTOR (31 downto 0);
signal ANN_AXILiteS_s_axi_U_ap_dummy_ce : STD_LOGIC;
signal reg_490 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC;
signal ap_sig_bdd_253 : BOOLEAN;
signal ap_sig_cseq_ST_st11_fsm_10 : STD_LOGIC;
signal ap_sig_bdd_260 : BOOLEAN;
signal ap_sig_cseq_ST_st15_fsm_14 : STD_LOGIC;
signal ap_sig_bdd_268 : BOOLEAN;
signal ap_sig_cseq_ST_st89_fsm_88 : STD_LOGIC;
signal ap_sig_bdd_275 : BOOLEAN;
signal ap_sig_cseq_ST_st130_fsm_129 : STD_LOGIC;
signal ap_sig_bdd_283 : BOOLEAN;
signal reg_499 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st24_fsm_23 : STD_LOGIC;
signal ap_sig_bdd_292 : BOOLEAN;
signal ap_sig_cseq_ST_st98_fsm_97 : STD_LOGIC;
signal ap_sig_bdd_301 : BOOLEAN;
signal grp_fu_428_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_505 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st18_fsm_17 : STD_LOGIC;
signal ap_sig_bdd_311 : BOOLEAN;
signal ap_sig_cseq_ST_st92_fsm_91 : STD_LOGIC;
signal ap_sig_bdd_318 : BOOLEAN;
signal grp_fu_421_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st23_fsm_22 : STD_LOGIC;
signal ap_sig_bdd_328 : BOOLEAN;
signal ap_sig_cseq_ST_st97_fsm_96 : STD_LOGIC;
signal ap_sig_bdd_335 : BOOLEAN;
signal reg_516 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st29_fsm_28 : STD_LOGIC;
signal ap_sig_bdd_344 : BOOLEAN;
signal ap_sig_cseq_ST_st103_fsm_102 : STD_LOGIC;
signal ap_sig_bdd_351 : BOOLEAN;
signal grp_fu_447_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_521 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st30_fsm_29 : STD_LOGIC;
signal ap_sig_bdd_361 : BOOLEAN;
signal ap_sig_cseq_ST_st104_fsm_103 : STD_LOGIC;
signal ap_sig_bdd_368 : BOOLEAN;
signal grp_fu_464_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_526 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st48_fsm_47 : STD_LOGIC;
signal ap_sig_bdd_378 : BOOLEAN;
signal ap_sig_cseq_ST_st122_fsm_121 : STD_LOGIC;
signal ap_sig_bdd_385 : BOOLEAN;
signal grp_fu_444_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_532 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st85_fsm_84 : STD_LOGIC;
signal ap_sig_bdd_395 : BOOLEAN;
signal ap_sig_cseq_ST_st123_fsm_122 : STD_LOGIC;
signal ap_sig_bdd_402 : BOOLEAN;
signal P_floatIn_read_reg_1345 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer_load_reg_1353 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_76_fu_609_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_76_reg_1378 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_1_fu_538_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_2_fu_549_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_4_fu_555_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_8_fu_561_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_s_fu_567_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_10_fu_573_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_14_fu_579_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_31_fu_619_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_31_reg_1384 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_6_fu_683_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_6_reg_1394 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_fu_721_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_reg_1399 : STD_LOGIC_VECTOR (13 downto 0);
signal max_2_cast_fu_761_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal max_2_cast_reg_1407 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC;
signal ap_sig_bdd_458 : BOOLEAN;
signal tmp_24_fu_765_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_4_fu_798_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_4_reg_1425 : STD_LOGIC_VECTOR (30 downto 0);
signal ST_uOut_load_2_reg_1430 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_63_fu_881_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_63_reg_1436 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st4_fsm_3 : STD_LOGIC;
signal ap_sig_bdd_478 : BOOLEAN;
signal max_1_fu_887_p3 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st5_fsm_4 : STD_LOGIC;
signal ap_sig_bdd_487 : BOOLEAN;
signal grp_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st10_fsm_9 : STD_LOGIC;
signal ap_sig_bdd_496 : BOOLEAN;
signal tmp_28_fu_926_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_28_reg_1454 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st12_fsm_11 : STD_LOGIC;
signal ap_sig_bdd_505 : BOOLEAN;
signal tmp_3_fu_897_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_29_fu_932_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_29_reg_1459 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_38_fu_966_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_38_reg_1464 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_45_fu_972_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_45_reg_1469 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_56_fu_1000_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_56_reg_1474 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_58_fu_1006_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_58_reg_1479 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_64_fu_1010_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_64_reg_1484 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_69_fu_1043_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_69_reg_1489 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_70_fu_1049_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_70_reg_1494 : STD_LOGIC_VECTOR (1 downto 0);
signal j_2_fu_1072_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal j_2_reg_1502 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st13_fsm_12 : STD_LOGIC;
signal ap_sig_bdd_535 : BOOLEAN;
signal tmp_53_fu_1078_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_53_reg_1507 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_20_fu_1066_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_80_fu_1333_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_reg_1513 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_5_reg_1519 : STD_LOGIC_VECTOR (7 downto 0);
signal i_3_fu_1105_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_fu_1120_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_reg_1532 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st14_fsm_13 : STD_LOGIC;
signal ap_sig_bdd_557 : BOOLEAN;
signal tmp_33_fu_1115_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_454_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_42_reg_1552 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st53_fsm_52 : STD_LOGIC;
signal ap_sig_bdd_577 : BOOLEAN;
signal grp_fu_459_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_43_reg_1557 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st84_fsm_83 : STD_LOGIC;
signal ap_sig_bdd_586 : BOOLEAN;
signal tmp_27_fu_1182_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_27_reg_1562 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st87_fsm_86 : STD_LOGIC;
signal ap_sig_bdd_595 : BOOLEAN;
signal i_5_fu_1201_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal i_5_reg_1570 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_fu_1207_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_reg_1575 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_22_fu_1195_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_83_fu_1339_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_83_reg_1581 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_7_reg_1587 : STD_LOGIC_VECTOR (7 downto 0);
signal j_3_fu_1243_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal j_3_reg_1595 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st88_fsm_87 : STD_LOGIC;
signal ap_sig_bdd_616 : BOOLEAN;
signal tmp_34_fu_1238_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st128_fsm_127 : STD_LOGIC;
signal ap_sig_bdd_635 : BOOLEAN;
signal i_6_fu_1299_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_6_reg_1623 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st129_fsm_128 : STD_LOGIC;
signal ap_sig_bdd_644 : BOOLEAN;
signal ST_uOut_addr_8_reg_1628 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_35_fu_1294_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_435_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_52_reg_1634 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st146_fsm_145 : STD_LOGIC;
signal ap_sig_bdd_659 : BOOLEAN;
signal tmp_21_fu_1324_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_21_reg_1639 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st148_fsm_147 : STD_LOGIC;
signal ap_sig_bdd_668 : BOOLEAN;
signal max_2_reg_266 : STD_LOGIC_VECTOR (30 downto 0);
signal max_reg_277 : STD_LOGIC_VECTOR (31 downto 0);
signal i_reg_289 : STD_LOGIC_VECTOR (30 downto 0);
signal j_reg_301 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st86_fsm_85 : STD_LOGIC;
signal ap_sig_bdd_690 : BOOLEAN;
signal sum_reg_312 : STD_LOGIC_VECTOR (31 downto 0);
signal k_reg_324 : STD_LOGIC_VECTOR (30 downto 0);
signal sumsoft_reg_335 : STD_LOGIC_VECTOR (31 downto 0);
signal i_1_reg_347 : STD_LOGIC_VECTOR (31 downto 0);
signal sum_1_reg_358 : STD_LOGIC_VECTOR (31 downto 0);
signal j_1_reg_370 : STD_LOGIC_VECTOR (30 downto 0);
signal i_2_reg_381 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st147_fsm_146 : STD_LOGIC;
signal ap_sig_bdd_712 : BOOLEAN;
signal p_0_reg_392 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st149_fsm_148 : STD_LOGIC;
signal ap_sig_bdd_728 : BOOLEAN;
signal tmp_66_cast_fu_673_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_9_fu_678_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_86_cast_fu_779_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_87_cast_fu_793_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_82_cast_fu_1100_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_88_cast_fu_1139_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_89_cast_fu_1149_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_90_cast_fu_1162_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_84_cast_fu_1229_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_91_cast_fu_1262_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_92_cast_fu_1272_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_93_cast_fu_1285_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_94_cast_fu_1314_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_21_cast_fu_1329_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_5_fu_727_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal ap_sig_cseq_ST_st124_fsm_123 : STD_LOGIC;
signal ap_sig_bdd_818 : BOOLEAN;
signal grp_fu_421_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_421_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st19_fsm_18 : STD_LOGIC;
signal ap_sig_bdd_837 : BOOLEAN;
signal ap_sig_cseq_ST_st25_fsm_24 : STD_LOGIC;
signal ap_sig_bdd_844 : BOOLEAN;
signal ap_sig_cseq_ST_st93_fsm_92 : STD_LOGIC;
signal ap_sig_bdd_852 : BOOLEAN;
signal ap_sig_cseq_ST_st99_fsm_98 : STD_LOGIC;
signal ap_sig_bdd_859 : BOOLEAN;
signal grp_fu_428_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_444_p0 : STD_LOGIC_VECTOR (63 downto 0);
signal grp_fu_447_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_fu_1177_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_74_fu_585_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_75_fu_597_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl12_cast_fu_589_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl13_cast_fu_601_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_31_fu_619_p5 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_72_fu_637_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_73_fu_649_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl10_cast_fu_641_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl11_cast_fu_653_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_71_fu_633_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_65_fu_661_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_66_fu_667_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_11_fu_691_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_12_fu_703_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl_cast_fu_695_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl1_cast_fu_707_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_7_fu_687_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_13_fu_715_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_94_fu_770_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_86_fu_774_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_95_fu_784_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_87_fu_788_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal ST_uOut_load_1_to_int_fu_804_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_load_2_to_int_fu_822_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_55_fu_808_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_96_fu_818_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs_fu_845_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs_fu_839_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_57_fu_825_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_97_fu_835_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs2_fu_863_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs1_fu_857_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_59_fu_851_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_60_fu_869_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_61_fu_875_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_62_fu_450_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_cast_fu_893_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_25_fu_902_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_26_fu_914_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl8_cast_fu_906_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl9_cast_fu_918_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_15_fu_936_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal tmp_30_fu_942_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_36_fu_954_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl6_cast_fu_946_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl7_cast_fu_958_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_47_fu_976_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_51_fu_988_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl4_cast_fu_980_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl5_cast_fu_992_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_23_fu_1014_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_67_fu_1019_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_68_fu_1031_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl2_cast_fu_1023_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl3_cast_fu_1035_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_fu_1053_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_78_fu_1091_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_79_fu_1095_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 : string;
attribute use_dsp48 of tmp_79_fu_1095_p2 : signal is "no";
signal k_cast_fu_1111_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_99_fu_1130_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_88_fu_1134_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_88_fu_1134_p2 : signal is "no";
signal tmp_98_fu_1126_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_89_fu_1144_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_100_fu_1154_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_90_fu_1157_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_90_fu_1157_p2 : signal is "no";
signal tmp_39_to_int_fu_1167_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_neg_fu_1171_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_81_fu_1220_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_82_fu_1224_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_82_fu_1224_p2 : signal is "no";
signal j_1_cast_fu_1234_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_102_fu_1253_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_91_fu_1257_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_91_fu_1257_p2 : signal is "no";
signal tmp_101_fu_1249_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_92_fu_1267_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_103_fu_1277_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_93_fu_1280_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_93_fu_1280_p2 : signal is "no";
signal i_2_cast_fu_1290_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_84_fu_1305_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_85_fu_1309_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_19_fu_1319_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_fu_1333_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal tmp_83_fu_1339_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal grp_fu_421_ce : STD_LOGIC;
signal grp_fu_428_ce : STD_LOGIC;
signal grp_fu_435_ce : STD_LOGIC;
signal grp_fu_440_ce : STD_LOGIC;
signal tmp_62_fu_450_opcode : STD_LOGIC_VECTOR (4 downto 0);
signal grp_fu_454_ce : STD_LOGIC;
signal grp_fu_459_ce : STD_LOGIC;
signal grp_fu_464_ce : STD_LOGIC;
signal ap_sig_cseq_ST_st150_fsm_149 : STD_LOGIC;
signal ap_sig_bdd_1429 : BOOLEAN;
signal ap_NS_fsm : STD_LOGIC_VECTOR (149 downto 0);
component ANN_fadd_32ns_32ns_32_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fmul_32ns_32ns_32_4_max_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fdiv_32ns_32ns_32_16 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_sitofp_32ns_32_6 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fptrunc_64ns_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fpext_32ns_64_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_fcmp_32ns_32ns_1_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
opcode : IN STD_LOGIC_VECTOR (4 downto 0);
dout : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
component ANN_dadd_64ns_64ns_64_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_ddiv_64ns_64ns_64_31 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_dexp_64ns_64ns_64_18_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_mux_4to1_sel2_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din1_WIDTH : INTEGER;
din2_WIDTH : INTEGER;
din3_WIDTH : INTEGER;
din4_WIDTH : INTEGER;
din5_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
din2 : IN STD_LOGIC_VECTOR (31 downto 0);
din3 : IN STD_LOGIC_VECTOR (31 downto 0);
din4 : IN STD_LOGIC_VECTOR (31 downto 0);
din5 : IN STD_LOGIC_VECTOR (1 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_mul_mul_7ns_14s_14_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (6 downto 0);
din1 : IN STD_LOGIC_VECTOR (13 downto 0);
dout : OUT STD_LOGIC_VECTOR (13 downto 0) );
end component;
component ANN_ST_WandB IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (12 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_ST_uOut IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (7 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0);
address1 : IN STD_LOGIC_VECTOR (7 downto 0);
ce1 : IN STD_LOGIC;
we1 : IN STD_LOGIC;
d1 : IN STD_LOGIC_VECTOR (31 downto 0);
q1 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_AXILiteS_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_return : IN STD_LOGIC_VECTOR (31 downto 0);
P_mode : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index1 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index2 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_intIn_index3 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_floatIn : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
begin
ST_WandB_U : component ANN_ST_WandB
generic map (
DataWidth => 32,
AddressRange => 6560,
AddressWidth => 13)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_WandB_address0,
ce0 => ST_WandB_ce0,
we0 => ST_WandB_we0,
d0 => ST_WandB_d0,
q0 => ST_WandB_q0);
ST_uOut_U : component ANN_ST_uOut
generic map (
DataWidth => 32,
AddressRange => 160,
AddressWidth => 8)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_uOut_address0,
ce0 => ST_uOut_ce0,
we0 => ST_uOut_we0,
d0 => ST_uOut_d0,
q0 => ST_uOut_q0,
address1 => ST_uOut_address1,
ce1 => ST_uOut_ce1,
we1 => ST_uOut_we1,
d1 => ST_uOut_d1,
q1 => ST_uOut_q1);
ANN_AXILiteS_s_axi_U : component ANN_AXILiteS_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH)
port map (
AWVALID => s_axi_AXILiteS_AWVALID,
AWREADY => s_axi_AXILiteS_AWREADY,
AWADDR => s_axi_AXILiteS_AWADDR,
WVALID => s_axi_AXILiteS_WVALID,
WREADY => s_axi_AXILiteS_WREADY,
WDATA => s_axi_AXILiteS_WDATA,
WSTRB => s_axi_AXILiteS_WSTRB,
ARVALID => s_axi_AXILiteS_ARVALID,
ARREADY => s_axi_AXILiteS_ARREADY,
ARADDR => s_axi_AXILiteS_ARADDR,
RVALID => s_axi_AXILiteS_RVALID,
RREADY => s_axi_AXILiteS_RREADY,
RDATA => s_axi_AXILiteS_RDATA,
RRESP => s_axi_AXILiteS_RRESP,
BVALID => s_axi_AXILiteS_BVALID,
BREADY => s_axi_AXILiteS_BREADY,
BRESP => s_axi_AXILiteS_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ANN_AXILiteS_s_axi_U_ap_dummy_ce,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
ap_return => ap_return,
P_mode => P_mode,
P_index1 => P_index1,
P_index2 => P_index2,
P_intIn_index3 => P_intIn_index3,
P_floatIn => P_floatIn);
ANN_fadd_32ns_32ns_32_5_full_dsp_U0 : component ANN_fadd_32ns_32ns_32_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_421_p0,
din1 => grp_fu_421_p1,
ce => grp_fu_421_ce,
dout => grp_fu_421_p2);
ANN_fmul_32ns_32ns_32_4_max_dsp_U1 : component ANN_fmul_32ns_32ns_32_4_max_dsp
generic map (
ID => 1,
NUM_STAGE => 4,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_428_p0,
din1 => ST_WandB_q0,
ce => grp_fu_428_ce,
dout => grp_fu_428_p2);
ANN_fdiv_32ns_32ns_32_16_U2 : component ANN_fdiv_32ns_32ns_32_16
generic map (
ID => 1,
NUM_STAGE => 16,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_490,
din1 => sumsoft_reg_335,
ce => grp_fu_435_ce,
dout => grp_fu_435_p2);
ANN_sitofp_32ns_32_6_U3 : component ANN_sitofp_32ns_32_6
generic map (
ID => 1,
NUM_STAGE => 6,
din0_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => max_reg_277,
ce => grp_fu_440_ce,
dout => grp_fu_440_p1);
ANN_fptrunc_64ns_32_1_U4 : component ANN_fptrunc_64ns_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 64,
dout_WIDTH => 32)
port map (
din0 => grp_fu_444_p0,
dout => grp_fu_444_p1);
ANN_fpext_32ns_64_1_U5 : component ANN_fpext_32ns_64_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
dout_WIDTH => 64)
port map (
din0 => grp_fu_447_p0,
dout => grp_fu_447_p1);
ANN_fcmp_32ns_32ns_1_1_U6 : component ANN_fcmp_32ns_32ns_1_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 1)
port map (
din0 => reg_490,
din1 => ST_uOut_load_2_reg_1430,
opcode => tmp_62_fu_450_opcode,
dout => tmp_62_fu_450_p2);
ANN_dadd_64ns_64ns_64_5_full_dsp_U7 : component ANN_dadd_64ns_64ns_64_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_526,
din1 => ap_const_lv64_3FF0000000000000,
ce => grp_fu_454_ce,
dout => grp_fu_454_p2);
ANN_ddiv_64ns_64ns_64_31_U8 : component ANN_ddiv_64ns_64ns_64_31
generic map (
ID => 1,
NUM_STAGE => 31,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_3FF0000000000000,
din1 => tmp_42_reg_1552,
ce => grp_fu_459_ce,
dout => grp_fu_459_p2);
ANN_dexp_64ns_64ns_64_18_full_dsp_U9 : component ANN_dexp_64ns_64ns_64_18_full_dsp
generic map (
ID => 1,
NUM_STAGE => 18,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_0,
din1 => reg_521,
ce => grp_fu_464_ce,
dout => grp_fu_464_p2);
ANN_mux_4to1_sel2_32_1_U10 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_31_fu_619_p5,
dout => tmp_31_fu_619_p6);
ANN_mux_4to1_sel2_32_1_U11 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_29_reg_1459,
dout => tmp_fu_1053_p6);
ANN_mux_4to1_sel2_32_1_U12 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_45_reg_1469,
dout => tmp_53_fu_1078_p6);
ANN_mux_4to1_sel2_32_1_U13 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_64_reg_1484,
dout => tmp_27_fu_1182_p6);
ANN_mux_4to1_sel2_32_1_U14 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_70_reg_1494,
dout => tmp_54_fu_1207_p6);
ANN_mul_mul_7ns_14s_14_1_U15 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_80_fu_1333_p0,
din1 => tmp_79_fu_1095_p2,
dout => tmp_80_fu_1333_p2);
ANN_mul_mul_7ns_14s_14_1_U16 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_83_fu_1339_p0,
din1 => tmp_82_fu_1224_p2,
dout => tmp_83_fu_1339_p2);
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- i_1_reg_347 assign process. --
i_1_reg_347_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
i_1_reg_347 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
i_1_reg_347 <= i_5_reg_1570;
end if;
end if;
end process;
-- i_2_reg_381 assign process. --
i_2_reg_381_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and (ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
i_2_reg_381 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
i_2_reg_381 <= i_6_reg_1623;
end if;
end if;
end process;
-- i_reg_289 assign process. --
i_reg_289_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
i_reg_289 <= ap_const_lv31_1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and (ap_const_lv1_0 = tmp_20_fu_1066_p2))) then
i_reg_289 <= i_3_fu_1105_p2;
end if;
end if;
end process;
-- j_1_reg_370 assign process. --
j_1_reg_370_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
j_1_reg_370 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
j_1_reg_370 <= j_3_reg_1595;
end if;
end if;
end process;
-- j_reg_301 assign process. --
j_reg_301_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
j_reg_301 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
j_reg_301 <= j_2_reg_1502;
end if;
end if;
end process;
-- k_reg_324 assign process. --
k_reg_324_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
k_reg_324 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
k_reg_324 <= k_1_reg_1532;
end if;
end if;
end process;
-- max_2_reg_266 assign process. --
max_2_reg_266_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_2_reg_266 <= ap_const_lv31_1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_2_reg_266 <= i_4_reg_1425;
end if;
end if;
end process;
-- max_reg_277 assign process. --
max_reg_277_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_reg_277 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_reg_277 <= max_1_fu_887_p3;
end if;
end if;
end process;
-- p_0_reg_392 assign process. --
p_0_reg_392_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))) then
p_0_reg_392 <= ap_const_lv32_BF800000;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) then
p_0_reg_392 <= grp_fu_440_p1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) then
p_0_reg_392 <= ST_uOut_q0;
elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and (ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
p_0_reg_392 <= ap_const_lv32_0;
end if;
end if;
end process;
-- reg_490 assign process. --
reg_490_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
reg_490 <= ST_uOut_q1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) or (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st130_fsm_129))) then
reg_490 <= ST_uOut_q0;
end if;
end if;
end process;
-- sum_1_reg_358 assign process. --
sum_1_reg_358_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
sum_1_reg_358 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
sum_1_reg_358 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sum_reg_312 assign process. --
sum_reg_312_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
sum_reg_312 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
sum_reg_312 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sumsoft_reg_335 assign process. --
sumsoft_reg_335_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
sumsoft_reg_335 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
sumsoft_reg_335 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then
P_floatIn_read_reg_1345 <= P_floatIn;
ST_numLayer_load_reg_1353 <= ST_numLayer;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_0))) then
ST_layerSize_0 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_1))) then
ST_layerSize_1 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_2))) then
ST_layerSize_2 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_1)) and not((tmp_5_fu_727_p1 = ap_const_lv2_0)))) then
ST_layerSize_3 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0)))) then
ST_numLayer <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
ST_uOut_addr_5_reg_1519 <= tmp_82_cast_fu_1100_p1(8 - 1 downto 0);
tmp_53_reg_1507 <= tmp_53_fu_1078_p6;
tmp_80_reg_1513 <= tmp_80_fu_1333_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
ST_uOut_addr_7_reg_1587 <= tmp_84_cast_fu_1229_p1(8 - 1 downto 0);
tmp_54_reg_1575 <= tmp_54_fu_1207_p6;
tmp_83_reg_1581 <= tmp_83_fu_1339_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and not((ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
ST_uOut_addr_8_reg_1628 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then
ST_uOut_load_2_reg_1430 <= ST_uOut_q1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((ap_const_lv1_0 = tmp_24_fu_765_p2)))) then
i_4_reg_1425 <= i_4_fu_798_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) then
i_5_reg_1570 <= i_5_fu_1201_p2;
tmp_27_reg_1562 <= tmp_27_fu_1182_p6;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
i_6_reg_1623 <= i_6_fu_1299_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) then
j_2_reg_1502 <= j_2_fu_1072_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
j_3_reg_1595 <= j_3_fu_1243_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
k_1_reg_1532 <= k_1_fu_1120_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
max_2_cast_reg_1407(30 downto 0) <= max_2_cast_fu_761_p1(30 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23) or (ap_const_logic_1 = ap_sig_cseq_ST_st98_fsm_97))) then
reg_499 <= ST_WandB_q0;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17) or (ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91))) then
reg_505 <= grp_fu_428_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28) or (ap_const_logic_1 = ap_sig_cseq_ST_st103_fsm_102))) then
reg_516 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29) or (ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103))) then
reg_521 <= grp_fu_447_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st48_fsm_47) or (ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121))) then
reg_526 <= grp_fu_464_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84) or (ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122))) then
reg_532 <= grp_fu_444_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
tmp_16_reg_1399 <= tmp_16_fu_721_p2;
tmp_6_reg_1394 <= tmp_6_fu_683_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st148_fsm_147)) then
tmp_21_reg_1639 <= tmp_21_fu_1324_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
tmp_28_reg_1454(13 downto 3) <= tmp_28_fu_926_p2(13 downto 3);
tmp_29_reg_1459 <= tmp_29_fu_932_p1;
tmp_38_reg_1464(8 downto 3) <= tmp_38_fu_966_p2(8 downto 3);
tmp_45_reg_1469 <= tmp_45_fu_972_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
tmp_31_reg_1384 <= tmp_31_fu_619_p6;
tmp_76_reg_1378(8 downto 3) <= tmp_76_fu_609_p2(8 downto 3);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st53_fsm_52)) then
tmp_42_reg_1552 <= grp_fu_454_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st84_fsm_83)) then
tmp_43_reg_1557 <= grp_fu_459_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st146_fsm_145)) then
tmp_52_reg_1634 <= grp_fu_435_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
tmp_56_reg_1474(13 downto 3) <= tmp_56_fu_1000_p2(13 downto 3);
tmp_58_reg_1479(8 downto 3) <= tmp_58_fu_1006_p1(8 downto 3);
tmp_64_reg_1484 <= tmp_64_fu_1010_p1;
tmp_69_reg_1489(8 downto 3) <= tmp_69_fu_1043_p2(8 downto 3);
tmp_70_reg_1494 <= tmp_70_fu_1049_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) then
tmp_63_reg_1436 <= tmp_63_fu_881_p2;
end if;
end if;
end process;
tmp_76_reg_1378(2 downto 0) <= "000";
max_2_cast_reg_1407(31) <= '0';
tmp_28_reg_1454(2 downto 0) <= "000";
tmp_38_reg_1464(2 downto 0) <= "000";
tmp_56_reg_1474(2 downto 0) <= "000";
tmp_58_reg_1479(2 downto 0) <= "000";
tmp_69_reg_1489(2 downto 0) <= "000";
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, tmp_14_fu_579_p2, tmp_24_fu_765_p2, tmp_3_fu_897_p2, tmp_20_fu_1066_p2, tmp_33_fu_1115_p2, tmp_22_fu_1195_p2, tmp_34_fu_1238_p2, tmp_35_fu_1294_p2)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
if ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
elsif ((not((ap_start = ap_const_logic_0)) and (not((tmp_1_fu_538_p2 = ap_const_lv1_0)) or not((ap_const_lv1_0 = tmp_2_fu_549_p2)) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))))) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ap_NS_fsm <= ap_ST_st11_fsm_10;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
ap_NS_fsm <= ap_ST_st148_fsm_147;
else
ap_NS_fsm <= ap_ST_st1_fsm_0;
end if;
when ap_ST_st2_fsm_1 =>
if ((ap_const_lv1_0 = tmp_24_fu_765_p2)) then
ap_NS_fsm <= ap_ST_st6_fsm_5;
else
ap_NS_fsm <= ap_ST_st3_fsm_2;
end if;
when ap_ST_st3_fsm_2 =>
ap_NS_fsm <= ap_ST_st4_fsm_3;
when ap_ST_st4_fsm_3 =>
ap_NS_fsm <= ap_ST_st5_fsm_4;
when ap_ST_st5_fsm_4 =>
ap_NS_fsm <= ap_ST_st2_fsm_1;
when ap_ST_st6_fsm_5 =>
ap_NS_fsm <= ap_ST_st7_fsm_6;
when ap_ST_st7_fsm_6 =>
ap_NS_fsm <= ap_ST_st8_fsm_7;
when ap_ST_st8_fsm_7 =>
ap_NS_fsm <= ap_ST_st9_fsm_8;
when ap_ST_st9_fsm_8 =>
ap_NS_fsm <= ap_ST_st10_fsm_9;
when ap_ST_st10_fsm_9 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st11_fsm_10 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st12_fsm_11 =>
if ((ap_const_lv1_0 = tmp_3_fu_897_p2)) then
ap_NS_fsm <= ap_ST_st87_fsm_86;
else
ap_NS_fsm <= ap_ST_st13_fsm_12;
end if;
when ap_ST_st13_fsm_12 =>
if ((ap_const_lv1_0 = tmp_20_fu_1066_p2)) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
else
ap_NS_fsm <= ap_ST_st14_fsm_13;
end if;
when ap_ST_st14_fsm_13 =>
if ((ap_const_lv1_0 = tmp_33_fu_1115_p2)) then
ap_NS_fsm <= ap_ST_st24_fsm_23;
else
ap_NS_fsm <= ap_ST_st15_fsm_14;
end if;
when ap_ST_st15_fsm_14 =>
ap_NS_fsm <= ap_ST_st16_fsm_15;
when ap_ST_st16_fsm_15 =>
ap_NS_fsm <= ap_ST_st17_fsm_16;
when ap_ST_st17_fsm_16 =>
ap_NS_fsm <= ap_ST_st18_fsm_17;
when ap_ST_st18_fsm_17 =>
ap_NS_fsm <= ap_ST_st19_fsm_18;
when ap_ST_st19_fsm_18 =>
ap_NS_fsm <= ap_ST_st20_fsm_19;
when ap_ST_st20_fsm_19 =>
ap_NS_fsm <= ap_ST_st21_fsm_20;
when ap_ST_st21_fsm_20 =>
ap_NS_fsm <= ap_ST_st22_fsm_21;
when ap_ST_st22_fsm_21 =>
ap_NS_fsm <= ap_ST_st23_fsm_22;
when ap_ST_st23_fsm_22 =>
ap_NS_fsm <= ap_ST_st14_fsm_13;
when ap_ST_st24_fsm_23 =>
ap_NS_fsm <= ap_ST_st25_fsm_24;
when ap_ST_st25_fsm_24 =>
ap_NS_fsm <= ap_ST_st26_fsm_25;
when ap_ST_st26_fsm_25 =>
ap_NS_fsm <= ap_ST_st27_fsm_26;
when ap_ST_st27_fsm_26 =>
ap_NS_fsm <= ap_ST_st28_fsm_27;
when ap_ST_st28_fsm_27 =>
ap_NS_fsm <= ap_ST_st29_fsm_28;
when ap_ST_st29_fsm_28 =>
ap_NS_fsm <= ap_ST_st30_fsm_29;
when ap_ST_st30_fsm_29 =>
ap_NS_fsm <= ap_ST_st31_fsm_30;
when ap_ST_st31_fsm_30 =>
ap_NS_fsm <= ap_ST_st32_fsm_31;
when ap_ST_st32_fsm_31 =>
ap_NS_fsm <= ap_ST_st33_fsm_32;
when ap_ST_st33_fsm_32 =>
ap_NS_fsm <= ap_ST_st34_fsm_33;
when ap_ST_st34_fsm_33 =>
ap_NS_fsm <= ap_ST_st35_fsm_34;
when ap_ST_st35_fsm_34 =>
ap_NS_fsm <= ap_ST_st36_fsm_35;
when ap_ST_st36_fsm_35 =>
ap_NS_fsm <= ap_ST_st37_fsm_36;
when ap_ST_st37_fsm_36 =>
ap_NS_fsm <= ap_ST_st38_fsm_37;
when ap_ST_st38_fsm_37 =>
ap_NS_fsm <= ap_ST_st39_fsm_38;
when ap_ST_st39_fsm_38 =>
ap_NS_fsm <= ap_ST_st40_fsm_39;
when ap_ST_st40_fsm_39 =>
ap_NS_fsm <= ap_ST_st41_fsm_40;
when ap_ST_st41_fsm_40 =>
ap_NS_fsm <= ap_ST_st42_fsm_41;
when ap_ST_st42_fsm_41 =>
ap_NS_fsm <= ap_ST_st43_fsm_42;
when ap_ST_st43_fsm_42 =>
ap_NS_fsm <= ap_ST_st44_fsm_43;
when ap_ST_st44_fsm_43 =>
ap_NS_fsm <= ap_ST_st45_fsm_44;
when ap_ST_st45_fsm_44 =>
ap_NS_fsm <= ap_ST_st46_fsm_45;
when ap_ST_st46_fsm_45 =>
ap_NS_fsm <= ap_ST_st47_fsm_46;
when ap_ST_st47_fsm_46 =>
ap_NS_fsm <= ap_ST_st48_fsm_47;
when ap_ST_st48_fsm_47 =>
ap_NS_fsm <= ap_ST_st49_fsm_48;
when ap_ST_st49_fsm_48 =>
ap_NS_fsm <= ap_ST_st50_fsm_49;
when ap_ST_st50_fsm_49 =>
ap_NS_fsm <= ap_ST_st51_fsm_50;
when ap_ST_st51_fsm_50 =>
ap_NS_fsm <= ap_ST_st52_fsm_51;
when ap_ST_st52_fsm_51 =>
ap_NS_fsm <= ap_ST_st53_fsm_52;
when ap_ST_st53_fsm_52 =>
ap_NS_fsm <= ap_ST_st54_fsm_53;
when ap_ST_st54_fsm_53 =>
ap_NS_fsm <= ap_ST_st55_fsm_54;
when ap_ST_st55_fsm_54 =>
ap_NS_fsm <= ap_ST_st56_fsm_55;
when ap_ST_st56_fsm_55 =>
ap_NS_fsm <= ap_ST_st57_fsm_56;
when ap_ST_st57_fsm_56 =>
ap_NS_fsm <= ap_ST_st58_fsm_57;
when ap_ST_st58_fsm_57 =>
ap_NS_fsm <= ap_ST_st59_fsm_58;
when ap_ST_st59_fsm_58 =>
ap_NS_fsm <= ap_ST_st60_fsm_59;
when ap_ST_st60_fsm_59 =>
ap_NS_fsm <= ap_ST_st61_fsm_60;
when ap_ST_st61_fsm_60 =>
ap_NS_fsm <= ap_ST_st62_fsm_61;
when ap_ST_st62_fsm_61 =>
ap_NS_fsm <= ap_ST_st63_fsm_62;
when ap_ST_st63_fsm_62 =>
ap_NS_fsm <= ap_ST_st64_fsm_63;
when ap_ST_st64_fsm_63 =>
ap_NS_fsm <= ap_ST_st65_fsm_64;
when ap_ST_st65_fsm_64 =>
ap_NS_fsm <= ap_ST_st66_fsm_65;
when ap_ST_st66_fsm_65 =>
ap_NS_fsm <= ap_ST_st67_fsm_66;
when ap_ST_st67_fsm_66 =>
ap_NS_fsm <= ap_ST_st68_fsm_67;
when ap_ST_st68_fsm_67 =>
ap_NS_fsm <= ap_ST_st69_fsm_68;
when ap_ST_st69_fsm_68 =>
ap_NS_fsm <= ap_ST_st70_fsm_69;
when ap_ST_st70_fsm_69 =>
ap_NS_fsm <= ap_ST_st71_fsm_70;
when ap_ST_st71_fsm_70 =>
ap_NS_fsm <= ap_ST_st72_fsm_71;
when ap_ST_st72_fsm_71 =>
ap_NS_fsm <= ap_ST_st73_fsm_72;
when ap_ST_st73_fsm_72 =>
ap_NS_fsm <= ap_ST_st74_fsm_73;
when ap_ST_st74_fsm_73 =>
ap_NS_fsm <= ap_ST_st75_fsm_74;
when ap_ST_st75_fsm_74 =>
ap_NS_fsm <= ap_ST_st76_fsm_75;
when ap_ST_st76_fsm_75 =>
ap_NS_fsm <= ap_ST_st77_fsm_76;
when ap_ST_st77_fsm_76 =>
ap_NS_fsm <= ap_ST_st78_fsm_77;
when ap_ST_st78_fsm_77 =>
ap_NS_fsm <= ap_ST_st79_fsm_78;
when ap_ST_st79_fsm_78 =>
ap_NS_fsm <= ap_ST_st80_fsm_79;
when ap_ST_st80_fsm_79 =>
ap_NS_fsm <= ap_ST_st81_fsm_80;
when ap_ST_st81_fsm_80 =>
ap_NS_fsm <= ap_ST_st82_fsm_81;
when ap_ST_st82_fsm_81 =>
ap_NS_fsm <= ap_ST_st83_fsm_82;
when ap_ST_st83_fsm_82 =>
ap_NS_fsm <= ap_ST_st84_fsm_83;
when ap_ST_st84_fsm_83 =>
ap_NS_fsm <= ap_ST_st85_fsm_84;
when ap_ST_st85_fsm_84 =>
ap_NS_fsm <= ap_ST_st86_fsm_85;
when ap_ST_st86_fsm_85 =>
ap_NS_fsm <= ap_ST_st13_fsm_12;
when ap_ST_st87_fsm_86 =>
if (not((ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
ap_NS_fsm <= ap_ST_st88_fsm_87;
else
ap_NS_fsm <= ap_ST_st129_fsm_128;
end if;
when ap_ST_st88_fsm_87 =>
if ((ap_const_lv1_0 = tmp_34_fu_1238_p2)) then
ap_NS_fsm <= ap_ST_st98_fsm_97;
else
ap_NS_fsm <= ap_ST_st89_fsm_88;
end if;
when ap_ST_st89_fsm_88 =>
ap_NS_fsm <= ap_ST_st90_fsm_89;
when ap_ST_st90_fsm_89 =>
ap_NS_fsm <= ap_ST_st91_fsm_90;
when ap_ST_st91_fsm_90 =>
ap_NS_fsm <= ap_ST_st92_fsm_91;
when ap_ST_st92_fsm_91 =>
ap_NS_fsm <= ap_ST_st93_fsm_92;
when ap_ST_st93_fsm_92 =>
ap_NS_fsm <= ap_ST_st94_fsm_93;
when ap_ST_st94_fsm_93 =>
ap_NS_fsm <= ap_ST_st95_fsm_94;
when ap_ST_st95_fsm_94 =>
ap_NS_fsm <= ap_ST_st96_fsm_95;
when ap_ST_st96_fsm_95 =>
ap_NS_fsm <= ap_ST_st97_fsm_96;
when ap_ST_st97_fsm_96 =>
ap_NS_fsm <= ap_ST_st88_fsm_87;
when ap_ST_st98_fsm_97 =>
ap_NS_fsm <= ap_ST_st99_fsm_98;
when ap_ST_st99_fsm_98 =>
ap_NS_fsm <= ap_ST_st100_fsm_99;
when ap_ST_st100_fsm_99 =>
ap_NS_fsm <= ap_ST_st101_fsm_100;
when ap_ST_st101_fsm_100 =>
ap_NS_fsm <= ap_ST_st102_fsm_101;
when ap_ST_st102_fsm_101 =>
ap_NS_fsm <= ap_ST_st103_fsm_102;
when ap_ST_st103_fsm_102 =>
ap_NS_fsm <= ap_ST_st104_fsm_103;
when ap_ST_st104_fsm_103 =>
ap_NS_fsm <= ap_ST_st105_fsm_104;
when ap_ST_st105_fsm_104 =>
ap_NS_fsm <= ap_ST_st106_fsm_105;
when ap_ST_st106_fsm_105 =>
ap_NS_fsm <= ap_ST_st107_fsm_106;
when ap_ST_st107_fsm_106 =>
ap_NS_fsm <= ap_ST_st108_fsm_107;
when ap_ST_st108_fsm_107 =>
ap_NS_fsm <= ap_ST_st109_fsm_108;
when ap_ST_st109_fsm_108 =>
ap_NS_fsm <= ap_ST_st110_fsm_109;
when ap_ST_st110_fsm_109 =>
ap_NS_fsm <= ap_ST_st111_fsm_110;
when ap_ST_st111_fsm_110 =>
ap_NS_fsm <= ap_ST_st112_fsm_111;
when ap_ST_st112_fsm_111 =>
ap_NS_fsm <= ap_ST_st113_fsm_112;
when ap_ST_st113_fsm_112 =>
ap_NS_fsm <= ap_ST_st114_fsm_113;
when ap_ST_st114_fsm_113 =>
ap_NS_fsm <= ap_ST_st115_fsm_114;
when ap_ST_st115_fsm_114 =>
ap_NS_fsm <= ap_ST_st116_fsm_115;
when ap_ST_st116_fsm_115 =>
ap_NS_fsm <= ap_ST_st117_fsm_116;
when ap_ST_st117_fsm_116 =>
ap_NS_fsm <= ap_ST_st118_fsm_117;
when ap_ST_st118_fsm_117 =>
ap_NS_fsm <= ap_ST_st119_fsm_118;
when ap_ST_st119_fsm_118 =>
ap_NS_fsm <= ap_ST_st120_fsm_119;
when ap_ST_st120_fsm_119 =>
ap_NS_fsm <= ap_ST_st121_fsm_120;
when ap_ST_st121_fsm_120 =>
ap_NS_fsm <= ap_ST_st122_fsm_121;
when ap_ST_st122_fsm_121 =>
ap_NS_fsm <= ap_ST_st123_fsm_122;
when ap_ST_st123_fsm_122 =>
ap_NS_fsm <= ap_ST_st124_fsm_123;
when ap_ST_st124_fsm_123 =>
ap_NS_fsm <= ap_ST_st125_fsm_124;
when ap_ST_st125_fsm_124 =>
ap_NS_fsm <= ap_ST_st126_fsm_125;
when ap_ST_st126_fsm_125 =>
ap_NS_fsm <= ap_ST_st127_fsm_126;
when ap_ST_st127_fsm_126 =>
ap_NS_fsm <= ap_ST_st128_fsm_127;
when ap_ST_st128_fsm_127 =>
ap_NS_fsm <= ap_ST_st87_fsm_86;
when ap_ST_st129_fsm_128 =>
if ((ap_const_lv1_0 = tmp_35_fu_1294_p2)) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
else
ap_NS_fsm <= ap_ST_st130_fsm_129;
end if;
when ap_ST_st130_fsm_129 =>
ap_NS_fsm <= ap_ST_st131_fsm_130;
when ap_ST_st131_fsm_130 =>
ap_NS_fsm <= ap_ST_st132_fsm_131;
when ap_ST_st132_fsm_131 =>
ap_NS_fsm <= ap_ST_st133_fsm_132;
when ap_ST_st133_fsm_132 =>
ap_NS_fsm <= ap_ST_st134_fsm_133;
when ap_ST_st134_fsm_133 =>
ap_NS_fsm <= ap_ST_st135_fsm_134;
when ap_ST_st135_fsm_134 =>
ap_NS_fsm <= ap_ST_st136_fsm_135;
when ap_ST_st136_fsm_135 =>
ap_NS_fsm <= ap_ST_st137_fsm_136;
when ap_ST_st137_fsm_136 =>
ap_NS_fsm <= ap_ST_st138_fsm_137;
when ap_ST_st138_fsm_137 =>
ap_NS_fsm <= ap_ST_st139_fsm_138;
when ap_ST_st139_fsm_138 =>
ap_NS_fsm <= ap_ST_st140_fsm_139;
when ap_ST_st140_fsm_139 =>
ap_NS_fsm <= ap_ST_st141_fsm_140;
when ap_ST_st141_fsm_140 =>
ap_NS_fsm <= ap_ST_st142_fsm_141;
when ap_ST_st142_fsm_141 =>
ap_NS_fsm <= ap_ST_st143_fsm_142;
when ap_ST_st143_fsm_142 =>
ap_NS_fsm <= ap_ST_st144_fsm_143;
when ap_ST_st144_fsm_143 =>
ap_NS_fsm <= ap_ST_st145_fsm_144;
when ap_ST_st145_fsm_144 =>
ap_NS_fsm <= ap_ST_st146_fsm_145;
when ap_ST_st146_fsm_145 =>
ap_NS_fsm <= ap_ST_st147_fsm_146;
when ap_ST_st147_fsm_146 =>
ap_NS_fsm <= ap_ST_st129_fsm_128;
when ap_ST_st148_fsm_147 =>
ap_NS_fsm <= ap_ST_st149_fsm_148;
when ap_ST_st149_fsm_148 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st150_fsm_149 =>
ap_NS_fsm <= ap_ST_st1_fsm_0;
when others =>
ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end case;
end process;
ANN_AXILiteS_s_axi_U_ap_dummy_ce <= ap_const_logic_1;
-- ST_WandB_address0 assign process. --
ST_WandB_address0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148, tmp_88_cast_fu_1139_p1, tmp_90_cast_fu_1162_p1, tmp_91_cast_fu_1262_p1, tmp_93_cast_fu_1285_p1, tmp_21_cast_fu_1329_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148)) then
ST_WandB_address0 <= tmp_21_cast_fu_1329_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2))) then
ST_WandB_address0 <= tmp_93_cast_fu_1285_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2)))) then
ST_WandB_address0 <= tmp_91_cast_fu_1262_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2))) then
ST_WandB_address0 <= tmp_90_cast_fu_1162_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2)))) then
ST_WandB_address0 <= tmp_88_cast_fu_1139_p1(13 - 1 downto 0);
else
ST_WandB_address0 <= "XXXXXXXXXXXXX";
end if;
end process;
-- ST_WandB_ce0 assign process. --
ST_WandB_ce0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2)) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_ce0 <= ap_const_logic_1;
else
ST_WandB_ce0 <= ap_const_logic_0;
end if;
end process;
ST_WandB_d0 <= P_floatIn_read_reg_1345;
-- ST_WandB_we0 assign process. --
ST_WandB_we0_assign_proc : process(ap_sig_cseq_ST_st149_fsm_148)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_we0 <= ap_const_logic_1;
else
ST_WandB_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_address0 assign process. --
ST_uOut_address0_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128, tmp_66_cast_fu_673_p1, tmp_9_fu_678_p1, tmp_86_cast_fu_779_p1, tmp_92_cast_fu_1272_p1, tmp_94_cast_fu_1314_p1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2)))) then
ST_uOut_address0 <= tmp_9_fu_678_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
ST_uOut_address0 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
ST_uOut_address0 <= tmp_92_cast_fu_1272_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address0 <= tmp_86_cast_fu_779_p1(8 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ST_uOut_address0 <= tmp_66_cast_fu_673_p1(8 - 1 downto 0);
else
ST_uOut_address0 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_address1 assign process. --
ST_uOut_address1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ST_uOut_addr_5_reg_1519, ap_sig_cseq_ST_st14_fsm_13, ST_uOut_addr_7_reg_1587, ST_uOut_addr_8_reg_1628, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, tmp_87_cast_fu_793_p1, tmp_89_cast_fu_1149_p1, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_address1 <= ST_uOut_addr_8_reg_1628;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
ST_uOut_address1 <= ST_uOut_addr_7_reg_1587;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
ST_uOut_address1 <= ST_uOut_addr_5_reg_1519;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
ST_uOut_address1 <= tmp_89_cast_fu_1149_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address1 <= tmp_87_cast_fu_793_p1(8 - 1 downto 0);
else
ST_uOut_address1 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_ce0 assign process. --
ST_uOut_ce0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) or (ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_ce0 <= ap_const_logic_1;
else
ST_uOut_ce0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_ce1 assign process. --
ST_uOut_ce1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st14_fsm_13, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) or (ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_ce1 <= ap_const_logic_1;
else
ST_uOut_ce1 <= ap_const_logic_0;
end if;
end process;
ST_uOut_d0 <= P_floatIn;
-- ST_uOut_d1 assign process. --
ST_uOut_d1_assign_proc : process(reg_532, tmp_52_reg_1634, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_d1 <= tmp_52_reg_1634;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_d1 <= reg_532;
else
ST_uOut_d1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
ST_uOut_load_1_to_int_fu_804_p1 <= reg_490;
ST_uOut_load_2_to_int_fu_822_p1 <= ST_uOut_load_2_reg_1430;
-- ST_uOut_we0 assign process. --
ST_uOut_we0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_we0 <= ap_const_logic_1;
else
ST_uOut_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_we1 assign process. --
ST_uOut_we1_assign_proc : process(ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_we1 <= ap_const_logic_1;
else
ST_uOut_we1 <= ap_const_logic_0;
end if;
end process;
-- ap_done assign process. --
ap_done_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return <= p_0_reg_392;
-- ap_rst_n_inv assign process. --
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
-- ap_sig_bdd_1429 assign process. --
ap_sig_bdd_1429_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_1429 <= (ap_const_lv1_1 = ap_CS_fsm(149 downto 149));
end process;
-- ap_sig_bdd_168 assign process. --
ap_sig_bdd_168_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_168 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1);
end process;
-- ap_sig_bdd_253 assign process. --
ap_sig_bdd_253_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_253 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2));
end process;
-- ap_sig_bdd_260 assign process. --
ap_sig_bdd_260_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_260 <= (ap_const_lv1_1 = ap_CS_fsm(10 downto 10));
end process;
-- ap_sig_bdd_268 assign process. --
ap_sig_bdd_268_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_268 <= (ap_const_lv1_1 = ap_CS_fsm(14 downto 14));
end process;
-- ap_sig_bdd_275 assign process. --
ap_sig_bdd_275_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_275 <= (ap_const_lv1_1 = ap_CS_fsm(88 downto 88));
end process;
-- ap_sig_bdd_283 assign process. --
ap_sig_bdd_283_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_283 <= (ap_const_lv1_1 = ap_CS_fsm(129 downto 129));
end process;
-- ap_sig_bdd_292 assign process. --
ap_sig_bdd_292_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_292 <= (ap_const_lv1_1 = ap_CS_fsm(23 downto 23));
end process;
-- ap_sig_bdd_301 assign process. --
ap_sig_bdd_301_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_301 <= (ap_const_lv1_1 = ap_CS_fsm(97 downto 97));
end process;
-- ap_sig_bdd_311 assign process. --
ap_sig_bdd_311_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_311 <= (ap_const_lv1_1 = ap_CS_fsm(17 downto 17));
end process;
-- ap_sig_bdd_318 assign process. --
ap_sig_bdd_318_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_318 <= (ap_const_lv1_1 = ap_CS_fsm(91 downto 91));
end process;
-- ap_sig_bdd_328 assign process. --
ap_sig_bdd_328_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_328 <= (ap_const_lv1_1 = ap_CS_fsm(22 downto 22));
end process;
-- ap_sig_bdd_335 assign process. --
ap_sig_bdd_335_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_335 <= (ap_const_lv1_1 = ap_CS_fsm(96 downto 96));
end process;
-- ap_sig_bdd_344 assign process. --
ap_sig_bdd_344_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_344 <= (ap_const_lv1_1 = ap_CS_fsm(28 downto 28));
end process;
-- ap_sig_bdd_351 assign process. --
ap_sig_bdd_351_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_351 <= (ap_const_lv1_1 = ap_CS_fsm(102 downto 102));
end process;
-- ap_sig_bdd_361 assign process. --
ap_sig_bdd_361_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_361 <= (ap_const_lv1_1 = ap_CS_fsm(29 downto 29));
end process;
-- ap_sig_bdd_368 assign process. --
ap_sig_bdd_368_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_368 <= (ap_const_lv1_1 = ap_CS_fsm(103 downto 103));
end process;
-- ap_sig_bdd_378 assign process. --
ap_sig_bdd_378_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_378 <= (ap_const_lv1_1 = ap_CS_fsm(47 downto 47));
end process;
-- ap_sig_bdd_385 assign process. --
ap_sig_bdd_385_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_385 <= (ap_const_lv1_1 = ap_CS_fsm(121 downto 121));
end process;
-- ap_sig_bdd_395 assign process. --
ap_sig_bdd_395_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_395 <= (ap_const_lv1_1 = ap_CS_fsm(84 downto 84));
end process;
-- ap_sig_bdd_402 assign process. --
ap_sig_bdd_402_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_402 <= (ap_const_lv1_1 = ap_CS_fsm(122 downto 122));
end process;
-- ap_sig_bdd_458 assign process. --
ap_sig_bdd_458_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_458 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1));
end process;
-- ap_sig_bdd_478 assign process. --
ap_sig_bdd_478_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_478 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3));
end process;
-- ap_sig_bdd_487 assign process. --
ap_sig_bdd_487_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_487 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4));
end process;
-- ap_sig_bdd_496 assign process. --
ap_sig_bdd_496_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_496 <= (ap_const_lv1_1 = ap_CS_fsm(9 downto 9));
end process;
-- ap_sig_bdd_505 assign process. --
ap_sig_bdd_505_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_505 <= (ap_const_lv1_1 = ap_CS_fsm(11 downto 11));
end process;
-- ap_sig_bdd_535 assign process. --
ap_sig_bdd_535_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_535 <= (ap_const_lv1_1 = ap_CS_fsm(12 downto 12));
end process;
-- ap_sig_bdd_557 assign process. --
ap_sig_bdd_557_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_557 <= (ap_const_lv1_1 = ap_CS_fsm(13 downto 13));
end process;
-- ap_sig_bdd_577 assign process. --
ap_sig_bdd_577_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_577 <= (ap_const_lv1_1 = ap_CS_fsm(52 downto 52));
end process;
-- ap_sig_bdd_586 assign process. --
ap_sig_bdd_586_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_586 <= (ap_const_lv1_1 = ap_CS_fsm(83 downto 83));
end process;
-- ap_sig_bdd_595 assign process. --
ap_sig_bdd_595_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_595 <= (ap_const_lv1_1 = ap_CS_fsm(86 downto 86));
end process;
-- ap_sig_bdd_616 assign process. --
ap_sig_bdd_616_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_616 <= (ap_const_lv1_1 = ap_CS_fsm(87 downto 87));
end process;
-- ap_sig_bdd_635 assign process. --
ap_sig_bdd_635_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_635 <= (ap_const_lv1_1 = ap_CS_fsm(127 downto 127));
end process;
-- ap_sig_bdd_644 assign process. --
ap_sig_bdd_644_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_644 <= (ap_const_lv1_1 = ap_CS_fsm(128 downto 128));
end process;
-- ap_sig_bdd_659 assign process. --
ap_sig_bdd_659_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_659 <= (ap_const_lv1_1 = ap_CS_fsm(145 downto 145));
end process;
-- ap_sig_bdd_668 assign process. --
ap_sig_bdd_668_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_668 <= (ap_const_lv1_1 = ap_CS_fsm(147 downto 147));
end process;
-- ap_sig_bdd_690 assign process. --
ap_sig_bdd_690_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_690 <= (ap_const_lv1_1 = ap_CS_fsm(85 downto 85));
end process;
-- ap_sig_bdd_712 assign process. --
ap_sig_bdd_712_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_712 <= (ap_const_lv1_1 = ap_CS_fsm(146 downto 146));
end process;
-- ap_sig_bdd_728 assign process. --
ap_sig_bdd_728_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_728 <= (ap_const_lv1_1 = ap_CS_fsm(148 downto 148));
end process;
-- ap_sig_bdd_818 assign process. --
ap_sig_bdd_818_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_818 <= (ap_const_lv1_1 = ap_CS_fsm(123 downto 123));
end process;
-- ap_sig_bdd_837 assign process. --
ap_sig_bdd_837_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_837 <= (ap_const_lv1_1 = ap_CS_fsm(18 downto 18));
end process;
-- ap_sig_bdd_844 assign process. --
ap_sig_bdd_844_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_844 <= (ap_const_lv1_1 = ap_CS_fsm(24 downto 24));
end process;
-- ap_sig_bdd_852 assign process. --
ap_sig_bdd_852_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_852 <= (ap_const_lv1_1 = ap_CS_fsm(92 downto 92));
end process;
-- ap_sig_bdd_859 assign process. --
ap_sig_bdd_859_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_859 <= (ap_const_lv1_1 = ap_CS_fsm(98 downto 98));
end process;
-- ap_sig_cseq_ST_st103_fsm_102 assign process. --
ap_sig_cseq_ST_st103_fsm_102_assign_proc : process(ap_sig_bdd_351)
begin
if (ap_sig_bdd_351) then
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st104_fsm_103 assign process. --
ap_sig_cseq_ST_st104_fsm_103_assign_proc : process(ap_sig_bdd_368)
begin
if (ap_sig_bdd_368) then
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st10_fsm_9 assign process. --
ap_sig_cseq_ST_st10_fsm_9_assign_proc : process(ap_sig_bdd_496)
begin
if (ap_sig_bdd_496) then
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st11_fsm_10 assign process. --
ap_sig_cseq_ST_st11_fsm_10_assign_proc : process(ap_sig_bdd_260)
begin
if (ap_sig_bdd_260) then
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st122_fsm_121 assign process. --
ap_sig_cseq_ST_st122_fsm_121_assign_proc : process(ap_sig_bdd_385)
begin
if (ap_sig_bdd_385) then
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st123_fsm_122 assign process. --
ap_sig_cseq_ST_st123_fsm_122_assign_proc : process(ap_sig_bdd_402)
begin
if (ap_sig_bdd_402) then
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st124_fsm_123 assign process. --
ap_sig_cseq_ST_st124_fsm_123_assign_proc : process(ap_sig_bdd_818)
begin
if (ap_sig_bdd_818) then
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st128_fsm_127 assign process. --
ap_sig_cseq_ST_st128_fsm_127_assign_proc : process(ap_sig_bdd_635)
begin
if (ap_sig_bdd_635) then
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st129_fsm_128 assign process. --
ap_sig_cseq_ST_st129_fsm_128_assign_proc : process(ap_sig_bdd_644)
begin
if (ap_sig_bdd_644) then
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st12_fsm_11 assign process. --
ap_sig_cseq_ST_st12_fsm_11_assign_proc : process(ap_sig_bdd_505)
begin
if (ap_sig_bdd_505) then
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st130_fsm_129 assign process. --
ap_sig_cseq_ST_st130_fsm_129_assign_proc : process(ap_sig_bdd_283)
begin
if (ap_sig_bdd_283) then
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st13_fsm_12 assign process. --
ap_sig_cseq_ST_st13_fsm_12_assign_proc : process(ap_sig_bdd_535)
begin
if (ap_sig_bdd_535) then
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st146_fsm_145 assign process. --
ap_sig_cseq_ST_st146_fsm_145_assign_proc : process(ap_sig_bdd_659)
begin
if (ap_sig_bdd_659) then
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st147_fsm_146 assign process. --
ap_sig_cseq_ST_st147_fsm_146_assign_proc : process(ap_sig_bdd_712)
begin
if (ap_sig_bdd_712) then
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st148_fsm_147 assign process. --
ap_sig_cseq_ST_st148_fsm_147_assign_proc : process(ap_sig_bdd_668)
begin
if (ap_sig_bdd_668) then
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st149_fsm_148 assign process. --
ap_sig_cseq_ST_st149_fsm_148_assign_proc : process(ap_sig_bdd_728)
begin
if (ap_sig_bdd_728) then
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st14_fsm_13 assign process. --
ap_sig_cseq_ST_st14_fsm_13_assign_proc : process(ap_sig_bdd_557)
begin
if (ap_sig_bdd_557) then
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st150_fsm_149 assign process. --
ap_sig_cseq_ST_st150_fsm_149_assign_proc : process(ap_sig_bdd_1429)
begin
if (ap_sig_bdd_1429) then
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st15_fsm_14 assign process. --
ap_sig_cseq_ST_st15_fsm_14_assign_proc : process(ap_sig_bdd_268)
begin
if (ap_sig_bdd_268) then
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st18_fsm_17 assign process. --
ap_sig_cseq_ST_st18_fsm_17_assign_proc : process(ap_sig_bdd_311)
begin
if (ap_sig_bdd_311) then
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st19_fsm_18 assign process. --
ap_sig_cseq_ST_st19_fsm_18_assign_proc : process(ap_sig_bdd_837)
begin
if (ap_sig_bdd_837) then
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st1_fsm_0 assign process. --
ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_168)
begin
if (ap_sig_bdd_168) then
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st23_fsm_22 assign process. --
ap_sig_cseq_ST_st23_fsm_22_assign_proc : process(ap_sig_bdd_328)
begin
if (ap_sig_bdd_328) then
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st24_fsm_23 assign process. --
ap_sig_cseq_ST_st24_fsm_23_assign_proc : process(ap_sig_bdd_292)
begin
if (ap_sig_bdd_292) then
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st25_fsm_24 assign process. --
ap_sig_cseq_ST_st25_fsm_24_assign_proc : process(ap_sig_bdd_844)
begin
if (ap_sig_bdd_844) then
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st29_fsm_28 assign process. --
ap_sig_cseq_ST_st29_fsm_28_assign_proc : process(ap_sig_bdd_344)
begin
if (ap_sig_bdd_344) then
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st2_fsm_1 assign process. --
ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_458)
begin
if (ap_sig_bdd_458) then
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st30_fsm_29 assign process. --
ap_sig_cseq_ST_st30_fsm_29_assign_proc : process(ap_sig_bdd_361)
begin
if (ap_sig_bdd_361) then
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st3_fsm_2 assign process. --
ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_253)
begin
if (ap_sig_bdd_253) then
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st48_fsm_47 assign process. --
ap_sig_cseq_ST_st48_fsm_47_assign_proc : process(ap_sig_bdd_378)
begin
if (ap_sig_bdd_378) then
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st4_fsm_3 assign process. --
ap_sig_cseq_ST_st4_fsm_3_assign_proc : process(ap_sig_bdd_478)
begin
if (ap_sig_bdd_478) then
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st53_fsm_52 assign process. --
ap_sig_cseq_ST_st53_fsm_52_assign_proc : process(ap_sig_bdd_577)
begin
if (ap_sig_bdd_577) then
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st5_fsm_4 assign process. --
ap_sig_cseq_ST_st5_fsm_4_assign_proc : process(ap_sig_bdd_487)
begin
if (ap_sig_bdd_487) then
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st84_fsm_83 assign process. --
ap_sig_cseq_ST_st84_fsm_83_assign_proc : process(ap_sig_bdd_586)
begin
if (ap_sig_bdd_586) then
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st85_fsm_84 assign process. --
ap_sig_cseq_ST_st85_fsm_84_assign_proc : process(ap_sig_bdd_395)
begin
if (ap_sig_bdd_395) then
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st86_fsm_85 assign process. --
ap_sig_cseq_ST_st86_fsm_85_assign_proc : process(ap_sig_bdd_690)
begin
if (ap_sig_bdd_690) then
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st87_fsm_86 assign process. --
ap_sig_cseq_ST_st87_fsm_86_assign_proc : process(ap_sig_bdd_595)
begin
if (ap_sig_bdd_595) then
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st88_fsm_87 assign process. --
ap_sig_cseq_ST_st88_fsm_87_assign_proc : process(ap_sig_bdd_616)
begin
if (ap_sig_bdd_616) then
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st89_fsm_88 assign process. --
ap_sig_cseq_ST_st89_fsm_88_assign_proc : process(ap_sig_bdd_275)
begin
if (ap_sig_bdd_275) then
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st92_fsm_91 assign process. --
ap_sig_cseq_ST_st92_fsm_91_assign_proc : process(ap_sig_bdd_318)
begin
if (ap_sig_bdd_318) then
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st93_fsm_92 assign process. --
ap_sig_cseq_ST_st93_fsm_92_assign_proc : process(ap_sig_bdd_852)
begin
if (ap_sig_bdd_852) then
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st97_fsm_96 assign process. --
ap_sig_cseq_ST_st97_fsm_96_assign_proc : process(ap_sig_bdd_335)
begin
if (ap_sig_bdd_335) then
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st98_fsm_97 assign process. --
ap_sig_cseq_ST_st98_fsm_97_assign_proc : process(ap_sig_bdd_301)
begin
if (ap_sig_bdd_301) then
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st99_fsm_98 assign process. --
ap_sig_cseq_ST_st99_fsm_98_assign_proc : process(ap_sig_bdd_859)
begin
if (ap_sig_bdd_859) then
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_0;
end if;
end process;
grp_fu_421_ce <= ap_const_logic_1;
-- grp_fu_421_p0 assign process. --
grp_fu_421_p0_assign_proc : process(sum_reg_312, sumsoft_reg_335, sum_1_reg_358, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p0 <= sumsoft_reg_335;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p0 <= sum_1_reg_358;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24))) then
grp_fu_421_p0 <= sum_reg_312;
else
grp_fu_421_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_421_p1 assign process. --
grp_fu_421_p1_assign_proc : process(reg_499, reg_505, reg_532, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p1 <= reg_532;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p1 <= reg_499;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92))) then
grp_fu_421_p1 <= reg_505;
else
grp_fu_421_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_428_ce <= ap_const_logic_1;
-- grp_fu_428_p0 assign process. --
grp_fu_428_p0_assign_proc : process(ST_uOut_q0, ST_uOut_q1, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st89_fsm_88)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) then
grp_fu_428_p0 <= ST_uOut_q0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
grp_fu_428_p0 <= ST_uOut_q1;
else
grp_fu_428_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_435_ce <= ap_const_logic_1;
grp_fu_440_ce <= ap_const_logic_1;
-- grp_fu_444_p0 assign process. --
grp_fu_444_p0_assign_proc : process(reg_526, ap_sig_cseq_ST_st85_fsm_84, ap_sig_cseq_ST_st123_fsm_122, tmp_43_reg_1557)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122)) then
grp_fu_444_p0 <= reg_526;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84)) then
grp_fu_444_p0 <= tmp_43_reg_1557;
else
grp_fu_444_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_447_p0 assign process. --
grp_fu_447_p0_assign_proc : process(reg_516, ap_sig_cseq_ST_st30_fsm_29, ap_sig_cseq_ST_st104_fsm_103, tmp_39_fu_1177_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103)) then
grp_fu_447_p0 <= reg_516;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) then
grp_fu_447_p0 <= tmp_39_fu_1177_p1;
else
grp_fu_447_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_454_ce <= ap_const_logic_1;
grp_fu_459_ce <= ap_const_logic_1;
grp_fu_464_ce <= ap_const_logic_1;
-- grp_fu_469_p1 assign process. --
grp_fu_469_p1_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ST_numLayer, ST_numLayer_load_reg_1353, ap_sig_cseq_ST_st12_fsm_11)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) then
grp_fu_469_p1 <= ST_numLayer_load_reg_1353;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0)) then
grp_fu_469_p1 <= ST_numLayer;
else
grp_fu_469_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_469_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFF) + signed(grp_fu_469_p1));
i_2_cast_fu_1290_p1 <= std_logic_vector(resize(unsigned(i_2_reg_381),32));
i_3_fu_1105_p2 <= std_logic_vector(unsigned(i_reg_289) + unsigned(ap_const_lv31_1));
i_4_fu_798_p2 <= std_logic_vector(unsigned(ap_const_lv31_1) + unsigned(max_2_reg_266));
i_5_fu_1201_p2 <= std_logic_vector(unsigned(i_1_reg_347) + unsigned(ap_const_lv32_1));
i_6_fu_1299_p2 <= std_logic_vector(unsigned(i_2_reg_381) + unsigned(ap_const_lv31_1));
i_cast_fu_893_p1 <= std_logic_vector(resize(unsigned(i_reg_289),32));
j_1_cast_fu_1234_p1 <= std_logic_vector(resize(unsigned(j_1_reg_370),32));
j_2_fu_1072_p2 <= std_logic_vector(unsigned(j_reg_301) + unsigned(ap_const_lv32_1));
j_3_fu_1243_p2 <= std_logic_vector(unsigned(j_1_reg_370) + unsigned(ap_const_lv31_1));
k_1_fu_1120_p2 <= std_logic_vector(unsigned(k_reg_324) + unsigned(ap_const_lv31_1));
k_cast_fu_1111_p1 <= std_logic_vector(resize(unsigned(k_reg_324),32));
max_1_fu_887_p3 <=
max_2_cast_reg_1407 when (tmp_63_reg_1436(0) = '1') else
max_reg_277;
max_2_cast_fu_761_p1 <= std_logic_vector(resize(unsigned(max_2_reg_266),32));
notlhs1_fu_857_p2 <= "0" when (tmp_57_fu_825_p4 = ap_const_lv8_FF) else "1";
notlhs_fu_839_p2 <= "0" when (tmp_55_fu_808_p4 = ap_const_lv8_FF) else "1";
notrhs2_fu_863_p2 <= "1" when (tmp_97_fu_835_p1 = ap_const_lv23_0) else "0";
notrhs_fu_845_p2 <= "1" when (tmp_96_fu_818_p1 = ap_const_lv23_0) else "0";
p_shl10_cast_fu_641_p3 <= (tmp_72_fu_637_p1 & ap_const_lv5_0);
p_shl11_cast_fu_653_p3 <= (tmp_73_fu_649_p1 & ap_const_lv3_0);
p_shl12_cast_fu_589_p3 <= (tmp_74_fu_585_p1 & ap_const_lv5_0);
p_shl13_cast_fu_601_p3 <= (tmp_75_fu_597_p1 & ap_const_lv3_0);
p_shl1_cast_fu_707_p3 <= (tmp_12_fu_703_p1 & ap_const_lv3_0);
p_shl2_cast_fu_1023_p3 <= (tmp_67_fu_1019_p1 & ap_const_lv5_0);
p_shl3_cast_fu_1035_p3 <= (tmp_68_fu_1031_p1 & ap_const_lv3_0);
p_shl4_cast_fu_980_p3 <= (tmp_47_fu_976_p1 & ap_const_lv5_0);
p_shl5_cast_fu_992_p3 <= (tmp_51_fu_988_p1 & ap_const_lv3_0);
p_shl6_cast_fu_946_p3 <= (tmp_30_fu_942_p1 & ap_const_lv5_0);
p_shl7_cast_fu_958_p3 <= (tmp_36_fu_954_p1 & ap_const_lv3_0);
p_shl8_cast_fu_906_p3 <= (tmp_25_fu_902_p1 & ap_const_lv5_0);
p_shl9_cast_fu_918_p3 <= (tmp_26_fu_914_p1 & ap_const_lv3_0);
p_shl_cast_fu_695_p3 <= (tmp_11_fu_691_p1 & ap_const_lv5_0);
tmp_100_fu_1154_p1 <= tmp_53_reg_1507(14 - 1 downto 0);
tmp_101_fu_1249_p1 <= j_1_reg_370(9 - 1 downto 0);
tmp_102_fu_1253_p1 <= j_1_reg_370(14 - 1 downto 0);
tmp_103_fu_1277_p1 <= tmp_54_reg_1575(14 - 1 downto 0);
tmp_10_fu_573_p2 <= "1" when (P_mode = ap_const_lv32_6) else "0";
tmp_11_fu_691_p1 <= P_index1(9 - 1 downto 0);
tmp_12_fu_703_p1 <= P_index1(11 - 1 downto 0);
tmp_13_fu_715_p2 <= std_logic_vector(unsigned(p_shl_cast_fu_695_p3) + unsigned(p_shl1_cast_fu_707_p3));
tmp_14_fu_579_p2 <= "1" when (P_mode = ap_const_lv32_7) else "0";
tmp_15_fu_936_p2 <= std_logic_vector(signed(ap_const_lv31_7FFFFFFF) + signed(i_reg_289));
tmp_16_fu_721_p2 <= std_logic_vector(unsigned(tmp_7_fu_687_p1) + unsigned(tmp_13_fu_715_p2));
tmp_19_fu_1319_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed('0' &ap_const_lv14_29) * signed(tmp_16_reg_1399))), 14));
tmp_1_fu_538_p2 <= "1" when (P_mode = ap_const_lv32_1) else "0";
tmp_20_fu_1066_p2 <= "1" when (signed(j_reg_301) < signed(tmp_fu_1053_p6)) else "0";
tmp_21_cast_fu_1329_p1 <= std_logic_vector(resize(signed(tmp_21_reg_1639),64));
tmp_21_fu_1324_p2 <= std_logic_vector(unsigned(tmp_6_reg_1394) + unsigned(tmp_19_fu_1319_p2));
tmp_22_fu_1195_p2 <= "1" when (signed(i_1_reg_347) < signed(tmp_27_fu_1182_p6)) else "0";
tmp_23_fu_1014_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFE) + signed(ST_numLayer_load_reg_1353));
tmp_24_fu_765_p2 <= "1" when (signed(max_2_cast_fu_761_p1) < signed(tmp_31_reg_1384)) else "0";
tmp_25_fu_902_p1 <= i_reg_289(9 - 1 downto 0);
tmp_26_fu_914_p1 <= i_reg_289(11 - 1 downto 0);
tmp_28_fu_926_p2 <= std_logic_vector(unsigned(p_shl8_cast_fu_906_p3) + unsigned(p_shl9_cast_fu_918_p3));
tmp_29_fu_932_p1 <= i_reg_289(2 - 1 downto 0);
tmp_2_fu_549_p2 <= "1" when (P_mode = ap_const_lv32_2) else "0";
tmp_30_fu_942_p1 <= tmp_15_fu_936_p2(4 - 1 downto 0);
tmp_31_fu_619_p5 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_33_fu_1115_p2 <= "1" when (signed(k_cast_fu_1111_p1) < signed(tmp_53_reg_1507)) else "0";
tmp_34_fu_1238_p2 <= "1" when (signed(j_1_cast_fu_1234_p1) < signed(tmp_54_reg_1575)) else "0";
tmp_35_fu_1294_p2 <= "1" when (signed(i_2_cast_fu_1290_p1) < signed(tmp_27_reg_1562)) else "0";
tmp_36_fu_954_p1 <= tmp_15_fu_936_p2(6 - 1 downto 0);
tmp_38_fu_966_p2 <= std_logic_vector(unsigned(p_shl6_cast_fu_946_p3) + unsigned(p_shl7_cast_fu_958_p3));
tmp_39_fu_1177_p1 <= tmp_39_neg_fu_1171_p2;
tmp_39_neg_fu_1171_p2 <= (tmp_39_to_int_fu_1167_p1 xor ap_const_lv32_80000000);
tmp_39_to_int_fu_1167_p1 <= reg_516;
tmp_3_fu_897_p2 <= "1" when (signed(i_cast_fu_893_p1) < signed(ST_numLayer_load_reg_1353)) else "0";
tmp_45_fu_972_p1 <= tmp_15_fu_936_p2(2 - 1 downto 0);
tmp_47_fu_976_p1 <= grp_fu_469_p2(9 - 1 downto 0);
tmp_4_fu_555_p2 <= "1" when (P_mode = ap_const_lv32_3) else "0";
tmp_51_fu_988_p1 <= grp_fu_469_p2(11 - 1 downto 0);
tmp_55_fu_808_p4 <= ST_uOut_load_1_to_int_fu_804_p1(30 downto 23);
tmp_56_fu_1000_p2 <= std_logic_vector(unsigned(p_shl4_cast_fu_980_p3) + unsigned(p_shl5_cast_fu_992_p3));
tmp_57_fu_825_p4 <= ST_uOut_load_2_to_int_fu_822_p1(30 downto 23);
tmp_58_fu_1006_p1 <= tmp_56_fu_1000_p2(9 - 1 downto 0);
tmp_59_fu_851_p2 <= (notrhs_fu_845_p2 or notlhs_fu_839_p2);
tmp_5_fu_727_p1 <= P_index1(2 - 1 downto 0);
tmp_60_fu_869_p2 <= (notrhs2_fu_863_p2 or notlhs1_fu_857_p2);
tmp_61_fu_875_p2 <= (tmp_59_fu_851_p2 and tmp_60_fu_869_p2);
tmp_62_fu_450_opcode <= ap_const_lv5_2;
tmp_63_fu_881_p2 <= (tmp_61_fu_875_p2 and tmp_62_fu_450_p2);
tmp_64_fu_1010_p1 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_65_fu_661_p2 <= std_logic_vector(unsigned(p_shl10_cast_fu_641_p3) + unsigned(p_shl11_cast_fu_653_p3));
tmp_66_cast_fu_673_p1 <= std_logic_vector(resize(signed(tmp_66_fu_667_p2),64));
tmp_66_fu_667_p2 <= std_logic_vector(unsigned(tmp_71_fu_633_p1) + unsigned(tmp_65_fu_661_p2));
tmp_67_fu_1019_p1 <= tmp_23_fu_1014_p2(4 - 1 downto 0);
tmp_68_fu_1031_p1 <= tmp_23_fu_1014_p2(6 - 1 downto 0);
tmp_69_fu_1043_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_1023_p3) + unsigned(p_shl3_cast_fu_1035_p3));
tmp_6_fu_683_p1 <= P_intIn_index3(14 - 1 downto 0);
tmp_70_fu_1049_p1 <= tmp_23_fu_1014_p2(2 - 1 downto 0);
tmp_71_fu_633_p1 <= P_index2(9 - 1 downto 0);
tmp_72_fu_637_p1 <= P_index1(4 - 1 downto 0);
tmp_73_fu_649_p1 <= P_index1(6 - 1 downto 0);
tmp_74_fu_585_p1 <= grp_fu_469_p2(4 - 1 downto 0);
tmp_75_fu_597_p1 <= grp_fu_469_p2(6 - 1 downto 0);
tmp_76_fu_609_p2 <= std_logic_vector(unsigned(p_shl12_cast_fu_589_p3) + unsigned(p_shl13_cast_fu_601_p3));
tmp_78_fu_1091_p1 <= j_reg_301(14 - 1 downto 0);
tmp_79_fu_1095_p2 <= std_logic_vector(unsigned(tmp_28_reg_1454) + unsigned(tmp_78_fu_1091_p1));
tmp_7_fu_687_p1 <= P_index2(14 - 1 downto 0);
tmp_80_fu_1333_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_81_fu_1220_p1 <= i_1_reg_347(14 - 1 downto 0);
tmp_82_cast_fu_1100_p1 <= std_logic_vector(resize(signed(tmp_79_fu_1095_p2),64));
tmp_82_fu_1224_p2 <= std_logic_vector(unsigned(tmp_56_reg_1474) + unsigned(tmp_81_fu_1220_p1));
tmp_83_fu_1339_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_84_cast_fu_1229_p1 <= std_logic_vector(resize(signed(tmp_82_fu_1224_p2),64));
tmp_84_fu_1305_p1 <= i_2_reg_381(9 - 1 downto 0);
tmp_85_fu_1309_p2 <= std_logic_vector(unsigned(tmp_58_reg_1479) + unsigned(tmp_84_fu_1305_p1));
tmp_86_cast_fu_779_p1 <= std_logic_vector(resize(signed(tmp_86_fu_774_p2),64));
tmp_86_fu_774_p2 <= std_logic_vector(unsigned(tmp_94_fu_770_p1) + unsigned(tmp_76_reg_1378));
tmp_87_cast_fu_793_p1 <= std_logic_vector(resize(signed(tmp_87_fu_788_p2),64));
tmp_87_fu_788_p2 <= std_logic_vector(unsigned(tmp_95_fu_784_p1) + unsigned(tmp_76_reg_1378));
tmp_88_cast_fu_1139_p1 <= std_logic_vector(resize(signed(tmp_88_fu_1134_p2),64));
tmp_88_fu_1134_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_99_fu_1130_p1));
tmp_89_cast_fu_1149_p1 <= std_logic_vector(resize(unsigned(tmp_89_fu_1144_p2),64));
tmp_89_fu_1144_p2 <= std_logic_vector(unsigned(tmp_38_reg_1464) + unsigned(tmp_98_fu_1126_p1));
tmp_8_fu_561_p2 <= "1" when (P_mode = ap_const_lv32_4) else "0";
tmp_90_cast_fu_1162_p1 <= std_logic_vector(resize(signed(tmp_90_fu_1157_p2),64));
tmp_90_fu_1157_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_100_fu_1154_p1));
tmp_91_cast_fu_1262_p1 <= std_logic_vector(resize(signed(tmp_91_fu_1257_p2),64));
tmp_91_fu_1257_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_102_fu_1253_p1));
tmp_92_cast_fu_1272_p1 <= std_logic_vector(resize(signed(tmp_92_fu_1267_p2),64));
tmp_92_fu_1267_p2 <= std_logic_vector(unsigned(tmp_69_reg_1489) + unsigned(tmp_101_fu_1249_p1));
tmp_93_cast_fu_1285_p1 <= std_logic_vector(resize(signed(tmp_93_fu_1280_p2),64));
tmp_93_fu_1280_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_103_fu_1277_p1));
tmp_94_cast_fu_1314_p1 <= std_logic_vector(resize(signed(tmp_85_fu_1309_p2),64));
tmp_94_fu_770_p1 <= max_2_reg_266(9 - 1 downto 0);
tmp_95_fu_784_p1 <= max_reg_277(9 - 1 downto 0);
tmp_96_fu_818_p1 <= ST_uOut_load_1_to_int_fu_804_p1(23 - 1 downto 0);
tmp_97_fu_835_p1 <= ST_uOut_load_2_to_int_fu_822_p1(23 - 1 downto 0);
tmp_98_fu_1126_p1 <= k_reg_324(9 - 1 downto 0);
tmp_99_fu_1130_p1 <= k_reg_324(14 - 1 downto 0);
tmp_9_fu_678_p1 <= std_logic_vector(resize(signed(P_index1),64));
tmp_s_fu_567_p2 <= "1" when (P_mode = ap_const_lv32_5) else "0";
end behav;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ANN is
generic (
C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 7;
C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
s_axi_AXILiteS_AWVALID : IN STD_LOGIC;
s_axi_AXILiteS_AWREADY : OUT STD_LOGIC;
s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_WVALID : IN STD_LOGIC;
s_axi_AXILiteS_WREADY : OUT STD_LOGIC;
s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0);
s_axi_AXILiteS_ARVALID : IN STD_LOGIC;
s_axi_AXILiteS_ARREADY : OUT STD_LOGIC;
s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_RVALID : OUT STD_LOGIC;
s_axi_AXILiteS_RREADY : IN STD_LOGIC;
s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_AXILiteS_BVALID : OUT STD_LOGIC;
s_axi_AXILiteS_BREADY : IN STD_LOGIC;
s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of ANN is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"ANN,hls_ip_2015_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.621000,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=18,HLS_SYN_DSP=37,HLS_SYN_FF=8935,HLS_SYN_LUT=12780}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010";
constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100";
constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000";
constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000";
constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000";
constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000";
constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000";
constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000";
constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000";
constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000";
constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000";
constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000";
constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000";
constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000";
constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000";
constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000";
constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000";
constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000";
constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000";
constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000";
constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000";
constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000";
constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000";
constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000";
constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000";
constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000";
constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000";
constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000";
constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000";
constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000";
constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000";
constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000";
constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000";
constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000";
constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000";
constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000";
constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000";
constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000";
constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000";
constant ap_ST_st41_fsm_40 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000";
constant ap_ST_st42_fsm_41 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000";
constant ap_ST_st43_fsm_42 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000";
constant ap_ST_st44_fsm_43 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000";
constant ap_ST_st45_fsm_44 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000";
constant ap_ST_st46_fsm_45 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000";
constant ap_ST_st47_fsm_46 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000";
constant ap_ST_st48_fsm_47 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000";
constant ap_ST_st49_fsm_48 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000";
constant ap_ST_st50_fsm_49 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000";
constant ap_ST_st51_fsm_50 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000";
constant ap_ST_st52_fsm_51 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000";
constant ap_ST_st53_fsm_52 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000";
constant ap_ST_st54_fsm_53 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000";
constant ap_ST_st55_fsm_54 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000";
constant ap_ST_st56_fsm_55 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000";
constant ap_ST_st57_fsm_56 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000";
constant ap_ST_st58_fsm_57 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st59_fsm_58 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st60_fsm_59 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st61_fsm_60 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st62_fsm_61 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st63_fsm_62 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st64_fsm_63 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st65_fsm_64 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st66_fsm_65 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st67_fsm_66 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st68_fsm_67 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st69_fsm_68 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st70_fsm_69 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st71_fsm_70 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st72_fsm_71 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st73_fsm_72 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st74_fsm_73 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st75_fsm_74 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st76_fsm_75 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st77_fsm_76 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st78_fsm_77 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st79_fsm_78 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st80_fsm_79 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st81_fsm_80 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st82_fsm_81 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st83_fsm_82 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st84_fsm_83 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st85_fsm_84 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st86_fsm_85 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st87_fsm_86 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st88_fsm_87 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st89_fsm_88 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st90_fsm_89 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st91_fsm_90 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st92_fsm_91 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st93_fsm_92 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st94_fsm_93 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st95_fsm_94 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st96_fsm_95 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st97_fsm_96 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st98_fsm_97 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st99_fsm_98 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st100_fsm_99 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st101_fsm_100 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st102_fsm_101 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st103_fsm_102 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st104_fsm_103 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st105_fsm_104 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st106_fsm_105 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st107_fsm_106 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st108_fsm_107 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st109_fsm_108 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st110_fsm_109 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st111_fsm_110 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st112_fsm_111 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st113_fsm_112 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st114_fsm_113 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st115_fsm_114 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st116_fsm_115 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st117_fsm_116 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st118_fsm_117 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st119_fsm_118 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st120_fsm_119 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st121_fsm_120 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st122_fsm_121 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st123_fsm_122 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st124_fsm_123 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st125_fsm_124 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st126_fsm_125 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st127_fsm_126 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st128_fsm_127 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st129_fsm_128 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st130_fsm_129 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st131_fsm_130 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st132_fsm_131 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st133_fsm_132 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st134_fsm_133 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st135_fsm_134 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st136_fsm_135 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st137_fsm_136 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st138_fsm_137 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st139_fsm_138 : STD_LOGIC_VECTOR (149 downto 0) := "000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st140_fsm_139 : STD_LOGIC_VECTOR (149 downto 0) := "000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st141_fsm_140 : STD_LOGIC_VECTOR (149 downto 0) := "000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st142_fsm_141 : STD_LOGIC_VECTOR (149 downto 0) := "000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st143_fsm_142 : STD_LOGIC_VECTOR (149 downto 0) := "000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st144_fsm_143 : STD_LOGIC_VECTOR (149 downto 0) := "000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st145_fsm_144 : STD_LOGIC_VECTOR (149 downto 0) := "000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st146_fsm_145 : STD_LOGIC_VECTOR (149 downto 0) := "000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st147_fsm_146 : STD_LOGIC_VECTOR (149 downto 0) := "000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st148_fsm_147 : STD_LOGIC_VECTOR (149 downto 0) := "001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st149_fsm_148 : STD_LOGIC_VECTOR (149 downto 0) := "010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st150_fsm_149 : STD_LOGIC_VECTOR (149 downto 0) := "100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010";
constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110";
constant ap_const_lv32_58 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011000";
constant ap_const_lv32_81 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000001";
constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111";
constant ap_const_lv32_61 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100001";
constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001";
constant ap_const_lv32_5B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011011";
constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110";
constant ap_const_lv32_60 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100000";
constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100";
constant ap_const_lv32_66 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100110";
constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101";
constant ap_const_lv32_67 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100111";
constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111";
constant ap_const_lv32_79 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111001";
constant ap_const_lv32_54 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010100";
constant ap_const_lv32_7A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111010";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100";
constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001";
constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011";
constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100";
constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101";
constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100";
constant ap_const_lv32_53 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010011";
constant ap_const_lv32_56 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010110";
constant ap_const_lv32_57 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010111";
constant ap_const_lv32_7F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111111";
constant ap_const_lv32_80 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000000";
constant ap_const_lv32_91 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010001";
constant ap_const_lv32_93 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010011";
constant ap_const_lv31_1 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000001";
constant ap_const_lv32_55 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010101";
constant ap_const_lv31_0 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000000";
constant ap_const_lv32_92 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010010";
constant ap_const_lv32_94 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010100";
constant ap_const_lv32_BF800000 : STD_LOGIC_VECTOR (31 downto 0) := "10111111100000000000000000000000";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv32_7B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111011";
constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010";
constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000";
constant ap_const_lv32_5C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011100";
constant ap_const_lv32_62 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100010";
constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110";
constant ap_const_lv32_FFFFFFFF : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111111";
constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101";
constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110";
constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111";
constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000";
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111";
constant ap_const_lv23_0 : STD_LOGIC_VECTOR (22 downto 0) := "00000000000000000000000";
constant ap_const_lv31_7FFFFFFF : STD_LOGIC_VECTOR (30 downto 0) := "1111111111111111111111111111111";
constant ap_const_lv32_FFFFFFFE : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111110";
constant ap_const_lv32_80000000 : STD_LOGIC_VECTOR (31 downto 0) := "10000000000000000000000000000000";
constant ap_const_lv14_29 : STD_LOGIC_VECTOR (13 downto 0) := "00000000101001";
constant ap_const_lv5_2 : STD_LOGIC_VECTOR (4 downto 0) := "00010";
constant ap_const_lv32_95 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010101";
constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000";
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC;
signal ap_sig_bdd_168 : BOOLEAN;
signal ap_ready : STD_LOGIC;
signal P_mode : STD_LOGIC_VECTOR (31 downto 0);
signal P_index1 : STD_LOGIC_VECTOR (31 downto 0);
signal P_index2 : STD_LOGIC_VECTOR (31 downto 0);
signal P_intIn_index3 : STD_LOGIC_VECTOR (31 downto 0);
signal P_floatIn : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_WandB_address0 : STD_LOGIC_VECTOR (12 downto 0);
signal ST_WandB_ce0 : STD_LOGIC;
signal ST_WandB_we0 : STD_LOGIC;
signal ST_WandB_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_WandB_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address0 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce0 : STD_LOGIC;
signal ST_uOut_we0 : STD_LOGIC;
signal ST_uOut_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address1 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce1 : STD_LOGIC;
signal ST_uOut_we1 : STD_LOGIC;
signal ST_uOut_d1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_layerSize_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ap_return : STD_LOGIC_VECTOR (31 downto 0);
signal ANN_AXILiteS_s_axi_U_ap_dummy_ce : STD_LOGIC;
signal reg_490 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC;
signal ap_sig_bdd_253 : BOOLEAN;
signal ap_sig_cseq_ST_st11_fsm_10 : STD_LOGIC;
signal ap_sig_bdd_260 : BOOLEAN;
signal ap_sig_cseq_ST_st15_fsm_14 : STD_LOGIC;
signal ap_sig_bdd_268 : BOOLEAN;
signal ap_sig_cseq_ST_st89_fsm_88 : STD_LOGIC;
signal ap_sig_bdd_275 : BOOLEAN;
signal ap_sig_cseq_ST_st130_fsm_129 : STD_LOGIC;
signal ap_sig_bdd_283 : BOOLEAN;
signal reg_499 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st24_fsm_23 : STD_LOGIC;
signal ap_sig_bdd_292 : BOOLEAN;
signal ap_sig_cseq_ST_st98_fsm_97 : STD_LOGIC;
signal ap_sig_bdd_301 : BOOLEAN;
signal grp_fu_428_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_505 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st18_fsm_17 : STD_LOGIC;
signal ap_sig_bdd_311 : BOOLEAN;
signal ap_sig_cseq_ST_st92_fsm_91 : STD_LOGIC;
signal ap_sig_bdd_318 : BOOLEAN;
signal grp_fu_421_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st23_fsm_22 : STD_LOGIC;
signal ap_sig_bdd_328 : BOOLEAN;
signal ap_sig_cseq_ST_st97_fsm_96 : STD_LOGIC;
signal ap_sig_bdd_335 : BOOLEAN;
signal reg_516 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st29_fsm_28 : STD_LOGIC;
signal ap_sig_bdd_344 : BOOLEAN;
signal ap_sig_cseq_ST_st103_fsm_102 : STD_LOGIC;
signal ap_sig_bdd_351 : BOOLEAN;
signal grp_fu_447_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_521 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st30_fsm_29 : STD_LOGIC;
signal ap_sig_bdd_361 : BOOLEAN;
signal ap_sig_cseq_ST_st104_fsm_103 : STD_LOGIC;
signal ap_sig_bdd_368 : BOOLEAN;
signal grp_fu_464_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_526 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st48_fsm_47 : STD_LOGIC;
signal ap_sig_bdd_378 : BOOLEAN;
signal ap_sig_cseq_ST_st122_fsm_121 : STD_LOGIC;
signal ap_sig_bdd_385 : BOOLEAN;
signal grp_fu_444_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_532 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st85_fsm_84 : STD_LOGIC;
signal ap_sig_bdd_395 : BOOLEAN;
signal ap_sig_cseq_ST_st123_fsm_122 : STD_LOGIC;
signal ap_sig_bdd_402 : BOOLEAN;
signal P_floatIn_read_reg_1345 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer_load_reg_1353 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_76_fu_609_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_76_reg_1378 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_1_fu_538_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_2_fu_549_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_4_fu_555_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_8_fu_561_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_s_fu_567_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_10_fu_573_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_14_fu_579_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_31_fu_619_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_31_reg_1384 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_6_fu_683_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_6_reg_1394 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_fu_721_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_reg_1399 : STD_LOGIC_VECTOR (13 downto 0);
signal max_2_cast_fu_761_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal max_2_cast_reg_1407 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC;
signal ap_sig_bdd_458 : BOOLEAN;
signal tmp_24_fu_765_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_4_fu_798_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_4_reg_1425 : STD_LOGIC_VECTOR (30 downto 0);
signal ST_uOut_load_2_reg_1430 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_63_fu_881_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_63_reg_1436 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st4_fsm_3 : STD_LOGIC;
signal ap_sig_bdd_478 : BOOLEAN;
signal max_1_fu_887_p3 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st5_fsm_4 : STD_LOGIC;
signal ap_sig_bdd_487 : BOOLEAN;
signal grp_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st10_fsm_9 : STD_LOGIC;
signal ap_sig_bdd_496 : BOOLEAN;
signal tmp_28_fu_926_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_28_reg_1454 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st12_fsm_11 : STD_LOGIC;
signal ap_sig_bdd_505 : BOOLEAN;
signal tmp_3_fu_897_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_29_fu_932_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_29_reg_1459 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_38_fu_966_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_38_reg_1464 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_45_fu_972_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_45_reg_1469 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_56_fu_1000_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_56_reg_1474 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_58_fu_1006_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_58_reg_1479 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_64_fu_1010_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_64_reg_1484 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_69_fu_1043_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_69_reg_1489 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_70_fu_1049_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_70_reg_1494 : STD_LOGIC_VECTOR (1 downto 0);
signal j_2_fu_1072_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal j_2_reg_1502 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st13_fsm_12 : STD_LOGIC;
signal ap_sig_bdd_535 : BOOLEAN;
signal tmp_53_fu_1078_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_53_reg_1507 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_20_fu_1066_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_80_fu_1333_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_reg_1513 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_5_reg_1519 : STD_LOGIC_VECTOR (7 downto 0);
signal i_3_fu_1105_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_fu_1120_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_reg_1532 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st14_fsm_13 : STD_LOGIC;
signal ap_sig_bdd_557 : BOOLEAN;
signal tmp_33_fu_1115_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_454_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_42_reg_1552 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st53_fsm_52 : STD_LOGIC;
signal ap_sig_bdd_577 : BOOLEAN;
signal grp_fu_459_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_43_reg_1557 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st84_fsm_83 : STD_LOGIC;
signal ap_sig_bdd_586 : BOOLEAN;
signal tmp_27_fu_1182_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_27_reg_1562 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st87_fsm_86 : STD_LOGIC;
signal ap_sig_bdd_595 : BOOLEAN;
signal i_5_fu_1201_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal i_5_reg_1570 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_fu_1207_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_reg_1575 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_22_fu_1195_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_83_fu_1339_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_83_reg_1581 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_7_reg_1587 : STD_LOGIC_VECTOR (7 downto 0);
signal j_3_fu_1243_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal j_3_reg_1595 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st88_fsm_87 : STD_LOGIC;
signal ap_sig_bdd_616 : BOOLEAN;
signal tmp_34_fu_1238_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st128_fsm_127 : STD_LOGIC;
signal ap_sig_bdd_635 : BOOLEAN;
signal i_6_fu_1299_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_6_reg_1623 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st129_fsm_128 : STD_LOGIC;
signal ap_sig_bdd_644 : BOOLEAN;
signal ST_uOut_addr_8_reg_1628 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_35_fu_1294_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_435_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_52_reg_1634 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st146_fsm_145 : STD_LOGIC;
signal ap_sig_bdd_659 : BOOLEAN;
signal tmp_21_fu_1324_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_21_reg_1639 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st148_fsm_147 : STD_LOGIC;
signal ap_sig_bdd_668 : BOOLEAN;
signal max_2_reg_266 : STD_LOGIC_VECTOR (30 downto 0);
signal max_reg_277 : STD_LOGIC_VECTOR (31 downto 0);
signal i_reg_289 : STD_LOGIC_VECTOR (30 downto 0);
signal j_reg_301 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st86_fsm_85 : STD_LOGIC;
signal ap_sig_bdd_690 : BOOLEAN;
signal sum_reg_312 : STD_LOGIC_VECTOR (31 downto 0);
signal k_reg_324 : STD_LOGIC_VECTOR (30 downto 0);
signal sumsoft_reg_335 : STD_LOGIC_VECTOR (31 downto 0);
signal i_1_reg_347 : STD_LOGIC_VECTOR (31 downto 0);
signal sum_1_reg_358 : STD_LOGIC_VECTOR (31 downto 0);
signal j_1_reg_370 : STD_LOGIC_VECTOR (30 downto 0);
signal i_2_reg_381 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st147_fsm_146 : STD_LOGIC;
signal ap_sig_bdd_712 : BOOLEAN;
signal p_0_reg_392 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st149_fsm_148 : STD_LOGIC;
signal ap_sig_bdd_728 : BOOLEAN;
signal tmp_66_cast_fu_673_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_9_fu_678_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_86_cast_fu_779_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_87_cast_fu_793_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_82_cast_fu_1100_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_88_cast_fu_1139_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_89_cast_fu_1149_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_90_cast_fu_1162_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_84_cast_fu_1229_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_91_cast_fu_1262_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_92_cast_fu_1272_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_93_cast_fu_1285_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_94_cast_fu_1314_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_21_cast_fu_1329_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_5_fu_727_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal ap_sig_cseq_ST_st124_fsm_123 : STD_LOGIC;
signal ap_sig_bdd_818 : BOOLEAN;
signal grp_fu_421_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_421_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st19_fsm_18 : STD_LOGIC;
signal ap_sig_bdd_837 : BOOLEAN;
signal ap_sig_cseq_ST_st25_fsm_24 : STD_LOGIC;
signal ap_sig_bdd_844 : BOOLEAN;
signal ap_sig_cseq_ST_st93_fsm_92 : STD_LOGIC;
signal ap_sig_bdd_852 : BOOLEAN;
signal ap_sig_cseq_ST_st99_fsm_98 : STD_LOGIC;
signal ap_sig_bdd_859 : BOOLEAN;
signal grp_fu_428_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_444_p0 : STD_LOGIC_VECTOR (63 downto 0);
signal grp_fu_447_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_fu_1177_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_74_fu_585_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_75_fu_597_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl12_cast_fu_589_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl13_cast_fu_601_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_31_fu_619_p5 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_72_fu_637_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_73_fu_649_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl10_cast_fu_641_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl11_cast_fu_653_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_71_fu_633_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_65_fu_661_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_66_fu_667_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_11_fu_691_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_12_fu_703_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl_cast_fu_695_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl1_cast_fu_707_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_7_fu_687_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_13_fu_715_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_94_fu_770_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_86_fu_774_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_95_fu_784_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_87_fu_788_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal ST_uOut_load_1_to_int_fu_804_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_load_2_to_int_fu_822_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_55_fu_808_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_96_fu_818_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs_fu_845_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs_fu_839_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_57_fu_825_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_97_fu_835_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs2_fu_863_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs1_fu_857_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_59_fu_851_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_60_fu_869_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_61_fu_875_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_62_fu_450_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_cast_fu_893_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_25_fu_902_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_26_fu_914_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl8_cast_fu_906_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl9_cast_fu_918_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_15_fu_936_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal tmp_30_fu_942_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_36_fu_954_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl6_cast_fu_946_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl7_cast_fu_958_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_47_fu_976_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_51_fu_988_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl4_cast_fu_980_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl5_cast_fu_992_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_23_fu_1014_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_67_fu_1019_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_68_fu_1031_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl2_cast_fu_1023_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl3_cast_fu_1035_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_fu_1053_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_78_fu_1091_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_79_fu_1095_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 : string;
attribute use_dsp48 of tmp_79_fu_1095_p2 : signal is "no";
signal k_cast_fu_1111_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_99_fu_1130_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_88_fu_1134_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_88_fu_1134_p2 : signal is "no";
signal tmp_98_fu_1126_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_89_fu_1144_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_100_fu_1154_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_90_fu_1157_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_90_fu_1157_p2 : signal is "no";
signal tmp_39_to_int_fu_1167_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_neg_fu_1171_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_81_fu_1220_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_82_fu_1224_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_82_fu_1224_p2 : signal is "no";
signal j_1_cast_fu_1234_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_102_fu_1253_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_91_fu_1257_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_91_fu_1257_p2 : signal is "no";
signal tmp_101_fu_1249_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_92_fu_1267_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_103_fu_1277_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_93_fu_1280_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_93_fu_1280_p2 : signal is "no";
signal i_2_cast_fu_1290_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_84_fu_1305_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_85_fu_1309_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_19_fu_1319_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_fu_1333_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal tmp_83_fu_1339_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal grp_fu_421_ce : STD_LOGIC;
signal grp_fu_428_ce : STD_LOGIC;
signal grp_fu_435_ce : STD_LOGIC;
signal grp_fu_440_ce : STD_LOGIC;
signal tmp_62_fu_450_opcode : STD_LOGIC_VECTOR (4 downto 0);
signal grp_fu_454_ce : STD_LOGIC;
signal grp_fu_459_ce : STD_LOGIC;
signal grp_fu_464_ce : STD_LOGIC;
signal ap_sig_cseq_ST_st150_fsm_149 : STD_LOGIC;
signal ap_sig_bdd_1429 : BOOLEAN;
signal ap_NS_fsm : STD_LOGIC_VECTOR (149 downto 0);
component ANN_fadd_32ns_32ns_32_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fmul_32ns_32ns_32_4_max_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fdiv_32ns_32ns_32_16 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_sitofp_32ns_32_6 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fptrunc_64ns_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fpext_32ns_64_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_fcmp_32ns_32ns_1_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
opcode : IN STD_LOGIC_VECTOR (4 downto 0);
dout : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
component ANN_dadd_64ns_64ns_64_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_ddiv_64ns_64ns_64_31 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_dexp_64ns_64ns_64_18_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_mux_4to1_sel2_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din1_WIDTH : INTEGER;
din2_WIDTH : INTEGER;
din3_WIDTH : INTEGER;
din4_WIDTH : INTEGER;
din5_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
din2 : IN STD_LOGIC_VECTOR (31 downto 0);
din3 : IN STD_LOGIC_VECTOR (31 downto 0);
din4 : IN STD_LOGIC_VECTOR (31 downto 0);
din5 : IN STD_LOGIC_VECTOR (1 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_mul_mul_7ns_14s_14_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (6 downto 0);
din1 : IN STD_LOGIC_VECTOR (13 downto 0);
dout : OUT STD_LOGIC_VECTOR (13 downto 0) );
end component;
component ANN_ST_WandB IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (12 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_ST_uOut IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (7 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0);
address1 : IN STD_LOGIC_VECTOR (7 downto 0);
ce1 : IN STD_LOGIC;
we1 : IN STD_LOGIC;
d1 : IN STD_LOGIC_VECTOR (31 downto 0);
q1 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_AXILiteS_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_return : IN STD_LOGIC_VECTOR (31 downto 0);
P_mode : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index1 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index2 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_intIn_index3 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_floatIn : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
begin
ST_WandB_U : component ANN_ST_WandB
generic map (
DataWidth => 32,
AddressRange => 6560,
AddressWidth => 13)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_WandB_address0,
ce0 => ST_WandB_ce0,
we0 => ST_WandB_we0,
d0 => ST_WandB_d0,
q0 => ST_WandB_q0);
ST_uOut_U : component ANN_ST_uOut
generic map (
DataWidth => 32,
AddressRange => 160,
AddressWidth => 8)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_uOut_address0,
ce0 => ST_uOut_ce0,
we0 => ST_uOut_we0,
d0 => ST_uOut_d0,
q0 => ST_uOut_q0,
address1 => ST_uOut_address1,
ce1 => ST_uOut_ce1,
we1 => ST_uOut_we1,
d1 => ST_uOut_d1,
q1 => ST_uOut_q1);
ANN_AXILiteS_s_axi_U : component ANN_AXILiteS_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH)
port map (
AWVALID => s_axi_AXILiteS_AWVALID,
AWREADY => s_axi_AXILiteS_AWREADY,
AWADDR => s_axi_AXILiteS_AWADDR,
WVALID => s_axi_AXILiteS_WVALID,
WREADY => s_axi_AXILiteS_WREADY,
WDATA => s_axi_AXILiteS_WDATA,
WSTRB => s_axi_AXILiteS_WSTRB,
ARVALID => s_axi_AXILiteS_ARVALID,
ARREADY => s_axi_AXILiteS_ARREADY,
ARADDR => s_axi_AXILiteS_ARADDR,
RVALID => s_axi_AXILiteS_RVALID,
RREADY => s_axi_AXILiteS_RREADY,
RDATA => s_axi_AXILiteS_RDATA,
RRESP => s_axi_AXILiteS_RRESP,
BVALID => s_axi_AXILiteS_BVALID,
BREADY => s_axi_AXILiteS_BREADY,
BRESP => s_axi_AXILiteS_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ANN_AXILiteS_s_axi_U_ap_dummy_ce,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
ap_return => ap_return,
P_mode => P_mode,
P_index1 => P_index1,
P_index2 => P_index2,
P_intIn_index3 => P_intIn_index3,
P_floatIn => P_floatIn);
ANN_fadd_32ns_32ns_32_5_full_dsp_U0 : component ANN_fadd_32ns_32ns_32_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_421_p0,
din1 => grp_fu_421_p1,
ce => grp_fu_421_ce,
dout => grp_fu_421_p2);
ANN_fmul_32ns_32ns_32_4_max_dsp_U1 : component ANN_fmul_32ns_32ns_32_4_max_dsp
generic map (
ID => 1,
NUM_STAGE => 4,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_428_p0,
din1 => ST_WandB_q0,
ce => grp_fu_428_ce,
dout => grp_fu_428_p2);
ANN_fdiv_32ns_32ns_32_16_U2 : component ANN_fdiv_32ns_32ns_32_16
generic map (
ID => 1,
NUM_STAGE => 16,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_490,
din1 => sumsoft_reg_335,
ce => grp_fu_435_ce,
dout => grp_fu_435_p2);
ANN_sitofp_32ns_32_6_U3 : component ANN_sitofp_32ns_32_6
generic map (
ID => 1,
NUM_STAGE => 6,
din0_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => max_reg_277,
ce => grp_fu_440_ce,
dout => grp_fu_440_p1);
ANN_fptrunc_64ns_32_1_U4 : component ANN_fptrunc_64ns_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 64,
dout_WIDTH => 32)
port map (
din0 => grp_fu_444_p0,
dout => grp_fu_444_p1);
ANN_fpext_32ns_64_1_U5 : component ANN_fpext_32ns_64_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
dout_WIDTH => 64)
port map (
din0 => grp_fu_447_p0,
dout => grp_fu_447_p1);
ANN_fcmp_32ns_32ns_1_1_U6 : component ANN_fcmp_32ns_32ns_1_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 1)
port map (
din0 => reg_490,
din1 => ST_uOut_load_2_reg_1430,
opcode => tmp_62_fu_450_opcode,
dout => tmp_62_fu_450_p2);
ANN_dadd_64ns_64ns_64_5_full_dsp_U7 : component ANN_dadd_64ns_64ns_64_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_526,
din1 => ap_const_lv64_3FF0000000000000,
ce => grp_fu_454_ce,
dout => grp_fu_454_p2);
ANN_ddiv_64ns_64ns_64_31_U8 : component ANN_ddiv_64ns_64ns_64_31
generic map (
ID => 1,
NUM_STAGE => 31,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_3FF0000000000000,
din1 => tmp_42_reg_1552,
ce => grp_fu_459_ce,
dout => grp_fu_459_p2);
ANN_dexp_64ns_64ns_64_18_full_dsp_U9 : component ANN_dexp_64ns_64ns_64_18_full_dsp
generic map (
ID => 1,
NUM_STAGE => 18,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_0,
din1 => reg_521,
ce => grp_fu_464_ce,
dout => grp_fu_464_p2);
ANN_mux_4to1_sel2_32_1_U10 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_31_fu_619_p5,
dout => tmp_31_fu_619_p6);
ANN_mux_4to1_sel2_32_1_U11 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_29_reg_1459,
dout => tmp_fu_1053_p6);
ANN_mux_4to1_sel2_32_1_U12 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_45_reg_1469,
dout => tmp_53_fu_1078_p6);
ANN_mux_4to1_sel2_32_1_U13 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_64_reg_1484,
dout => tmp_27_fu_1182_p6);
ANN_mux_4to1_sel2_32_1_U14 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_70_reg_1494,
dout => tmp_54_fu_1207_p6);
ANN_mul_mul_7ns_14s_14_1_U15 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_80_fu_1333_p0,
din1 => tmp_79_fu_1095_p2,
dout => tmp_80_fu_1333_p2);
ANN_mul_mul_7ns_14s_14_1_U16 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_83_fu_1339_p0,
din1 => tmp_82_fu_1224_p2,
dout => tmp_83_fu_1339_p2);
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- i_1_reg_347 assign process. --
i_1_reg_347_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
i_1_reg_347 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
i_1_reg_347 <= i_5_reg_1570;
end if;
end if;
end process;
-- i_2_reg_381 assign process. --
i_2_reg_381_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and (ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
i_2_reg_381 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
i_2_reg_381 <= i_6_reg_1623;
end if;
end if;
end process;
-- i_reg_289 assign process. --
i_reg_289_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
i_reg_289 <= ap_const_lv31_1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and (ap_const_lv1_0 = tmp_20_fu_1066_p2))) then
i_reg_289 <= i_3_fu_1105_p2;
end if;
end if;
end process;
-- j_1_reg_370 assign process. --
j_1_reg_370_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
j_1_reg_370 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
j_1_reg_370 <= j_3_reg_1595;
end if;
end if;
end process;
-- j_reg_301 assign process. --
j_reg_301_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
j_reg_301 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
j_reg_301 <= j_2_reg_1502;
end if;
end if;
end process;
-- k_reg_324 assign process. --
k_reg_324_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
k_reg_324 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
k_reg_324 <= k_1_reg_1532;
end if;
end if;
end process;
-- max_2_reg_266 assign process. --
max_2_reg_266_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_2_reg_266 <= ap_const_lv31_1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_2_reg_266 <= i_4_reg_1425;
end if;
end if;
end process;
-- max_reg_277 assign process. --
max_reg_277_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_reg_277 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_reg_277 <= max_1_fu_887_p3;
end if;
end if;
end process;
-- p_0_reg_392 assign process. --
p_0_reg_392_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))) then
p_0_reg_392 <= ap_const_lv32_BF800000;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) then
p_0_reg_392 <= grp_fu_440_p1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) then
p_0_reg_392 <= ST_uOut_q0;
elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and (ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
p_0_reg_392 <= ap_const_lv32_0;
end if;
end if;
end process;
-- reg_490 assign process. --
reg_490_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
reg_490 <= ST_uOut_q1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) or (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st130_fsm_129))) then
reg_490 <= ST_uOut_q0;
end if;
end if;
end process;
-- sum_1_reg_358 assign process. --
sum_1_reg_358_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
sum_1_reg_358 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
sum_1_reg_358 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sum_reg_312 assign process. --
sum_reg_312_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
sum_reg_312 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
sum_reg_312 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sumsoft_reg_335 assign process. --
sumsoft_reg_335_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
sumsoft_reg_335 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
sumsoft_reg_335 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then
P_floatIn_read_reg_1345 <= P_floatIn;
ST_numLayer_load_reg_1353 <= ST_numLayer;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_0))) then
ST_layerSize_0 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_1))) then
ST_layerSize_1 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_2))) then
ST_layerSize_2 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_1)) and not((tmp_5_fu_727_p1 = ap_const_lv2_0)))) then
ST_layerSize_3 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0)))) then
ST_numLayer <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
ST_uOut_addr_5_reg_1519 <= tmp_82_cast_fu_1100_p1(8 - 1 downto 0);
tmp_53_reg_1507 <= tmp_53_fu_1078_p6;
tmp_80_reg_1513 <= tmp_80_fu_1333_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
ST_uOut_addr_7_reg_1587 <= tmp_84_cast_fu_1229_p1(8 - 1 downto 0);
tmp_54_reg_1575 <= tmp_54_fu_1207_p6;
tmp_83_reg_1581 <= tmp_83_fu_1339_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and not((ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
ST_uOut_addr_8_reg_1628 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then
ST_uOut_load_2_reg_1430 <= ST_uOut_q1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((ap_const_lv1_0 = tmp_24_fu_765_p2)))) then
i_4_reg_1425 <= i_4_fu_798_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) then
i_5_reg_1570 <= i_5_fu_1201_p2;
tmp_27_reg_1562 <= tmp_27_fu_1182_p6;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
i_6_reg_1623 <= i_6_fu_1299_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) then
j_2_reg_1502 <= j_2_fu_1072_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
j_3_reg_1595 <= j_3_fu_1243_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
k_1_reg_1532 <= k_1_fu_1120_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
max_2_cast_reg_1407(30 downto 0) <= max_2_cast_fu_761_p1(30 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23) or (ap_const_logic_1 = ap_sig_cseq_ST_st98_fsm_97))) then
reg_499 <= ST_WandB_q0;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17) or (ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91))) then
reg_505 <= grp_fu_428_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28) or (ap_const_logic_1 = ap_sig_cseq_ST_st103_fsm_102))) then
reg_516 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29) or (ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103))) then
reg_521 <= grp_fu_447_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st48_fsm_47) or (ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121))) then
reg_526 <= grp_fu_464_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84) or (ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122))) then
reg_532 <= grp_fu_444_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
tmp_16_reg_1399 <= tmp_16_fu_721_p2;
tmp_6_reg_1394 <= tmp_6_fu_683_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st148_fsm_147)) then
tmp_21_reg_1639 <= tmp_21_fu_1324_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
tmp_28_reg_1454(13 downto 3) <= tmp_28_fu_926_p2(13 downto 3);
tmp_29_reg_1459 <= tmp_29_fu_932_p1;
tmp_38_reg_1464(8 downto 3) <= tmp_38_fu_966_p2(8 downto 3);
tmp_45_reg_1469 <= tmp_45_fu_972_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
tmp_31_reg_1384 <= tmp_31_fu_619_p6;
tmp_76_reg_1378(8 downto 3) <= tmp_76_fu_609_p2(8 downto 3);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st53_fsm_52)) then
tmp_42_reg_1552 <= grp_fu_454_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st84_fsm_83)) then
tmp_43_reg_1557 <= grp_fu_459_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st146_fsm_145)) then
tmp_52_reg_1634 <= grp_fu_435_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
tmp_56_reg_1474(13 downto 3) <= tmp_56_fu_1000_p2(13 downto 3);
tmp_58_reg_1479(8 downto 3) <= tmp_58_fu_1006_p1(8 downto 3);
tmp_64_reg_1484 <= tmp_64_fu_1010_p1;
tmp_69_reg_1489(8 downto 3) <= tmp_69_fu_1043_p2(8 downto 3);
tmp_70_reg_1494 <= tmp_70_fu_1049_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) then
tmp_63_reg_1436 <= tmp_63_fu_881_p2;
end if;
end if;
end process;
tmp_76_reg_1378(2 downto 0) <= "000";
max_2_cast_reg_1407(31) <= '0';
tmp_28_reg_1454(2 downto 0) <= "000";
tmp_38_reg_1464(2 downto 0) <= "000";
tmp_56_reg_1474(2 downto 0) <= "000";
tmp_58_reg_1479(2 downto 0) <= "000";
tmp_69_reg_1489(2 downto 0) <= "000";
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, tmp_14_fu_579_p2, tmp_24_fu_765_p2, tmp_3_fu_897_p2, tmp_20_fu_1066_p2, tmp_33_fu_1115_p2, tmp_22_fu_1195_p2, tmp_34_fu_1238_p2, tmp_35_fu_1294_p2)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
if ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
elsif ((not((ap_start = ap_const_logic_0)) and (not((tmp_1_fu_538_p2 = ap_const_lv1_0)) or not((ap_const_lv1_0 = tmp_2_fu_549_p2)) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))))) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ap_NS_fsm <= ap_ST_st11_fsm_10;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
ap_NS_fsm <= ap_ST_st148_fsm_147;
else
ap_NS_fsm <= ap_ST_st1_fsm_0;
end if;
when ap_ST_st2_fsm_1 =>
if ((ap_const_lv1_0 = tmp_24_fu_765_p2)) then
ap_NS_fsm <= ap_ST_st6_fsm_5;
else
ap_NS_fsm <= ap_ST_st3_fsm_2;
end if;
when ap_ST_st3_fsm_2 =>
ap_NS_fsm <= ap_ST_st4_fsm_3;
when ap_ST_st4_fsm_3 =>
ap_NS_fsm <= ap_ST_st5_fsm_4;
when ap_ST_st5_fsm_4 =>
ap_NS_fsm <= ap_ST_st2_fsm_1;
when ap_ST_st6_fsm_5 =>
ap_NS_fsm <= ap_ST_st7_fsm_6;
when ap_ST_st7_fsm_6 =>
ap_NS_fsm <= ap_ST_st8_fsm_7;
when ap_ST_st8_fsm_7 =>
ap_NS_fsm <= ap_ST_st9_fsm_8;
when ap_ST_st9_fsm_8 =>
ap_NS_fsm <= ap_ST_st10_fsm_9;
when ap_ST_st10_fsm_9 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st11_fsm_10 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st12_fsm_11 =>
if ((ap_const_lv1_0 = tmp_3_fu_897_p2)) then
ap_NS_fsm <= ap_ST_st87_fsm_86;
else
ap_NS_fsm <= ap_ST_st13_fsm_12;
end if;
when ap_ST_st13_fsm_12 =>
if ((ap_const_lv1_0 = tmp_20_fu_1066_p2)) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
else
ap_NS_fsm <= ap_ST_st14_fsm_13;
end if;
when ap_ST_st14_fsm_13 =>
if ((ap_const_lv1_0 = tmp_33_fu_1115_p2)) then
ap_NS_fsm <= ap_ST_st24_fsm_23;
else
ap_NS_fsm <= ap_ST_st15_fsm_14;
end if;
when ap_ST_st15_fsm_14 =>
ap_NS_fsm <= ap_ST_st16_fsm_15;
when ap_ST_st16_fsm_15 =>
ap_NS_fsm <= ap_ST_st17_fsm_16;
when ap_ST_st17_fsm_16 =>
ap_NS_fsm <= ap_ST_st18_fsm_17;
when ap_ST_st18_fsm_17 =>
ap_NS_fsm <= ap_ST_st19_fsm_18;
when ap_ST_st19_fsm_18 =>
ap_NS_fsm <= ap_ST_st20_fsm_19;
when ap_ST_st20_fsm_19 =>
ap_NS_fsm <= ap_ST_st21_fsm_20;
when ap_ST_st21_fsm_20 =>
ap_NS_fsm <= ap_ST_st22_fsm_21;
when ap_ST_st22_fsm_21 =>
ap_NS_fsm <= ap_ST_st23_fsm_22;
when ap_ST_st23_fsm_22 =>
ap_NS_fsm <= ap_ST_st14_fsm_13;
when ap_ST_st24_fsm_23 =>
ap_NS_fsm <= ap_ST_st25_fsm_24;
when ap_ST_st25_fsm_24 =>
ap_NS_fsm <= ap_ST_st26_fsm_25;
when ap_ST_st26_fsm_25 =>
ap_NS_fsm <= ap_ST_st27_fsm_26;
when ap_ST_st27_fsm_26 =>
ap_NS_fsm <= ap_ST_st28_fsm_27;
when ap_ST_st28_fsm_27 =>
ap_NS_fsm <= ap_ST_st29_fsm_28;
when ap_ST_st29_fsm_28 =>
ap_NS_fsm <= ap_ST_st30_fsm_29;
when ap_ST_st30_fsm_29 =>
ap_NS_fsm <= ap_ST_st31_fsm_30;
when ap_ST_st31_fsm_30 =>
ap_NS_fsm <= ap_ST_st32_fsm_31;
when ap_ST_st32_fsm_31 =>
ap_NS_fsm <= ap_ST_st33_fsm_32;
when ap_ST_st33_fsm_32 =>
ap_NS_fsm <= ap_ST_st34_fsm_33;
when ap_ST_st34_fsm_33 =>
ap_NS_fsm <= ap_ST_st35_fsm_34;
when ap_ST_st35_fsm_34 =>
ap_NS_fsm <= ap_ST_st36_fsm_35;
when ap_ST_st36_fsm_35 =>
ap_NS_fsm <= ap_ST_st37_fsm_36;
when ap_ST_st37_fsm_36 =>
ap_NS_fsm <= ap_ST_st38_fsm_37;
when ap_ST_st38_fsm_37 =>
ap_NS_fsm <= ap_ST_st39_fsm_38;
when ap_ST_st39_fsm_38 =>
ap_NS_fsm <= ap_ST_st40_fsm_39;
when ap_ST_st40_fsm_39 =>
ap_NS_fsm <= ap_ST_st41_fsm_40;
when ap_ST_st41_fsm_40 =>
ap_NS_fsm <= ap_ST_st42_fsm_41;
when ap_ST_st42_fsm_41 =>
ap_NS_fsm <= ap_ST_st43_fsm_42;
when ap_ST_st43_fsm_42 =>
ap_NS_fsm <= ap_ST_st44_fsm_43;
when ap_ST_st44_fsm_43 =>
ap_NS_fsm <= ap_ST_st45_fsm_44;
when ap_ST_st45_fsm_44 =>
ap_NS_fsm <= ap_ST_st46_fsm_45;
when ap_ST_st46_fsm_45 =>
ap_NS_fsm <= ap_ST_st47_fsm_46;
when ap_ST_st47_fsm_46 =>
ap_NS_fsm <= ap_ST_st48_fsm_47;
when ap_ST_st48_fsm_47 =>
ap_NS_fsm <= ap_ST_st49_fsm_48;
when ap_ST_st49_fsm_48 =>
ap_NS_fsm <= ap_ST_st50_fsm_49;
when ap_ST_st50_fsm_49 =>
ap_NS_fsm <= ap_ST_st51_fsm_50;
when ap_ST_st51_fsm_50 =>
ap_NS_fsm <= ap_ST_st52_fsm_51;
when ap_ST_st52_fsm_51 =>
ap_NS_fsm <= ap_ST_st53_fsm_52;
when ap_ST_st53_fsm_52 =>
ap_NS_fsm <= ap_ST_st54_fsm_53;
when ap_ST_st54_fsm_53 =>
ap_NS_fsm <= ap_ST_st55_fsm_54;
when ap_ST_st55_fsm_54 =>
ap_NS_fsm <= ap_ST_st56_fsm_55;
when ap_ST_st56_fsm_55 =>
ap_NS_fsm <= ap_ST_st57_fsm_56;
when ap_ST_st57_fsm_56 =>
ap_NS_fsm <= ap_ST_st58_fsm_57;
when ap_ST_st58_fsm_57 =>
ap_NS_fsm <= ap_ST_st59_fsm_58;
when ap_ST_st59_fsm_58 =>
ap_NS_fsm <= ap_ST_st60_fsm_59;
when ap_ST_st60_fsm_59 =>
ap_NS_fsm <= ap_ST_st61_fsm_60;
when ap_ST_st61_fsm_60 =>
ap_NS_fsm <= ap_ST_st62_fsm_61;
when ap_ST_st62_fsm_61 =>
ap_NS_fsm <= ap_ST_st63_fsm_62;
when ap_ST_st63_fsm_62 =>
ap_NS_fsm <= ap_ST_st64_fsm_63;
when ap_ST_st64_fsm_63 =>
ap_NS_fsm <= ap_ST_st65_fsm_64;
when ap_ST_st65_fsm_64 =>
ap_NS_fsm <= ap_ST_st66_fsm_65;
when ap_ST_st66_fsm_65 =>
ap_NS_fsm <= ap_ST_st67_fsm_66;
when ap_ST_st67_fsm_66 =>
ap_NS_fsm <= ap_ST_st68_fsm_67;
when ap_ST_st68_fsm_67 =>
ap_NS_fsm <= ap_ST_st69_fsm_68;
when ap_ST_st69_fsm_68 =>
ap_NS_fsm <= ap_ST_st70_fsm_69;
when ap_ST_st70_fsm_69 =>
ap_NS_fsm <= ap_ST_st71_fsm_70;
when ap_ST_st71_fsm_70 =>
ap_NS_fsm <= ap_ST_st72_fsm_71;
when ap_ST_st72_fsm_71 =>
ap_NS_fsm <= ap_ST_st73_fsm_72;
when ap_ST_st73_fsm_72 =>
ap_NS_fsm <= ap_ST_st74_fsm_73;
when ap_ST_st74_fsm_73 =>
ap_NS_fsm <= ap_ST_st75_fsm_74;
when ap_ST_st75_fsm_74 =>
ap_NS_fsm <= ap_ST_st76_fsm_75;
when ap_ST_st76_fsm_75 =>
ap_NS_fsm <= ap_ST_st77_fsm_76;
when ap_ST_st77_fsm_76 =>
ap_NS_fsm <= ap_ST_st78_fsm_77;
when ap_ST_st78_fsm_77 =>
ap_NS_fsm <= ap_ST_st79_fsm_78;
when ap_ST_st79_fsm_78 =>
ap_NS_fsm <= ap_ST_st80_fsm_79;
when ap_ST_st80_fsm_79 =>
ap_NS_fsm <= ap_ST_st81_fsm_80;
when ap_ST_st81_fsm_80 =>
ap_NS_fsm <= ap_ST_st82_fsm_81;
when ap_ST_st82_fsm_81 =>
ap_NS_fsm <= ap_ST_st83_fsm_82;
when ap_ST_st83_fsm_82 =>
ap_NS_fsm <= ap_ST_st84_fsm_83;
when ap_ST_st84_fsm_83 =>
ap_NS_fsm <= ap_ST_st85_fsm_84;
when ap_ST_st85_fsm_84 =>
ap_NS_fsm <= ap_ST_st86_fsm_85;
when ap_ST_st86_fsm_85 =>
ap_NS_fsm <= ap_ST_st13_fsm_12;
when ap_ST_st87_fsm_86 =>
if (not((ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
ap_NS_fsm <= ap_ST_st88_fsm_87;
else
ap_NS_fsm <= ap_ST_st129_fsm_128;
end if;
when ap_ST_st88_fsm_87 =>
if ((ap_const_lv1_0 = tmp_34_fu_1238_p2)) then
ap_NS_fsm <= ap_ST_st98_fsm_97;
else
ap_NS_fsm <= ap_ST_st89_fsm_88;
end if;
when ap_ST_st89_fsm_88 =>
ap_NS_fsm <= ap_ST_st90_fsm_89;
when ap_ST_st90_fsm_89 =>
ap_NS_fsm <= ap_ST_st91_fsm_90;
when ap_ST_st91_fsm_90 =>
ap_NS_fsm <= ap_ST_st92_fsm_91;
when ap_ST_st92_fsm_91 =>
ap_NS_fsm <= ap_ST_st93_fsm_92;
when ap_ST_st93_fsm_92 =>
ap_NS_fsm <= ap_ST_st94_fsm_93;
when ap_ST_st94_fsm_93 =>
ap_NS_fsm <= ap_ST_st95_fsm_94;
when ap_ST_st95_fsm_94 =>
ap_NS_fsm <= ap_ST_st96_fsm_95;
when ap_ST_st96_fsm_95 =>
ap_NS_fsm <= ap_ST_st97_fsm_96;
when ap_ST_st97_fsm_96 =>
ap_NS_fsm <= ap_ST_st88_fsm_87;
when ap_ST_st98_fsm_97 =>
ap_NS_fsm <= ap_ST_st99_fsm_98;
when ap_ST_st99_fsm_98 =>
ap_NS_fsm <= ap_ST_st100_fsm_99;
when ap_ST_st100_fsm_99 =>
ap_NS_fsm <= ap_ST_st101_fsm_100;
when ap_ST_st101_fsm_100 =>
ap_NS_fsm <= ap_ST_st102_fsm_101;
when ap_ST_st102_fsm_101 =>
ap_NS_fsm <= ap_ST_st103_fsm_102;
when ap_ST_st103_fsm_102 =>
ap_NS_fsm <= ap_ST_st104_fsm_103;
when ap_ST_st104_fsm_103 =>
ap_NS_fsm <= ap_ST_st105_fsm_104;
when ap_ST_st105_fsm_104 =>
ap_NS_fsm <= ap_ST_st106_fsm_105;
when ap_ST_st106_fsm_105 =>
ap_NS_fsm <= ap_ST_st107_fsm_106;
when ap_ST_st107_fsm_106 =>
ap_NS_fsm <= ap_ST_st108_fsm_107;
when ap_ST_st108_fsm_107 =>
ap_NS_fsm <= ap_ST_st109_fsm_108;
when ap_ST_st109_fsm_108 =>
ap_NS_fsm <= ap_ST_st110_fsm_109;
when ap_ST_st110_fsm_109 =>
ap_NS_fsm <= ap_ST_st111_fsm_110;
when ap_ST_st111_fsm_110 =>
ap_NS_fsm <= ap_ST_st112_fsm_111;
when ap_ST_st112_fsm_111 =>
ap_NS_fsm <= ap_ST_st113_fsm_112;
when ap_ST_st113_fsm_112 =>
ap_NS_fsm <= ap_ST_st114_fsm_113;
when ap_ST_st114_fsm_113 =>
ap_NS_fsm <= ap_ST_st115_fsm_114;
when ap_ST_st115_fsm_114 =>
ap_NS_fsm <= ap_ST_st116_fsm_115;
when ap_ST_st116_fsm_115 =>
ap_NS_fsm <= ap_ST_st117_fsm_116;
when ap_ST_st117_fsm_116 =>
ap_NS_fsm <= ap_ST_st118_fsm_117;
when ap_ST_st118_fsm_117 =>
ap_NS_fsm <= ap_ST_st119_fsm_118;
when ap_ST_st119_fsm_118 =>
ap_NS_fsm <= ap_ST_st120_fsm_119;
when ap_ST_st120_fsm_119 =>
ap_NS_fsm <= ap_ST_st121_fsm_120;
when ap_ST_st121_fsm_120 =>
ap_NS_fsm <= ap_ST_st122_fsm_121;
when ap_ST_st122_fsm_121 =>
ap_NS_fsm <= ap_ST_st123_fsm_122;
when ap_ST_st123_fsm_122 =>
ap_NS_fsm <= ap_ST_st124_fsm_123;
when ap_ST_st124_fsm_123 =>
ap_NS_fsm <= ap_ST_st125_fsm_124;
when ap_ST_st125_fsm_124 =>
ap_NS_fsm <= ap_ST_st126_fsm_125;
when ap_ST_st126_fsm_125 =>
ap_NS_fsm <= ap_ST_st127_fsm_126;
when ap_ST_st127_fsm_126 =>
ap_NS_fsm <= ap_ST_st128_fsm_127;
when ap_ST_st128_fsm_127 =>
ap_NS_fsm <= ap_ST_st87_fsm_86;
when ap_ST_st129_fsm_128 =>
if ((ap_const_lv1_0 = tmp_35_fu_1294_p2)) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
else
ap_NS_fsm <= ap_ST_st130_fsm_129;
end if;
when ap_ST_st130_fsm_129 =>
ap_NS_fsm <= ap_ST_st131_fsm_130;
when ap_ST_st131_fsm_130 =>
ap_NS_fsm <= ap_ST_st132_fsm_131;
when ap_ST_st132_fsm_131 =>
ap_NS_fsm <= ap_ST_st133_fsm_132;
when ap_ST_st133_fsm_132 =>
ap_NS_fsm <= ap_ST_st134_fsm_133;
when ap_ST_st134_fsm_133 =>
ap_NS_fsm <= ap_ST_st135_fsm_134;
when ap_ST_st135_fsm_134 =>
ap_NS_fsm <= ap_ST_st136_fsm_135;
when ap_ST_st136_fsm_135 =>
ap_NS_fsm <= ap_ST_st137_fsm_136;
when ap_ST_st137_fsm_136 =>
ap_NS_fsm <= ap_ST_st138_fsm_137;
when ap_ST_st138_fsm_137 =>
ap_NS_fsm <= ap_ST_st139_fsm_138;
when ap_ST_st139_fsm_138 =>
ap_NS_fsm <= ap_ST_st140_fsm_139;
when ap_ST_st140_fsm_139 =>
ap_NS_fsm <= ap_ST_st141_fsm_140;
when ap_ST_st141_fsm_140 =>
ap_NS_fsm <= ap_ST_st142_fsm_141;
when ap_ST_st142_fsm_141 =>
ap_NS_fsm <= ap_ST_st143_fsm_142;
when ap_ST_st143_fsm_142 =>
ap_NS_fsm <= ap_ST_st144_fsm_143;
when ap_ST_st144_fsm_143 =>
ap_NS_fsm <= ap_ST_st145_fsm_144;
when ap_ST_st145_fsm_144 =>
ap_NS_fsm <= ap_ST_st146_fsm_145;
when ap_ST_st146_fsm_145 =>
ap_NS_fsm <= ap_ST_st147_fsm_146;
when ap_ST_st147_fsm_146 =>
ap_NS_fsm <= ap_ST_st129_fsm_128;
when ap_ST_st148_fsm_147 =>
ap_NS_fsm <= ap_ST_st149_fsm_148;
when ap_ST_st149_fsm_148 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st150_fsm_149 =>
ap_NS_fsm <= ap_ST_st1_fsm_0;
when others =>
ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end case;
end process;
ANN_AXILiteS_s_axi_U_ap_dummy_ce <= ap_const_logic_1;
-- ST_WandB_address0 assign process. --
ST_WandB_address0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148, tmp_88_cast_fu_1139_p1, tmp_90_cast_fu_1162_p1, tmp_91_cast_fu_1262_p1, tmp_93_cast_fu_1285_p1, tmp_21_cast_fu_1329_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148)) then
ST_WandB_address0 <= tmp_21_cast_fu_1329_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2))) then
ST_WandB_address0 <= tmp_93_cast_fu_1285_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2)))) then
ST_WandB_address0 <= tmp_91_cast_fu_1262_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2))) then
ST_WandB_address0 <= tmp_90_cast_fu_1162_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2)))) then
ST_WandB_address0 <= tmp_88_cast_fu_1139_p1(13 - 1 downto 0);
else
ST_WandB_address0 <= "XXXXXXXXXXXXX";
end if;
end process;
-- ST_WandB_ce0 assign process. --
ST_WandB_ce0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2)) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_ce0 <= ap_const_logic_1;
else
ST_WandB_ce0 <= ap_const_logic_0;
end if;
end process;
ST_WandB_d0 <= P_floatIn_read_reg_1345;
-- ST_WandB_we0 assign process. --
ST_WandB_we0_assign_proc : process(ap_sig_cseq_ST_st149_fsm_148)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_we0 <= ap_const_logic_1;
else
ST_WandB_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_address0 assign process. --
ST_uOut_address0_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128, tmp_66_cast_fu_673_p1, tmp_9_fu_678_p1, tmp_86_cast_fu_779_p1, tmp_92_cast_fu_1272_p1, tmp_94_cast_fu_1314_p1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2)))) then
ST_uOut_address0 <= tmp_9_fu_678_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
ST_uOut_address0 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
ST_uOut_address0 <= tmp_92_cast_fu_1272_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address0 <= tmp_86_cast_fu_779_p1(8 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ST_uOut_address0 <= tmp_66_cast_fu_673_p1(8 - 1 downto 0);
else
ST_uOut_address0 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_address1 assign process. --
ST_uOut_address1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ST_uOut_addr_5_reg_1519, ap_sig_cseq_ST_st14_fsm_13, ST_uOut_addr_7_reg_1587, ST_uOut_addr_8_reg_1628, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, tmp_87_cast_fu_793_p1, tmp_89_cast_fu_1149_p1, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_address1 <= ST_uOut_addr_8_reg_1628;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
ST_uOut_address1 <= ST_uOut_addr_7_reg_1587;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
ST_uOut_address1 <= ST_uOut_addr_5_reg_1519;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
ST_uOut_address1 <= tmp_89_cast_fu_1149_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address1 <= tmp_87_cast_fu_793_p1(8 - 1 downto 0);
else
ST_uOut_address1 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_ce0 assign process. --
ST_uOut_ce0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) or (ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_ce0 <= ap_const_logic_1;
else
ST_uOut_ce0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_ce1 assign process. --
ST_uOut_ce1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st14_fsm_13, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) or (ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_ce1 <= ap_const_logic_1;
else
ST_uOut_ce1 <= ap_const_logic_0;
end if;
end process;
ST_uOut_d0 <= P_floatIn;
-- ST_uOut_d1 assign process. --
ST_uOut_d1_assign_proc : process(reg_532, tmp_52_reg_1634, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_d1 <= tmp_52_reg_1634;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_d1 <= reg_532;
else
ST_uOut_d1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
ST_uOut_load_1_to_int_fu_804_p1 <= reg_490;
ST_uOut_load_2_to_int_fu_822_p1 <= ST_uOut_load_2_reg_1430;
-- ST_uOut_we0 assign process. --
ST_uOut_we0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_we0 <= ap_const_logic_1;
else
ST_uOut_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_we1 assign process. --
ST_uOut_we1_assign_proc : process(ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_we1 <= ap_const_logic_1;
else
ST_uOut_we1 <= ap_const_logic_0;
end if;
end process;
-- ap_done assign process. --
ap_done_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return <= p_0_reg_392;
-- ap_rst_n_inv assign process. --
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
-- ap_sig_bdd_1429 assign process. --
ap_sig_bdd_1429_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_1429 <= (ap_const_lv1_1 = ap_CS_fsm(149 downto 149));
end process;
-- ap_sig_bdd_168 assign process. --
ap_sig_bdd_168_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_168 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1);
end process;
-- ap_sig_bdd_253 assign process. --
ap_sig_bdd_253_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_253 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2));
end process;
-- ap_sig_bdd_260 assign process. --
ap_sig_bdd_260_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_260 <= (ap_const_lv1_1 = ap_CS_fsm(10 downto 10));
end process;
-- ap_sig_bdd_268 assign process. --
ap_sig_bdd_268_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_268 <= (ap_const_lv1_1 = ap_CS_fsm(14 downto 14));
end process;
-- ap_sig_bdd_275 assign process. --
ap_sig_bdd_275_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_275 <= (ap_const_lv1_1 = ap_CS_fsm(88 downto 88));
end process;
-- ap_sig_bdd_283 assign process. --
ap_sig_bdd_283_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_283 <= (ap_const_lv1_1 = ap_CS_fsm(129 downto 129));
end process;
-- ap_sig_bdd_292 assign process. --
ap_sig_bdd_292_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_292 <= (ap_const_lv1_1 = ap_CS_fsm(23 downto 23));
end process;
-- ap_sig_bdd_301 assign process. --
ap_sig_bdd_301_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_301 <= (ap_const_lv1_1 = ap_CS_fsm(97 downto 97));
end process;
-- ap_sig_bdd_311 assign process. --
ap_sig_bdd_311_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_311 <= (ap_const_lv1_1 = ap_CS_fsm(17 downto 17));
end process;
-- ap_sig_bdd_318 assign process. --
ap_sig_bdd_318_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_318 <= (ap_const_lv1_1 = ap_CS_fsm(91 downto 91));
end process;
-- ap_sig_bdd_328 assign process. --
ap_sig_bdd_328_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_328 <= (ap_const_lv1_1 = ap_CS_fsm(22 downto 22));
end process;
-- ap_sig_bdd_335 assign process. --
ap_sig_bdd_335_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_335 <= (ap_const_lv1_1 = ap_CS_fsm(96 downto 96));
end process;
-- ap_sig_bdd_344 assign process. --
ap_sig_bdd_344_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_344 <= (ap_const_lv1_1 = ap_CS_fsm(28 downto 28));
end process;
-- ap_sig_bdd_351 assign process. --
ap_sig_bdd_351_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_351 <= (ap_const_lv1_1 = ap_CS_fsm(102 downto 102));
end process;
-- ap_sig_bdd_361 assign process. --
ap_sig_bdd_361_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_361 <= (ap_const_lv1_1 = ap_CS_fsm(29 downto 29));
end process;
-- ap_sig_bdd_368 assign process. --
ap_sig_bdd_368_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_368 <= (ap_const_lv1_1 = ap_CS_fsm(103 downto 103));
end process;
-- ap_sig_bdd_378 assign process. --
ap_sig_bdd_378_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_378 <= (ap_const_lv1_1 = ap_CS_fsm(47 downto 47));
end process;
-- ap_sig_bdd_385 assign process. --
ap_sig_bdd_385_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_385 <= (ap_const_lv1_1 = ap_CS_fsm(121 downto 121));
end process;
-- ap_sig_bdd_395 assign process. --
ap_sig_bdd_395_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_395 <= (ap_const_lv1_1 = ap_CS_fsm(84 downto 84));
end process;
-- ap_sig_bdd_402 assign process. --
ap_sig_bdd_402_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_402 <= (ap_const_lv1_1 = ap_CS_fsm(122 downto 122));
end process;
-- ap_sig_bdd_458 assign process. --
ap_sig_bdd_458_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_458 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1));
end process;
-- ap_sig_bdd_478 assign process. --
ap_sig_bdd_478_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_478 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3));
end process;
-- ap_sig_bdd_487 assign process. --
ap_sig_bdd_487_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_487 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4));
end process;
-- ap_sig_bdd_496 assign process. --
ap_sig_bdd_496_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_496 <= (ap_const_lv1_1 = ap_CS_fsm(9 downto 9));
end process;
-- ap_sig_bdd_505 assign process. --
ap_sig_bdd_505_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_505 <= (ap_const_lv1_1 = ap_CS_fsm(11 downto 11));
end process;
-- ap_sig_bdd_535 assign process. --
ap_sig_bdd_535_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_535 <= (ap_const_lv1_1 = ap_CS_fsm(12 downto 12));
end process;
-- ap_sig_bdd_557 assign process. --
ap_sig_bdd_557_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_557 <= (ap_const_lv1_1 = ap_CS_fsm(13 downto 13));
end process;
-- ap_sig_bdd_577 assign process. --
ap_sig_bdd_577_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_577 <= (ap_const_lv1_1 = ap_CS_fsm(52 downto 52));
end process;
-- ap_sig_bdd_586 assign process. --
ap_sig_bdd_586_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_586 <= (ap_const_lv1_1 = ap_CS_fsm(83 downto 83));
end process;
-- ap_sig_bdd_595 assign process. --
ap_sig_bdd_595_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_595 <= (ap_const_lv1_1 = ap_CS_fsm(86 downto 86));
end process;
-- ap_sig_bdd_616 assign process. --
ap_sig_bdd_616_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_616 <= (ap_const_lv1_1 = ap_CS_fsm(87 downto 87));
end process;
-- ap_sig_bdd_635 assign process. --
ap_sig_bdd_635_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_635 <= (ap_const_lv1_1 = ap_CS_fsm(127 downto 127));
end process;
-- ap_sig_bdd_644 assign process. --
ap_sig_bdd_644_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_644 <= (ap_const_lv1_1 = ap_CS_fsm(128 downto 128));
end process;
-- ap_sig_bdd_659 assign process. --
ap_sig_bdd_659_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_659 <= (ap_const_lv1_1 = ap_CS_fsm(145 downto 145));
end process;
-- ap_sig_bdd_668 assign process. --
ap_sig_bdd_668_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_668 <= (ap_const_lv1_1 = ap_CS_fsm(147 downto 147));
end process;
-- ap_sig_bdd_690 assign process. --
ap_sig_bdd_690_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_690 <= (ap_const_lv1_1 = ap_CS_fsm(85 downto 85));
end process;
-- ap_sig_bdd_712 assign process. --
ap_sig_bdd_712_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_712 <= (ap_const_lv1_1 = ap_CS_fsm(146 downto 146));
end process;
-- ap_sig_bdd_728 assign process. --
ap_sig_bdd_728_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_728 <= (ap_const_lv1_1 = ap_CS_fsm(148 downto 148));
end process;
-- ap_sig_bdd_818 assign process. --
ap_sig_bdd_818_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_818 <= (ap_const_lv1_1 = ap_CS_fsm(123 downto 123));
end process;
-- ap_sig_bdd_837 assign process. --
ap_sig_bdd_837_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_837 <= (ap_const_lv1_1 = ap_CS_fsm(18 downto 18));
end process;
-- ap_sig_bdd_844 assign process. --
ap_sig_bdd_844_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_844 <= (ap_const_lv1_1 = ap_CS_fsm(24 downto 24));
end process;
-- ap_sig_bdd_852 assign process. --
ap_sig_bdd_852_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_852 <= (ap_const_lv1_1 = ap_CS_fsm(92 downto 92));
end process;
-- ap_sig_bdd_859 assign process. --
ap_sig_bdd_859_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_859 <= (ap_const_lv1_1 = ap_CS_fsm(98 downto 98));
end process;
-- ap_sig_cseq_ST_st103_fsm_102 assign process. --
ap_sig_cseq_ST_st103_fsm_102_assign_proc : process(ap_sig_bdd_351)
begin
if (ap_sig_bdd_351) then
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st104_fsm_103 assign process. --
ap_sig_cseq_ST_st104_fsm_103_assign_proc : process(ap_sig_bdd_368)
begin
if (ap_sig_bdd_368) then
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st10_fsm_9 assign process. --
ap_sig_cseq_ST_st10_fsm_9_assign_proc : process(ap_sig_bdd_496)
begin
if (ap_sig_bdd_496) then
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st11_fsm_10 assign process. --
ap_sig_cseq_ST_st11_fsm_10_assign_proc : process(ap_sig_bdd_260)
begin
if (ap_sig_bdd_260) then
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st122_fsm_121 assign process. --
ap_sig_cseq_ST_st122_fsm_121_assign_proc : process(ap_sig_bdd_385)
begin
if (ap_sig_bdd_385) then
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st123_fsm_122 assign process. --
ap_sig_cseq_ST_st123_fsm_122_assign_proc : process(ap_sig_bdd_402)
begin
if (ap_sig_bdd_402) then
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st124_fsm_123 assign process. --
ap_sig_cseq_ST_st124_fsm_123_assign_proc : process(ap_sig_bdd_818)
begin
if (ap_sig_bdd_818) then
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st128_fsm_127 assign process. --
ap_sig_cseq_ST_st128_fsm_127_assign_proc : process(ap_sig_bdd_635)
begin
if (ap_sig_bdd_635) then
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st129_fsm_128 assign process. --
ap_sig_cseq_ST_st129_fsm_128_assign_proc : process(ap_sig_bdd_644)
begin
if (ap_sig_bdd_644) then
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st12_fsm_11 assign process. --
ap_sig_cseq_ST_st12_fsm_11_assign_proc : process(ap_sig_bdd_505)
begin
if (ap_sig_bdd_505) then
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st130_fsm_129 assign process. --
ap_sig_cseq_ST_st130_fsm_129_assign_proc : process(ap_sig_bdd_283)
begin
if (ap_sig_bdd_283) then
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st13_fsm_12 assign process. --
ap_sig_cseq_ST_st13_fsm_12_assign_proc : process(ap_sig_bdd_535)
begin
if (ap_sig_bdd_535) then
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st146_fsm_145 assign process. --
ap_sig_cseq_ST_st146_fsm_145_assign_proc : process(ap_sig_bdd_659)
begin
if (ap_sig_bdd_659) then
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st147_fsm_146 assign process. --
ap_sig_cseq_ST_st147_fsm_146_assign_proc : process(ap_sig_bdd_712)
begin
if (ap_sig_bdd_712) then
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st148_fsm_147 assign process. --
ap_sig_cseq_ST_st148_fsm_147_assign_proc : process(ap_sig_bdd_668)
begin
if (ap_sig_bdd_668) then
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st149_fsm_148 assign process. --
ap_sig_cseq_ST_st149_fsm_148_assign_proc : process(ap_sig_bdd_728)
begin
if (ap_sig_bdd_728) then
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st14_fsm_13 assign process. --
ap_sig_cseq_ST_st14_fsm_13_assign_proc : process(ap_sig_bdd_557)
begin
if (ap_sig_bdd_557) then
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st150_fsm_149 assign process. --
ap_sig_cseq_ST_st150_fsm_149_assign_proc : process(ap_sig_bdd_1429)
begin
if (ap_sig_bdd_1429) then
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st15_fsm_14 assign process. --
ap_sig_cseq_ST_st15_fsm_14_assign_proc : process(ap_sig_bdd_268)
begin
if (ap_sig_bdd_268) then
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st18_fsm_17 assign process. --
ap_sig_cseq_ST_st18_fsm_17_assign_proc : process(ap_sig_bdd_311)
begin
if (ap_sig_bdd_311) then
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st19_fsm_18 assign process. --
ap_sig_cseq_ST_st19_fsm_18_assign_proc : process(ap_sig_bdd_837)
begin
if (ap_sig_bdd_837) then
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st1_fsm_0 assign process. --
ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_168)
begin
if (ap_sig_bdd_168) then
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st23_fsm_22 assign process. --
ap_sig_cseq_ST_st23_fsm_22_assign_proc : process(ap_sig_bdd_328)
begin
if (ap_sig_bdd_328) then
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st24_fsm_23 assign process. --
ap_sig_cseq_ST_st24_fsm_23_assign_proc : process(ap_sig_bdd_292)
begin
if (ap_sig_bdd_292) then
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st25_fsm_24 assign process. --
ap_sig_cseq_ST_st25_fsm_24_assign_proc : process(ap_sig_bdd_844)
begin
if (ap_sig_bdd_844) then
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st29_fsm_28 assign process. --
ap_sig_cseq_ST_st29_fsm_28_assign_proc : process(ap_sig_bdd_344)
begin
if (ap_sig_bdd_344) then
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st2_fsm_1 assign process. --
ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_458)
begin
if (ap_sig_bdd_458) then
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st30_fsm_29 assign process. --
ap_sig_cseq_ST_st30_fsm_29_assign_proc : process(ap_sig_bdd_361)
begin
if (ap_sig_bdd_361) then
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st3_fsm_2 assign process. --
ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_253)
begin
if (ap_sig_bdd_253) then
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st48_fsm_47 assign process. --
ap_sig_cseq_ST_st48_fsm_47_assign_proc : process(ap_sig_bdd_378)
begin
if (ap_sig_bdd_378) then
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st4_fsm_3 assign process. --
ap_sig_cseq_ST_st4_fsm_3_assign_proc : process(ap_sig_bdd_478)
begin
if (ap_sig_bdd_478) then
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st53_fsm_52 assign process. --
ap_sig_cseq_ST_st53_fsm_52_assign_proc : process(ap_sig_bdd_577)
begin
if (ap_sig_bdd_577) then
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st5_fsm_4 assign process. --
ap_sig_cseq_ST_st5_fsm_4_assign_proc : process(ap_sig_bdd_487)
begin
if (ap_sig_bdd_487) then
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st84_fsm_83 assign process. --
ap_sig_cseq_ST_st84_fsm_83_assign_proc : process(ap_sig_bdd_586)
begin
if (ap_sig_bdd_586) then
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st85_fsm_84 assign process. --
ap_sig_cseq_ST_st85_fsm_84_assign_proc : process(ap_sig_bdd_395)
begin
if (ap_sig_bdd_395) then
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st86_fsm_85 assign process. --
ap_sig_cseq_ST_st86_fsm_85_assign_proc : process(ap_sig_bdd_690)
begin
if (ap_sig_bdd_690) then
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st87_fsm_86 assign process. --
ap_sig_cseq_ST_st87_fsm_86_assign_proc : process(ap_sig_bdd_595)
begin
if (ap_sig_bdd_595) then
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st88_fsm_87 assign process. --
ap_sig_cseq_ST_st88_fsm_87_assign_proc : process(ap_sig_bdd_616)
begin
if (ap_sig_bdd_616) then
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st89_fsm_88 assign process. --
ap_sig_cseq_ST_st89_fsm_88_assign_proc : process(ap_sig_bdd_275)
begin
if (ap_sig_bdd_275) then
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st92_fsm_91 assign process. --
ap_sig_cseq_ST_st92_fsm_91_assign_proc : process(ap_sig_bdd_318)
begin
if (ap_sig_bdd_318) then
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st93_fsm_92 assign process. --
ap_sig_cseq_ST_st93_fsm_92_assign_proc : process(ap_sig_bdd_852)
begin
if (ap_sig_bdd_852) then
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st97_fsm_96 assign process. --
ap_sig_cseq_ST_st97_fsm_96_assign_proc : process(ap_sig_bdd_335)
begin
if (ap_sig_bdd_335) then
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st98_fsm_97 assign process. --
ap_sig_cseq_ST_st98_fsm_97_assign_proc : process(ap_sig_bdd_301)
begin
if (ap_sig_bdd_301) then
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st99_fsm_98 assign process. --
ap_sig_cseq_ST_st99_fsm_98_assign_proc : process(ap_sig_bdd_859)
begin
if (ap_sig_bdd_859) then
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_0;
end if;
end process;
grp_fu_421_ce <= ap_const_logic_1;
-- grp_fu_421_p0 assign process. --
grp_fu_421_p0_assign_proc : process(sum_reg_312, sumsoft_reg_335, sum_1_reg_358, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p0 <= sumsoft_reg_335;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p0 <= sum_1_reg_358;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24))) then
grp_fu_421_p0 <= sum_reg_312;
else
grp_fu_421_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_421_p1 assign process. --
grp_fu_421_p1_assign_proc : process(reg_499, reg_505, reg_532, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p1 <= reg_532;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p1 <= reg_499;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92))) then
grp_fu_421_p1 <= reg_505;
else
grp_fu_421_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_428_ce <= ap_const_logic_1;
-- grp_fu_428_p0 assign process. --
grp_fu_428_p0_assign_proc : process(ST_uOut_q0, ST_uOut_q1, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st89_fsm_88)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) then
grp_fu_428_p0 <= ST_uOut_q0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
grp_fu_428_p0 <= ST_uOut_q1;
else
grp_fu_428_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_435_ce <= ap_const_logic_1;
grp_fu_440_ce <= ap_const_logic_1;
-- grp_fu_444_p0 assign process. --
grp_fu_444_p0_assign_proc : process(reg_526, ap_sig_cseq_ST_st85_fsm_84, ap_sig_cseq_ST_st123_fsm_122, tmp_43_reg_1557)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122)) then
grp_fu_444_p0 <= reg_526;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84)) then
grp_fu_444_p0 <= tmp_43_reg_1557;
else
grp_fu_444_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_447_p0 assign process. --
grp_fu_447_p0_assign_proc : process(reg_516, ap_sig_cseq_ST_st30_fsm_29, ap_sig_cseq_ST_st104_fsm_103, tmp_39_fu_1177_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103)) then
grp_fu_447_p0 <= reg_516;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) then
grp_fu_447_p0 <= tmp_39_fu_1177_p1;
else
grp_fu_447_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_454_ce <= ap_const_logic_1;
grp_fu_459_ce <= ap_const_logic_1;
grp_fu_464_ce <= ap_const_logic_1;
-- grp_fu_469_p1 assign process. --
grp_fu_469_p1_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ST_numLayer, ST_numLayer_load_reg_1353, ap_sig_cseq_ST_st12_fsm_11)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) then
grp_fu_469_p1 <= ST_numLayer_load_reg_1353;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0)) then
grp_fu_469_p1 <= ST_numLayer;
else
grp_fu_469_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_469_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFF) + signed(grp_fu_469_p1));
i_2_cast_fu_1290_p1 <= std_logic_vector(resize(unsigned(i_2_reg_381),32));
i_3_fu_1105_p2 <= std_logic_vector(unsigned(i_reg_289) + unsigned(ap_const_lv31_1));
i_4_fu_798_p2 <= std_logic_vector(unsigned(ap_const_lv31_1) + unsigned(max_2_reg_266));
i_5_fu_1201_p2 <= std_logic_vector(unsigned(i_1_reg_347) + unsigned(ap_const_lv32_1));
i_6_fu_1299_p2 <= std_logic_vector(unsigned(i_2_reg_381) + unsigned(ap_const_lv31_1));
i_cast_fu_893_p1 <= std_logic_vector(resize(unsigned(i_reg_289),32));
j_1_cast_fu_1234_p1 <= std_logic_vector(resize(unsigned(j_1_reg_370),32));
j_2_fu_1072_p2 <= std_logic_vector(unsigned(j_reg_301) + unsigned(ap_const_lv32_1));
j_3_fu_1243_p2 <= std_logic_vector(unsigned(j_1_reg_370) + unsigned(ap_const_lv31_1));
k_1_fu_1120_p2 <= std_logic_vector(unsigned(k_reg_324) + unsigned(ap_const_lv31_1));
k_cast_fu_1111_p1 <= std_logic_vector(resize(unsigned(k_reg_324),32));
max_1_fu_887_p3 <=
max_2_cast_reg_1407 when (tmp_63_reg_1436(0) = '1') else
max_reg_277;
max_2_cast_fu_761_p1 <= std_logic_vector(resize(unsigned(max_2_reg_266),32));
notlhs1_fu_857_p2 <= "0" when (tmp_57_fu_825_p4 = ap_const_lv8_FF) else "1";
notlhs_fu_839_p2 <= "0" when (tmp_55_fu_808_p4 = ap_const_lv8_FF) else "1";
notrhs2_fu_863_p2 <= "1" when (tmp_97_fu_835_p1 = ap_const_lv23_0) else "0";
notrhs_fu_845_p2 <= "1" when (tmp_96_fu_818_p1 = ap_const_lv23_0) else "0";
p_shl10_cast_fu_641_p3 <= (tmp_72_fu_637_p1 & ap_const_lv5_0);
p_shl11_cast_fu_653_p3 <= (tmp_73_fu_649_p1 & ap_const_lv3_0);
p_shl12_cast_fu_589_p3 <= (tmp_74_fu_585_p1 & ap_const_lv5_0);
p_shl13_cast_fu_601_p3 <= (tmp_75_fu_597_p1 & ap_const_lv3_0);
p_shl1_cast_fu_707_p3 <= (tmp_12_fu_703_p1 & ap_const_lv3_0);
p_shl2_cast_fu_1023_p3 <= (tmp_67_fu_1019_p1 & ap_const_lv5_0);
p_shl3_cast_fu_1035_p3 <= (tmp_68_fu_1031_p1 & ap_const_lv3_0);
p_shl4_cast_fu_980_p3 <= (tmp_47_fu_976_p1 & ap_const_lv5_0);
p_shl5_cast_fu_992_p3 <= (tmp_51_fu_988_p1 & ap_const_lv3_0);
p_shl6_cast_fu_946_p3 <= (tmp_30_fu_942_p1 & ap_const_lv5_0);
p_shl7_cast_fu_958_p3 <= (tmp_36_fu_954_p1 & ap_const_lv3_0);
p_shl8_cast_fu_906_p3 <= (tmp_25_fu_902_p1 & ap_const_lv5_0);
p_shl9_cast_fu_918_p3 <= (tmp_26_fu_914_p1 & ap_const_lv3_0);
p_shl_cast_fu_695_p3 <= (tmp_11_fu_691_p1 & ap_const_lv5_0);
tmp_100_fu_1154_p1 <= tmp_53_reg_1507(14 - 1 downto 0);
tmp_101_fu_1249_p1 <= j_1_reg_370(9 - 1 downto 0);
tmp_102_fu_1253_p1 <= j_1_reg_370(14 - 1 downto 0);
tmp_103_fu_1277_p1 <= tmp_54_reg_1575(14 - 1 downto 0);
tmp_10_fu_573_p2 <= "1" when (P_mode = ap_const_lv32_6) else "0";
tmp_11_fu_691_p1 <= P_index1(9 - 1 downto 0);
tmp_12_fu_703_p1 <= P_index1(11 - 1 downto 0);
tmp_13_fu_715_p2 <= std_logic_vector(unsigned(p_shl_cast_fu_695_p3) + unsigned(p_shl1_cast_fu_707_p3));
tmp_14_fu_579_p2 <= "1" when (P_mode = ap_const_lv32_7) else "0";
tmp_15_fu_936_p2 <= std_logic_vector(signed(ap_const_lv31_7FFFFFFF) + signed(i_reg_289));
tmp_16_fu_721_p2 <= std_logic_vector(unsigned(tmp_7_fu_687_p1) + unsigned(tmp_13_fu_715_p2));
tmp_19_fu_1319_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed('0' &ap_const_lv14_29) * signed(tmp_16_reg_1399))), 14));
tmp_1_fu_538_p2 <= "1" when (P_mode = ap_const_lv32_1) else "0";
tmp_20_fu_1066_p2 <= "1" when (signed(j_reg_301) < signed(tmp_fu_1053_p6)) else "0";
tmp_21_cast_fu_1329_p1 <= std_logic_vector(resize(signed(tmp_21_reg_1639),64));
tmp_21_fu_1324_p2 <= std_logic_vector(unsigned(tmp_6_reg_1394) + unsigned(tmp_19_fu_1319_p2));
tmp_22_fu_1195_p2 <= "1" when (signed(i_1_reg_347) < signed(tmp_27_fu_1182_p6)) else "0";
tmp_23_fu_1014_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFE) + signed(ST_numLayer_load_reg_1353));
tmp_24_fu_765_p2 <= "1" when (signed(max_2_cast_fu_761_p1) < signed(tmp_31_reg_1384)) else "0";
tmp_25_fu_902_p1 <= i_reg_289(9 - 1 downto 0);
tmp_26_fu_914_p1 <= i_reg_289(11 - 1 downto 0);
tmp_28_fu_926_p2 <= std_logic_vector(unsigned(p_shl8_cast_fu_906_p3) + unsigned(p_shl9_cast_fu_918_p3));
tmp_29_fu_932_p1 <= i_reg_289(2 - 1 downto 0);
tmp_2_fu_549_p2 <= "1" when (P_mode = ap_const_lv32_2) else "0";
tmp_30_fu_942_p1 <= tmp_15_fu_936_p2(4 - 1 downto 0);
tmp_31_fu_619_p5 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_33_fu_1115_p2 <= "1" when (signed(k_cast_fu_1111_p1) < signed(tmp_53_reg_1507)) else "0";
tmp_34_fu_1238_p2 <= "1" when (signed(j_1_cast_fu_1234_p1) < signed(tmp_54_reg_1575)) else "0";
tmp_35_fu_1294_p2 <= "1" when (signed(i_2_cast_fu_1290_p1) < signed(tmp_27_reg_1562)) else "0";
tmp_36_fu_954_p1 <= tmp_15_fu_936_p2(6 - 1 downto 0);
tmp_38_fu_966_p2 <= std_logic_vector(unsigned(p_shl6_cast_fu_946_p3) + unsigned(p_shl7_cast_fu_958_p3));
tmp_39_fu_1177_p1 <= tmp_39_neg_fu_1171_p2;
tmp_39_neg_fu_1171_p2 <= (tmp_39_to_int_fu_1167_p1 xor ap_const_lv32_80000000);
tmp_39_to_int_fu_1167_p1 <= reg_516;
tmp_3_fu_897_p2 <= "1" when (signed(i_cast_fu_893_p1) < signed(ST_numLayer_load_reg_1353)) else "0";
tmp_45_fu_972_p1 <= tmp_15_fu_936_p2(2 - 1 downto 0);
tmp_47_fu_976_p1 <= grp_fu_469_p2(9 - 1 downto 0);
tmp_4_fu_555_p2 <= "1" when (P_mode = ap_const_lv32_3) else "0";
tmp_51_fu_988_p1 <= grp_fu_469_p2(11 - 1 downto 0);
tmp_55_fu_808_p4 <= ST_uOut_load_1_to_int_fu_804_p1(30 downto 23);
tmp_56_fu_1000_p2 <= std_logic_vector(unsigned(p_shl4_cast_fu_980_p3) + unsigned(p_shl5_cast_fu_992_p3));
tmp_57_fu_825_p4 <= ST_uOut_load_2_to_int_fu_822_p1(30 downto 23);
tmp_58_fu_1006_p1 <= tmp_56_fu_1000_p2(9 - 1 downto 0);
tmp_59_fu_851_p2 <= (notrhs_fu_845_p2 or notlhs_fu_839_p2);
tmp_5_fu_727_p1 <= P_index1(2 - 1 downto 0);
tmp_60_fu_869_p2 <= (notrhs2_fu_863_p2 or notlhs1_fu_857_p2);
tmp_61_fu_875_p2 <= (tmp_59_fu_851_p2 and tmp_60_fu_869_p2);
tmp_62_fu_450_opcode <= ap_const_lv5_2;
tmp_63_fu_881_p2 <= (tmp_61_fu_875_p2 and tmp_62_fu_450_p2);
tmp_64_fu_1010_p1 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_65_fu_661_p2 <= std_logic_vector(unsigned(p_shl10_cast_fu_641_p3) + unsigned(p_shl11_cast_fu_653_p3));
tmp_66_cast_fu_673_p1 <= std_logic_vector(resize(signed(tmp_66_fu_667_p2),64));
tmp_66_fu_667_p2 <= std_logic_vector(unsigned(tmp_71_fu_633_p1) + unsigned(tmp_65_fu_661_p2));
tmp_67_fu_1019_p1 <= tmp_23_fu_1014_p2(4 - 1 downto 0);
tmp_68_fu_1031_p1 <= tmp_23_fu_1014_p2(6 - 1 downto 0);
tmp_69_fu_1043_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_1023_p3) + unsigned(p_shl3_cast_fu_1035_p3));
tmp_6_fu_683_p1 <= P_intIn_index3(14 - 1 downto 0);
tmp_70_fu_1049_p1 <= tmp_23_fu_1014_p2(2 - 1 downto 0);
tmp_71_fu_633_p1 <= P_index2(9 - 1 downto 0);
tmp_72_fu_637_p1 <= P_index1(4 - 1 downto 0);
tmp_73_fu_649_p1 <= P_index1(6 - 1 downto 0);
tmp_74_fu_585_p1 <= grp_fu_469_p2(4 - 1 downto 0);
tmp_75_fu_597_p1 <= grp_fu_469_p2(6 - 1 downto 0);
tmp_76_fu_609_p2 <= std_logic_vector(unsigned(p_shl12_cast_fu_589_p3) + unsigned(p_shl13_cast_fu_601_p3));
tmp_78_fu_1091_p1 <= j_reg_301(14 - 1 downto 0);
tmp_79_fu_1095_p2 <= std_logic_vector(unsigned(tmp_28_reg_1454) + unsigned(tmp_78_fu_1091_p1));
tmp_7_fu_687_p1 <= P_index2(14 - 1 downto 0);
tmp_80_fu_1333_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_81_fu_1220_p1 <= i_1_reg_347(14 - 1 downto 0);
tmp_82_cast_fu_1100_p1 <= std_logic_vector(resize(signed(tmp_79_fu_1095_p2),64));
tmp_82_fu_1224_p2 <= std_logic_vector(unsigned(tmp_56_reg_1474) + unsigned(tmp_81_fu_1220_p1));
tmp_83_fu_1339_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_84_cast_fu_1229_p1 <= std_logic_vector(resize(signed(tmp_82_fu_1224_p2),64));
tmp_84_fu_1305_p1 <= i_2_reg_381(9 - 1 downto 0);
tmp_85_fu_1309_p2 <= std_logic_vector(unsigned(tmp_58_reg_1479) + unsigned(tmp_84_fu_1305_p1));
tmp_86_cast_fu_779_p1 <= std_logic_vector(resize(signed(tmp_86_fu_774_p2),64));
tmp_86_fu_774_p2 <= std_logic_vector(unsigned(tmp_94_fu_770_p1) + unsigned(tmp_76_reg_1378));
tmp_87_cast_fu_793_p1 <= std_logic_vector(resize(signed(tmp_87_fu_788_p2),64));
tmp_87_fu_788_p2 <= std_logic_vector(unsigned(tmp_95_fu_784_p1) + unsigned(tmp_76_reg_1378));
tmp_88_cast_fu_1139_p1 <= std_logic_vector(resize(signed(tmp_88_fu_1134_p2),64));
tmp_88_fu_1134_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_99_fu_1130_p1));
tmp_89_cast_fu_1149_p1 <= std_logic_vector(resize(unsigned(tmp_89_fu_1144_p2),64));
tmp_89_fu_1144_p2 <= std_logic_vector(unsigned(tmp_38_reg_1464) + unsigned(tmp_98_fu_1126_p1));
tmp_8_fu_561_p2 <= "1" when (P_mode = ap_const_lv32_4) else "0";
tmp_90_cast_fu_1162_p1 <= std_logic_vector(resize(signed(tmp_90_fu_1157_p2),64));
tmp_90_fu_1157_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_100_fu_1154_p1));
tmp_91_cast_fu_1262_p1 <= std_logic_vector(resize(signed(tmp_91_fu_1257_p2),64));
tmp_91_fu_1257_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_102_fu_1253_p1));
tmp_92_cast_fu_1272_p1 <= std_logic_vector(resize(signed(tmp_92_fu_1267_p2),64));
tmp_92_fu_1267_p2 <= std_logic_vector(unsigned(tmp_69_reg_1489) + unsigned(tmp_101_fu_1249_p1));
tmp_93_cast_fu_1285_p1 <= std_logic_vector(resize(signed(tmp_93_fu_1280_p2),64));
tmp_93_fu_1280_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_103_fu_1277_p1));
tmp_94_cast_fu_1314_p1 <= std_logic_vector(resize(signed(tmp_85_fu_1309_p2),64));
tmp_94_fu_770_p1 <= max_2_reg_266(9 - 1 downto 0);
tmp_95_fu_784_p1 <= max_reg_277(9 - 1 downto 0);
tmp_96_fu_818_p1 <= ST_uOut_load_1_to_int_fu_804_p1(23 - 1 downto 0);
tmp_97_fu_835_p1 <= ST_uOut_load_2_to_int_fu_822_p1(23 - 1 downto 0);
tmp_98_fu_1126_p1 <= k_reg_324(9 - 1 downto 0);
tmp_99_fu_1130_p1 <= k_reg_324(14 - 1 downto 0);
tmp_9_fu_678_p1 <= std_logic_vector(resize(signed(P_index1),64));
tmp_s_fu_567_p2 <= "1" when (P_mode = ap_const_lv32_5) else "0";
end behav;
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2015.4
-- Copyright (C) 2015 Xilinx Inc. All rights reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity ANN is
generic (
C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 7;
C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
s_axi_AXILiteS_AWVALID : IN STD_LOGIC;
s_axi_AXILiteS_AWREADY : OUT STD_LOGIC;
s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_WVALID : IN STD_LOGIC;
s_axi_AXILiteS_WREADY : OUT STD_LOGIC;
s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0);
s_axi_AXILiteS_ARVALID : IN STD_LOGIC;
s_axi_AXILiteS_ARREADY : OUT STD_LOGIC;
s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_RVALID : OUT STD_LOGIC;
s_axi_AXILiteS_RREADY : IN STD_LOGIC;
s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_AXILiteS_BVALID : OUT STD_LOGIC;
s_axi_AXILiteS_BREADY : IN STD_LOGIC;
s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of ANN is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"ANN,hls_ip_2015_4,{HLS_INPUT_TYPE=cxx,HLS_INPUT_FLOAT=1,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7z010clg400-1,HLS_INPUT_CLOCK=10.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=8.621000,HLS_SYN_LAT=-1,HLS_SYN_TPT=none,HLS_SYN_MEM=18,HLS_SYN_DSP=37,HLS_SYN_FF=8935,HLS_SYN_LUT=12780}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_st1_fsm_0 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
constant ap_ST_st2_fsm_1 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010";
constant ap_ST_st3_fsm_2 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100";
constant ap_ST_st4_fsm_3 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000";
constant ap_ST_st5_fsm_4 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000";
constant ap_ST_st6_fsm_5 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000";
constant ap_ST_st7_fsm_6 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000";
constant ap_ST_st8_fsm_7 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000";
constant ap_ST_st9_fsm_8 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000";
constant ap_ST_st10_fsm_9 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000";
constant ap_ST_st11_fsm_10 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000";
constant ap_ST_st12_fsm_11 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000";
constant ap_ST_st13_fsm_12 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000";
constant ap_ST_st14_fsm_13 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000";
constant ap_ST_st15_fsm_14 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000";
constant ap_ST_st16_fsm_15 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000";
constant ap_ST_st17_fsm_16 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000";
constant ap_ST_st18_fsm_17 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000";
constant ap_ST_st19_fsm_18 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000";
constant ap_ST_st20_fsm_19 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000";
constant ap_ST_st21_fsm_20 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000";
constant ap_ST_st22_fsm_21 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000";
constant ap_ST_st23_fsm_22 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000";
constant ap_ST_st24_fsm_23 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000";
constant ap_ST_st25_fsm_24 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000";
constant ap_ST_st26_fsm_25 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000";
constant ap_ST_st27_fsm_26 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000";
constant ap_ST_st28_fsm_27 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000";
constant ap_ST_st29_fsm_28 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000";
constant ap_ST_st30_fsm_29 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000";
constant ap_ST_st31_fsm_30 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000";
constant ap_ST_st32_fsm_31 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000";
constant ap_ST_st33_fsm_32 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000";
constant ap_ST_st34_fsm_33 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000";
constant ap_ST_st35_fsm_34 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000";
constant ap_ST_st36_fsm_35 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000";
constant ap_ST_st37_fsm_36 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000";
constant ap_ST_st38_fsm_37 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000";
constant ap_ST_st39_fsm_38 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000";
constant ap_ST_st40_fsm_39 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000";
constant ap_ST_st41_fsm_40 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000";
constant ap_ST_st42_fsm_41 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000";
constant ap_ST_st43_fsm_42 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000";
constant ap_ST_st44_fsm_43 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000";
constant ap_ST_st45_fsm_44 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000";
constant ap_ST_st46_fsm_45 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000";
constant ap_ST_st47_fsm_46 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000";
constant ap_ST_st48_fsm_47 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000";
constant ap_ST_st49_fsm_48 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000";
constant ap_ST_st50_fsm_49 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000";
constant ap_ST_st51_fsm_50 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000";
constant ap_ST_st52_fsm_51 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000";
constant ap_ST_st53_fsm_52 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000";
constant ap_ST_st54_fsm_53 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000";
constant ap_ST_st55_fsm_54 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000";
constant ap_ST_st56_fsm_55 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000";
constant ap_ST_st57_fsm_56 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000";
constant ap_ST_st58_fsm_57 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st59_fsm_58 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st60_fsm_59 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st61_fsm_60 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st62_fsm_61 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st63_fsm_62 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st64_fsm_63 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st65_fsm_64 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st66_fsm_65 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st67_fsm_66 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st68_fsm_67 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st69_fsm_68 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st70_fsm_69 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st71_fsm_70 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st72_fsm_71 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st73_fsm_72 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st74_fsm_73 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st75_fsm_74 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st76_fsm_75 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st77_fsm_76 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st78_fsm_77 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st79_fsm_78 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st80_fsm_79 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st81_fsm_80 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st82_fsm_81 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st83_fsm_82 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st84_fsm_83 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st85_fsm_84 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st86_fsm_85 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st87_fsm_86 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st88_fsm_87 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st89_fsm_88 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st90_fsm_89 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st91_fsm_90 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st92_fsm_91 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st93_fsm_92 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st94_fsm_93 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st95_fsm_94 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st96_fsm_95 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st97_fsm_96 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st98_fsm_97 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st99_fsm_98 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st100_fsm_99 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st101_fsm_100 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st102_fsm_101 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st103_fsm_102 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st104_fsm_103 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st105_fsm_104 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st106_fsm_105 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st107_fsm_106 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st108_fsm_107 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st109_fsm_108 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st110_fsm_109 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st111_fsm_110 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st112_fsm_111 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st113_fsm_112 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st114_fsm_113 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st115_fsm_114 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st116_fsm_115 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st117_fsm_116 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st118_fsm_117 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st119_fsm_118 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st120_fsm_119 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st121_fsm_120 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st122_fsm_121 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st123_fsm_122 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st124_fsm_123 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st125_fsm_124 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st126_fsm_125 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st127_fsm_126 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st128_fsm_127 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st129_fsm_128 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st130_fsm_129 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st131_fsm_130 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st132_fsm_131 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st133_fsm_132 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st134_fsm_133 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st135_fsm_134 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st136_fsm_135 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st137_fsm_136 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st138_fsm_137 : STD_LOGIC_VECTOR (149 downto 0) := "000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st139_fsm_138 : STD_LOGIC_VECTOR (149 downto 0) := "000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st140_fsm_139 : STD_LOGIC_VECTOR (149 downto 0) := "000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st141_fsm_140 : STD_LOGIC_VECTOR (149 downto 0) := "000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st142_fsm_141 : STD_LOGIC_VECTOR (149 downto 0) := "000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st143_fsm_142 : STD_LOGIC_VECTOR (149 downto 0) := "000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st144_fsm_143 : STD_LOGIC_VECTOR (149 downto 0) := "000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st145_fsm_144 : STD_LOGIC_VECTOR (149 downto 0) := "000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st146_fsm_145 : STD_LOGIC_VECTOR (149 downto 0) := "000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st147_fsm_146 : STD_LOGIC_VECTOR (149 downto 0) := "000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st148_fsm_147 : STD_LOGIC_VECTOR (149 downto 0) := "001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st149_fsm_148 : STD_LOGIC_VECTOR (149 downto 0) := "010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_ST_st150_fsm_149 : STD_LOGIC_VECTOR (149 downto 0) := "100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv32_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000010";
constant ap_const_lv32_A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001010";
constant ap_const_lv32_E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001110";
constant ap_const_lv32_58 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011000";
constant ap_const_lv32_81 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000001";
constant ap_const_lv32_17 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010111";
constant ap_const_lv32_61 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100001";
constant ap_const_lv32_11 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010001";
constant ap_const_lv32_5B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011011";
constant ap_const_lv32_16 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010110";
constant ap_const_lv32_60 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100000";
constant ap_const_lv32_1C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011100";
constant ap_const_lv32_66 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100110";
constant ap_const_lv32_1D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011101";
constant ap_const_lv32_67 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100111";
constant ap_const_lv32_2F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000101111";
constant ap_const_lv32_79 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111001";
constant ap_const_lv32_54 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010100";
constant ap_const_lv32_7A : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111010";
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_lv32_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000011";
constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100";
constant ap_const_lv32_9 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001001";
constant ap_const_lv32_B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001011";
constant ap_const_lv32_C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001100";
constant ap_const_lv32_D : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000001101";
constant ap_const_lv32_34 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000110100";
constant ap_const_lv32_53 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010011";
constant ap_const_lv32_56 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010110";
constant ap_const_lv32_57 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010111";
constant ap_const_lv32_7F : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111111";
constant ap_const_lv32_80 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010000000";
constant ap_const_lv32_91 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010001";
constant ap_const_lv32_93 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010011";
constant ap_const_lv31_1 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000001";
constant ap_const_lv32_55 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001010101";
constant ap_const_lv31_0 : STD_LOGIC_VECTOR (30 downto 0) := "0000000000000000000000000000000";
constant ap_const_lv32_92 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010010";
constant ap_const_lv32_94 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010100";
constant ap_const_lv32_BF800000 : STD_LOGIC_VECTOR (31 downto 0) := "10111111100000000000000000000000";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv32_7B : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001111011";
constant ap_const_lv32_12 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000010010";
constant ap_const_lv32_18 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011000";
constant ap_const_lv32_5C : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001011100";
constant ap_const_lv32_62 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000001100010";
constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000";
constant ap_const_lv32_1E : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000011110";
constant ap_const_lv32_FFFFFFFF : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111111";
constant ap_const_lv32_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000101";
constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110";
constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111";
constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000";
constant ap_const_lv3_0 : STD_LOGIC_VECTOR (2 downto 0) := "000";
constant ap_const_lv8_FF : STD_LOGIC_VECTOR (7 downto 0) := "11111111";
constant ap_const_lv23_0 : STD_LOGIC_VECTOR (22 downto 0) := "00000000000000000000000";
constant ap_const_lv31_7FFFFFFF : STD_LOGIC_VECTOR (30 downto 0) := "1111111111111111111111111111111";
constant ap_const_lv32_FFFFFFFE : STD_LOGIC_VECTOR (31 downto 0) := "11111111111111111111111111111110";
constant ap_const_lv32_80000000 : STD_LOGIC_VECTOR (31 downto 0) := "10000000000000000000000000000000";
constant ap_const_lv14_29 : STD_LOGIC_VECTOR (13 downto 0) := "00000000101001";
constant ap_const_lv5_2 : STD_LOGIC_VECTOR (4 downto 0) := "00010";
constant ap_const_lv32_95 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000010010101";
constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000";
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (149 downto 0) := "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_sig_cseq_ST_st1_fsm_0 : STD_LOGIC;
signal ap_sig_bdd_168 : BOOLEAN;
signal ap_ready : STD_LOGIC;
signal P_mode : STD_LOGIC_VECTOR (31 downto 0);
signal P_index1 : STD_LOGIC_VECTOR (31 downto 0);
signal P_index2 : STD_LOGIC_VECTOR (31 downto 0);
signal P_intIn_index3 : STD_LOGIC_VECTOR (31 downto 0);
signal P_floatIn : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_WandB_address0 : STD_LOGIC_VECTOR (12 downto 0);
signal ST_WandB_ce0 : STD_LOGIC;
signal ST_WandB_we0 : STD_LOGIC;
signal ST_WandB_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_WandB_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address0 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce0 : STD_LOGIC;
signal ST_uOut_we0 : STD_LOGIC;
signal ST_uOut_d0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q0 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_address1 : STD_LOGIC_VECTOR (7 downto 0);
signal ST_uOut_ce1 : STD_LOGIC;
signal ST_uOut_we1 : STD_LOGIC;
signal ST_uOut_d1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_q1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_layerSize_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ST_layerSize_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal ap_return : STD_LOGIC_VECTOR (31 downto 0);
signal ANN_AXILiteS_s_axi_U_ap_dummy_ce : STD_LOGIC;
signal reg_490 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st3_fsm_2 : STD_LOGIC;
signal ap_sig_bdd_253 : BOOLEAN;
signal ap_sig_cseq_ST_st11_fsm_10 : STD_LOGIC;
signal ap_sig_bdd_260 : BOOLEAN;
signal ap_sig_cseq_ST_st15_fsm_14 : STD_LOGIC;
signal ap_sig_bdd_268 : BOOLEAN;
signal ap_sig_cseq_ST_st89_fsm_88 : STD_LOGIC;
signal ap_sig_bdd_275 : BOOLEAN;
signal ap_sig_cseq_ST_st130_fsm_129 : STD_LOGIC;
signal ap_sig_bdd_283 : BOOLEAN;
signal reg_499 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st24_fsm_23 : STD_LOGIC;
signal ap_sig_bdd_292 : BOOLEAN;
signal ap_sig_cseq_ST_st98_fsm_97 : STD_LOGIC;
signal ap_sig_bdd_301 : BOOLEAN;
signal grp_fu_428_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_505 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st18_fsm_17 : STD_LOGIC;
signal ap_sig_bdd_311 : BOOLEAN;
signal ap_sig_cseq_ST_st92_fsm_91 : STD_LOGIC;
signal ap_sig_bdd_318 : BOOLEAN;
signal grp_fu_421_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st23_fsm_22 : STD_LOGIC;
signal ap_sig_bdd_328 : BOOLEAN;
signal ap_sig_cseq_ST_st97_fsm_96 : STD_LOGIC;
signal ap_sig_bdd_335 : BOOLEAN;
signal reg_516 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st29_fsm_28 : STD_LOGIC;
signal ap_sig_bdd_344 : BOOLEAN;
signal ap_sig_cseq_ST_st103_fsm_102 : STD_LOGIC;
signal ap_sig_bdd_351 : BOOLEAN;
signal grp_fu_447_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_521 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st30_fsm_29 : STD_LOGIC;
signal ap_sig_bdd_361 : BOOLEAN;
signal ap_sig_cseq_ST_st104_fsm_103 : STD_LOGIC;
signal ap_sig_bdd_368 : BOOLEAN;
signal grp_fu_464_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal reg_526 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st48_fsm_47 : STD_LOGIC;
signal ap_sig_bdd_378 : BOOLEAN;
signal ap_sig_cseq_ST_st122_fsm_121 : STD_LOGIC;
signal ap_sig_bdd_385 : BOOLEAN;
signal grp_fu_444_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal reg_532 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st85_fsm_84 : STD_LOGIC;
signal ap_sig_bdd_395 : BOOLEAN;
signal ap_sig_cseq_ST_st123_fsm_122 : STD_LOGIC;
signal ap_sig_bdd_402 : BOOLEAN;
signal P_floatIn_read_reg_1345 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_numLayer_load_reg_1353 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_76_fu_609_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_76_reg_1378 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_1_fu_538_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_2_fu_549_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_4_fu_555_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_8_fu_561_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_s_fu_567_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_10_fu_573_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_14_fu_579_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_31_fu_619_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_31_reg_1384 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_6_fu_683_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_6_reg_1394 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_fu_721_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_16_reg_1399 : STD_LOGIC_VECTOR (13 downto 0);
signal max_2_cast_fu_761_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal max_2_cast_reg_1407 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st2_fsm_1 : STD_LOGIC;
signal ap_sig_bdd_458 : BOOLEAN;
signal tmp_24_fu_765_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_4_fu_798_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_4_reg_1425 : STD_LOGIC_VECTOR (30 downto 0);
signal ST_uOut_load_2_reg_1430 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_63_fu_881_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_63_reg_1436 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st4_fsm_3 : STD_LOGIC;
signal ap_sig_bdd_478 : BOOLEAN;
signal max_1_fu_887_p3 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st5_fsm_4 : STD_LOGIC;
signal ap_sig_bdd_487 : BOOLEAN;
signal grp_fu_440_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st10_fsm_9 : STD_LOGIC;
signal ap_sig_bdd_496 : BOOLEAN;
signal tmp_28_fu_926_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_28_reg_1454 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st12_fsm_11 : STD_LOGIC;
signal ap_sig_bdd_505 : BOOLEAN;
signal tmp_3_fu_897_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_29_fu_932_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_29_reg_1459 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_38_fu_966_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_38_reg_1464 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_45_fu_972_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_45_reg_1469 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_56_fu_1000_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_56_reg_1474 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_58_fu_1006_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_58_reg_1479 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_64_fu_1010_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_64_reg_1484 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_69_fu_1043_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_69_reg_1489 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_70_fu_1049_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_70_reg_1494 : STD_LOGIC_VECTOR (1 downto 0);
signal j_2_fu_1072_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal j_2_reg_1502 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st13_fsm_12 : STD_LOGIC;
signal ap_sig_bdd_535 : BOOLEAN;
signal tmp_53_fu_1078_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_53_reg_1507 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_20_fu_1066_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_80_fu_1333_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_reg_1513 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_5_reg_1519 : STD_LOGIC_VECTOR (7 downto 0);
signal i_3_fu_1105_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_fu_1120_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal k_1_reg_1532 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st14_fsm_13 : STD_LOGIC;
signal ap_sig_bdd_557 : BOOLEAN;
signal tmp_33_fu_1115_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_454_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_42_reg_1552 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st53_fsm_52 : STD_LOGIC;
signal ap_sig_bdd_577 : BOOLEAN;
signal grp_fu_459_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_43_reg_1557 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_sig_cseq_ST_st84_fsm_83 : STD_LOGIC;
signal ap_sig_bdd_586 : BOOLEAN;
signal tmp_27_fu_1182_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_27_reg_1562 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st87_fsm_86 : STD_LOGIC;
signal ap_sig_bdd_595 : BOOLEAN;
signal i_5_fu_1201_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal i_5_reg_1570 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_fu_1207_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_54_reg_1575 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_22_fu_1195_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_83_fu_1339_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_83_reg_1581 : STD_LOGIC_VECTOR (13 downto 0);
signal ST_uOut_addr_7_reg_1587 : STD_LOGIC_VECTOR (7 downto 0);
signal j_3_fu_1243_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal j_3_reg_1595 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st88_fsm_87 : STD_LOGIC;
signal ap_sig_bdd_616 : BOOLEAN;
signal tmp_34_fu_1238_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_sig_cseq_ST_st128_fsm_127 : STD_LOGIC;
signal ap_sig_bdd_635 : BOOLEAN;
signal i_6_fu_1299_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal i_6_reg_1623 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st129_fsm_128 : STD_LOGIC;
signal ap_sig_bdd_644 : BOOLEAN;
signal ST_uOut_addr_8_reg_1628 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_35_fu_1294_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal grp_fu_435_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_52_reg_1634 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st146_fsm_145 : STD_LOGIC;
signal ap_sig_bdd_659 : BOOLEAN;
signal tmp_21_fu_1324_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_21_reg_1639 : STD_LOGIC_VECTOR (13 downto 0);
signal ap_sig_cseq_ST_st148_fsm_147 : STD_LOGIC;
signal ap_sig_bdd_668 : BOOLEAN;
signal max_2_reg_266 : STD_LOGIC_VECTOR (30 downto 0);
signal max_reg_277 : STD_LOGIC_VECTOR (31 downto 0);
signal i_reg_289 : STD_LOGIC_VECTOR (30 downto 0);
signal j_reg_301 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st86_fsm_85 : STD_LOGIC;
signal ap_sig_bdd_690 : BOOLEAN;
signal sum_reg_312 : STD_LOGIC_VECTOR (31 downto 0);
signal k_reg_324 : STD_LOGIC_VECTOR (30 downto 0);
signal sumsoft_reg_335 : STD_LOGIC_VECTOR (31 downto 0);
signal i_1_reg_347 : STD_LOGIC_VECTOR (31 downto 0);
signal sum_1_reg_358 : STD_LOGIC_VECTOR (31 downto 0);
signal j_1_reg_370 : STD_LOGIC_VECTOR (30 downto 0);
signal i_2_reg_381 : STD_LOGIC_VECTOR (30 downto 0);
signal ap_sig_cseq_ST_st147_fsm_146 : STD_LOGIC;
signal ap_sig_bdd_712 : BOOLEAN;
signal p_0_reg_392 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st149_fsm_148 : STD_LOGIC;
signal ap_sig_bdd_728 : BOOLEAN;
signal tmp_66_cast_fu_673_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_9_fu_678_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_86_cast_fu_779_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_87_cast_fu_793_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_82_cast_fu_1100_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_88_cast_fu_1139_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_89_cast_fu_1149_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_90_cast_fu_1162_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_84_cast_fu_1229_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_91_cast_fu_1262_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_92_cast_fu_1272_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_93_cast_fu_1285_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_94_cast_fu_1314_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_21_cast_fu_1329_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_5_fu_727_p1 : STD_LOGIC_VECTOR (1 downto 0);
signal ap_sig_cseq_ST_st124_fsm_123 : STD_LOGIC;
signal ap_sig_bdd_818 : BOOLEAN;
signal grp_fu_421_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_421_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_sig_cseq_ST_st19_fsm_18 : STD_LOGIC;
signal ap_sig_bdd_837 : BOOLEAN;
signal ap_sig_cseq_ST_st25_fsm_24 : STD_LOGIC;
signal ap_sig_bdd_844 : BOOLEAN;
signal ap_sig_cseq_ST_st93_fsm_92 : STD_LOGIC;
signal ap_sig_bdd_852 : BOOLEAN;
signal ap_sig_cseq_ST_st99_fsm_98 : STD_LOGIC;
signal ap_sig_bdd_859 : BOOLEAN;
signal grp_fu_428_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_444_p0 : STD_LOGIC_VECTOR (63 downto 0);
signal grp_fu_447_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_fu_1177_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal grp_fu_469_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_74_fu_585_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_75_fu_597_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl12_cast_fu_589_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl13_cast_fu_601_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_31_fu_619_p5 : STD_LOGIC_VECTOR (1 downto 0);
signal tmp_72_fu_637_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_73_fu_649_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl10_cast_fu_641_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl11_cast_fu_653_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_71_fu_633_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_65_fu_661_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_66_fu_667_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_11_fu_691_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_12_fu_703_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl_cast_fu_695_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl1_cast_fu_707_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_7_fu_687_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_13_fu_715_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_94_fu_770_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_86_fu_774_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_95_fu_784_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_87_fu_788_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal ST_uOut_load_1_to_int_fu_804_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal ST_uOut_load_2_to_int_fu_822_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_55_fu_808_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_96_fu_818_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs_fu_845_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs_fu_839_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_57_fu_825_p4 : STD_LOGIC_VECTOR (7 downto 0);
signal tmp_97_fu_835_p1 : STD_LOGIC_VECTOR (22 downto 0);
signal notrhs2_fu_863_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal notlhs1_fu_857_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_59_fu_851_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_60_fu_869_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_61_fu_875_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_62_fu_450_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal i_cast_fu_893_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_25_fu_902_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_26_fu_914_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl8_cast_fu_906_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl9_cast_fu_918_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_15_fu_936_p2 : STD_LOGIC_VECTOR (30 downto 0);
signal tmp_30_fu_942_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_36_fu_954_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl6_cast_fu_946_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl7_cast_fu_958_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_47_fu_976_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_51_fu_988_p1 : STD_LOGIC_VECTOR (10 downto 0);
signal p_shl4_cast_fu_980_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal p_shl5_cast_fu_992_p3 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_23_fu_1014_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_67_fu_1019_p1 : STD_LOGIC_VECTOR (3 downto 0);
signal tmp_68_fu_1031_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal p_shl2_cast_fu_1023_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal p_shl3_cast_fu_1035_p3 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_fu_1053_p6 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_78_fu_1091_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_79_fu_1095_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 : string;
attribute use_dsp48 of tmp_79_fu_1095_p2 : signal is "no";
signal k_cast_fu_1111_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_99_fu_1130_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_88_fu_1134_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_88_fu_1134_p2 : signal is "no";
signal tmp_98_fu_1126_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_89_fu_1144_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_100_fu_1154_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_90_fu_1157_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_90_fu_1157_p2 : signal is "no";
signal tmp_39_to_int_fu_1167_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_39_neg_fu_1171_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_81_fu_1220_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_82_fu_1224_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_82_fu_1224_p2 : signal is "no";
signal j_1_cast_fu_1234_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_102_fu_1253_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_91_fu_1257_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_91_fu_1257_p2 : signal is "no";
signal tmp_101_fu_1249_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_92_fu_1267_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_103_fu_1277_p1 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_93_fu_1280_p2 : STD_LOGIC_VECTOR (13 downto 0);
attribute use_dsp48 of tmp_93_fu_1280_p2 : signal is "no";
signal i_2_cast_fu_1290_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_84_fu_1305_p1 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_85_fu_1309_p2 : STD_LOGIC_VECTOR (8 downto 0);
signal tmp_19_fu_1319_p2 : STD_LOGIC_VECTOR (13 downto 0);
signal tmp_80_fu_1333_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal tmp_83_fu_1339_p0 : STD_LOGIC_VECTOR (6 downto 0);
signal grp_fu_421_ce : STD_LOGIC;
signal grp_fu_428_ce : STD_LOGIC;
signal grp_fu_435_ce : STD_LOGIC;
signal grp_fu_440_ce : STD_LOGIC;
signal tmp_62_fu_450_opcode : STD_LOGIC_VECTOR (4 downto 0);
signal grp_fu_454_ce : STD_LOGIC;
signal grp_fu_459_ce : STD_LOGIC;
signal grp_fu_464_ce : STD_LOGIC;
signal ap_sig_cseq_ST_st150_fsm_149 : STD_LOGIC;
signal ap_sig_bdd_1429 : BOOLEAN;
signal ap_NS_fsm : STD_LOGIC_VECTOR (149 downto 0);
component ANN_fadd_32ns_32ns_32_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fmul_32ns_32ns_32_4_max_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fdiv_32ns_32ns_32_16 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_sitofp_32ns_32_6 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fptrunc_64ns_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_fpext_32ns_64_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_fcmp_32ns_32ns_1_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
opcode : IN STD_LOGIC_VECTOR (4 downto 0);
dout : OUT STD_LOGIC_VECTOR (0 downto 0) );
end component;
component ANN_dadd_64ns_64ns_64_5_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_ddiv_64ns_64ns_64_31 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_dexp_64ns_64ns_64_18_full_dsp IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component ANN_mux_4to1_sel2_32_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din1_WIDTH : INTEGER;
din2_WIDTH : INTEGER;
din3_WIDTH : INTEGER;
din4_WIDTH : INTEGER;
din5_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din1 : IN STD_LOGIC_VECTOR (31 downto 0);
din2 : IN STD_LOGIC_VECTOR (31 downto 0);
din3 : IN STD_LOGIC_VECTOR (31 downto 0);
din4 : IN STD_LOGIC_VECTOR (31 downto 0);
din5 : IN STD_LOGIC_VECTOR (1 downto 0);
dout : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_mul_mul_7ns_14s_14_1 IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
din0 : IN STD_LOGIC_VECTOR (6 downto 0);
din1 : IN STD_LOGIC_VECTOR (13 downto 0);
dout : OUT STD_LOGIC_VECTOR (13 downto 0) );
end component;
component ANN_ST_WandB IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (12 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_ST_uOut IS
generic (
DataWidth : INTEGER;
AddressRange : INTEGER;
AddressWidth : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
address0 : IN STD_LOGIC_VECTOR (7 downto 0);
ce0 : IN STD_LOGIC;
we0 : IN STD_LOGIC;
d0 : IN STD_LOGIC_VECTOR (31 downto 0);
q0 : OUT STD_LOGIC_VECTOR (31 downto 0);
address1 : IN STD_LOGIC_VECTOR (7 downto 0);
ce1 : IN STD_LOGIC;
we1 : IN STD_LOGIC;
d1 : IN STD_LOGIC_VECTOR (31 downto 0);
q1 : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
component ANN_AXILiteS_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC;
ap_return : IN STD_LOGIC_VECTOR (31 downto 0);
P_mode : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index1 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_index2 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_intIn_index3 : OUT STD_LOGIC_VECTOR (31 downto 0);
P_floatIn : OUT STD_LOGIC_VECTOR (31 downto 0) );
end component;
begin
ST_WandB_U : component ANN_ST_WandB
generic map (
DataWidth => 32,
AddressRange => 6560,
AddressWidth => 13)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_WandB_address0,
ce0 => ST_WandB_ce0,
we0 => ST_WandB_we0,
d0 => ST_WandB_d0,
q0 => ST_WandB_q0);
ST_uOut_U : component ANN_ST_uOut
generic map (
DataWidth => 32,
AddressRange => 160,
AddressWidth => 8)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
address0 => ST_uOut_address0,
ce0 => ST_uOut_ce0,
we0 => ST_uOut_we0,
d0 => ST_uOut_d0,
q0 => ST_uOut_q0,
address1 => ST_uOut_address1,
ce1 => ST_uOut_ce1,
we1 => ST_uOut_we1,
d1 => ST_uOut_d1,
q1 => ST_uOut_q1);
ANN_AXILiteS_s_axi_U : component ANN_AXILiteS_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH)
port map (
AWVALID => s_axi_AXILiteS_AWVALID,
AWREADY => s_axi_AXILiteS_AWREADY,
AWADDR => s_axi_AXILiteS_AWADDR,
WVALID => s_axi_AXILiteS_WVALID,
WREADY => s_axi_AXILiteS_WREADY,
WDATA => s_axi_AXILiteS_WDATA,
WSTRB => s_axi_AXILiteS_WSTRB,
ARVALID => s_axi_AXILiteS_ARVALID,
ARREADY => s_axi_AXILiteS_ARREADY,
ARADDR => s_axi_AXILiteS_ARADDR,
RVALID => s_axi_AXILiteS_RVALID,
RREADY => s_axi_AXILiteS_RREADY,
RDATA => s_axi_AXILiteS_RDATA,
RRESP => s_axi_AXILiteS_RRESP,
BVALID => s_axi_AXILiteS_BVALID,
BREADY => s_axi_AXILiteS_BREADY,
BRESP => s_axi_AXILiteS_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ANN_AXILiteS_s_axi_U_ap_dummy_ce,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle,
ap_return => ap_return,
P_mode => P_mode,
P_index1 => P_index1,
P_index2 => P_index2,
P_intIn_index3 => P_intIn_index3,
P_floatIn => P_floatIn);
ANN_fadd_32ns_32ns_32_5_full_dsp_U0 : component ANN_fadd_32ns_32ns_32_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_421_p0,
din1 => grp_fu_421_p1,
ce => grp_fu_421_ce,
dout => grp_fu_421_p2);
ANN_fmul_32ns_32ns_32_4_max_dsp_U1 : component ANN_fmul_32ns_32ns_32_4_max_dsp
generic map (
ID => 1,
NUM_STAGE => 4,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => grp_fu_428_p0,
din1 => ST_WandB_q0,
ce => grp_fu_428_ce,
dout => grp_fu_428_p2);
ANN_fdiv_32ns_32ns_32_16_U2 : component ANN_fdiv_32ns_32ns_32_16
generic map (
ID => 1,
NUM_STAGE => 16,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_490,
din1 => sumsoft_reg_335,
ce => grp_fu_435_ce,
dout => grp_fu_435_p2);
ANN_sitofp_32ns_32_6_U3 : component ANN_sitofp_32ns_32_6
generic map (
ID => 1,
NUM_STAGE => 6,
din0_WIDTH => 32,
dout_WIDTH => 32)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => max_reg_277,
ce => grp_fu_440_ce,
dout => grp_fu_440_p1);
ANN_fptrunc_64ns_32_1_U4 : component ANN_fptrunc_64ns_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 64,
dout_WIDTH => 32)
port map (
din0 => grp_fu_444_p0,
dout => grp_fu_444_p1);
ANN_fpext_32ns_64_1_U5 : component ANN_fpext_32ns_64_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
dout_WIDTH => 64)
port map (
din0 => grp_fu_447_p0,
dout => grp_fu_447_p1);
ANN_fcmp_32ns_32ns_1_1_U6 : component ANN_fcmp_32ns_32ns_1_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 32,
din1_WIDTH => 32,
dout_WIDTH => 1)
port map (
din0 => reg_490,
din1 => ST_uOut_load_2_reg_1430,
opcode => tmp_62_fu_450_opcode,
dout => tmp_62_fu_450_p2);
ANN_dadd_64ns_64ns_64_5_full_dsp_U7 : component ANN_dadd_64ns_64ns_64_5_full_dsp
generic map (
ID => 1,
NUM_STAGE => 5,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => reg_526,
din1 => ap_const_lv64_3FF0000000000000,
ce => grp_fu_454_ce,
dout => grp_fu_454_p2);
ANN_ddiv_64ns_64ns_64_31_U8 : component ANN_ddiv_64ns_64ns_64_31
generic map (
ID => 1,
NUM_STAGE => 31,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_3FF0000000000000,
din1 => tmp_42_reg_1552,
ce => grp_fu_459_ce,
dout => grp_fu_459_p2);
ANN_dexp_64ns_64ns_64_18_full_dsp_U9 : component ANN_dexp_64ns_64ns_64_18_full_dsp
generic map (
ID => 1,
NUM_STAGE => 18,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst_n_inv,
din0 => ap_const_lv64_0,
din1 => reg_521,
ce => grp_fu_464_ce,
dout => grp_fu_464_p2);
ANN_mux_4to1_sel2_32_1_U10 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_31_fu_619_p5,
dout => tmp_31_fu_619_p6);
ANN_mux_4to1_sel2_32_1_U11 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_29_reg_1459,
dout => tmp_fu_1053_p6);
ANN_mux_4to1_sel2_32_1_U12 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_45_reg_1469,
dout => tmp_53_fu_1078_p6);
ANN_mux_4to1_sel2_32_1_U13 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_64_reg_1484,
dout => tmp_27_fu_1182_p6);
ANN_mux_4to1_sel2_32_1_U14 : component ANN_mux_4to1_sel2_32_1
generic map (
ID => 1,
NUM_STAGE => 1,
din1_WIDTH => 32,
din2_WIDTH => 32,
din3_WIDTH => 32,
din4_WIDTH => 32,
din5_WIDTH => 2,
dout_WIDTH => 32)
port map (
din1 => ST_layerSize_0,
din2 => ST_layerSize_1,
din3 => ST_layerSize_2,
din4 => ST_layerSize_3,
din5 => tmp_70_reg_1494,
dout => tmp_54_fu_1207_p6);
ANN_mul_mul_7ns_14s_14_1_U15 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_80_fu_1333_p0,
din1 => tmp_79_fu_1095_p2,
dout => tmp_80_fu_1333_p2);
ANN_mul_mul_7ns_14s_14_1_U16 : component ANN_mul_mul_7ns_14s_14_1
generic map (
ID => 1,
NUM_STAGE => 1,
din0_WIDTH => 7,
din1_WIDTH => 14,
dout_WIDTH => 14)
port map (
din0 => tmp_83_fu_1339_p0,
din1 => tmp_82_fu_1224_p2,
dout => tmp_83_fu_1339_p2);
-- the current state (ap_CS_fsm) of the state machine. --
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_st1_fsm_0;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
-- i_1_reg_347 assign process. --
i_1_reg_347_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
i_1_reg_347 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
i_1_reg_347 <= i_5_reg_1570;
end if;
end if;
end process;
-- i_2_reg_381 assign process. --
i_2_reg_381_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and (ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
i_2_reg_381 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
i_2_reg_381 <= i_6_reg_1623;
end if;
end if;
end process;
-- i_reg_289 assign process. --
i_reg_289_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
i_reg_289 <= ap_const_lv31_1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and (ap_const_lv1_0 = tmp_20_fu_1066_p2))) then
i_reg_289 <= i_3_fu_1105_p2;
end if;
end if;
end process;
-- j_1_reg_370 assign process. --
j_1_reg_370_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
j_1_reg_370 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
j_1_reg_370 <= j_3_reg_1595;
end if;
end if;
end process;
-- j_reg_301 assign process. --
j_reg_301_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
j_reg_301 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
j_reg_301 <= j_2_reg_1502;
end if;
end if;
end process;
-- k_reg_324 assign process. --
k_reg_324_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
k_reg_324 <= ap_const_lv31_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
k_reg_324 <= k_1_reg_1532;
end if;
end if;
end process;
-- max_2_reg_266 assign process. --
max_2_reg_266_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_2_reg_266 <= ap_const_lv31_1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_2_reg_266 <= i_4_reg_1425;
end if;
end if;
end process;
-- max_reg_277 assign process. --
max_reg_277_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
max_reg_277 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st5_fsm_4)) then
max_reg_277 <= max_1_fu_887_p3;
end if;
end if;
end process;
-- p_0_reg_392 assign process. --
p_0_reg_392_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))) then
p_0_reg_392 <= ap_const_lv32_BF800000;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st10_fsm_9)) then
p_0_reg_392 <= grp_fu_440_p1;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10)) then
p_0_reg_392 <= ST_uOut_q0;
elsif ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and (ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
p_0_reg_392 <= ap_const_lv32_0;
end if;
end if;
end process;
-- reg_490 assign process. --
reg_490_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
reg_490 <= ST_uOut_q1;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2) or (ap_const_logic_1 = ap_sig_cseq_ST_st11_fsm_10) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st130_fsm_129))) then
reg_490 <= ST_uOut_q0;
end if;
end if;
end process;
-- sum_1_reg_358 assign process. --
sum_1_reg_358_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
sum_1_reg_358 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st97_fsm_96)) then
sum_1_reg_358 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sum_reg_312 assign process. --
sum_reg_312_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
sum_reg_312 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st23_fsm_22)) then
sum_reg_312 <= grp_fu_421_p2;
end if;
end if;
end process;
-- sumsoft_reg_335 assign process. --
sumsoft_reg_335_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
sumsoft_reg_335 <= ap_const_lv32_0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st128_fsm_127)) then
sumsoft_reg_335 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)))) then
P_floatIn_read_reg_1345 <= P_floatIn;
ST_numLayer_load_reg_1353 <= ST_numLayer;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_0))) then
ST_layerSize_0 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_1))) then
ST_layerSize_1 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and (tmp_5_fu_727_p1 = ap_const_lv2_2))) then
ST_layerSize_2 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and not((ap_const_lv1_0 = tmp_2_fu_549_p2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_2)) and not((tmp_5_fu_727_p1 = ap_const_lv2_1)) and not((tmp_5_fu_727_p1 = ap_const_lv2_0)))) then
ST_layerSize_3 <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and not((tmp_1_fu_538_p2 = ap_const_lv1_0)))) then
ST_numLayer <= P_intIn_index3;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12) and not((ap_const_lv1_0 = tmp_20_fu_1066_p2)))) then
ST_uOut_addr_5_reg_1519 <= tmp_82_cast_fu_1100_p1(8 - 1 downto 0);
tmp_53_reg_1507 <= tmp_53_fu_1078_p6;
tmp_80_reg_1513 <= tmp_80_fu_1333_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86) and not((ap_const_lv1_0 = tmp_22_fu_1195_p2)))) then
ST_uOut_addr_7_reg_1587 <= tmp_84_cast_fu_1229_p1(8 - 1 downto 0);
tmp_54_reg_1575 <= tmp_54_fu_1207_p6;
tmp_83_reg_1581 <= tmp_83_fu_1339_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) and not((ap_const_lv1_0 = tmp_35_fu_1294_p2)))) then
ST_uOut_addr_8_reg_1628 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st3_fsm_2)) then
ST_uOut_load_2_reg_1430 <= ST_uOut_q1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) and not((ap_const_lv1_0 = tmp_24_fu_765_p2)))) then
i_4_reg_1425 <= i_4_fu_798_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st87_fsm_86)) then
i_5_reg_1570 <= i_5_fu_1201_p2;
tmp_27_reg_1562 <= tmp_27_fu_1182_p6;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
i_6_reg_1623 <= i_6_fu_1299_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st13_fsm_12)) then
j_2_reg_1502 <= j_2_fu_1072_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
j_3_reg_1595 <= j_3_fu_1243_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
k_1_reg_1532 <= k_1_fu_1120_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
max_2_cast_reg_1407(30 downto 0) <= max_2_cast_fu_761_p1(30 downto 0);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14) or (ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88) or (ap_const_logic_1 = ap_sig_cseq_ST_st24_fsm_23) or (ap_const_logic_1 = ap_sig_cseq_ST_st98_fsm_97))) then
reg_499 <= ST_WandB_q0;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st18_fsm_17) or (ap_const_logic_1 = ap_sig_cseq_ST_st92_fsm_91))) then
reg_505 <= grp_fu_428_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st29_fsm_28) or (ap_const_logic_1 = ap_sig_cseq_ST_st103_fsm_102))) then
reg_516 <= grp_fu_421_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29) or (ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103))) then
reg_521 <= grp_fu_447_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st48_fsm_47) or (ap_const_logic_1 = ap_sig_cseq_ST_st122_fsm_121))) then
reg_526 <= grp_fu_464_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84) or (ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122))) then
reg_532 <= grp_fu_444_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
tmp_16_reg_1399 <= tmp_16_fu_721_p2;
tmp_6_reg_1394 <= tmp_6_fu_683_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st148_fsm_147)) then
tmp_21_reg_1639 <= tmp_21_fu_1324_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and not((ap_const_lv1_0 = tmp_3_fu_897_p2)))) then
tmp_28_reg_1454(13 downto 3) <= tmp_28_fu_926_p2(13 downto 3);
tmp_29_reg_1459 <= tmp_29_fu_932_p1;
tmp_38_reg_1464(8 downto 3) <= tmp_38_fu_966_p2(8 downto 3);
tmp_45_reg_1469 <= tmp_45_fu_972_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
tmp_31_reg_1384 <= tmp_31_fu_619_p6;
tmp_76_reg_1378(8 downto 3) <= tmp_76_fu_609_p2(8 downto 3);
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st53_fsm_52)) then
tmp_42_reg_1552 <= grp_fu_454_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st84_fsm_83)) then
tmp_43_reg_1557 <= grp_fu_459_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st146_fsm_145)) then
tmp_52_reg_1634 <= grp_fu_435_p2;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11) and (ap_const_lv1_0 = tmp_3_fu_897_p2))) then
tmp_56_reg_1474(13 downto 3) <= tmp_56_fu_1000_p2(13 downto 3);
tmp_58_reg_1479(8 downto 3) <= tmp_58_fu_1006_p1(8 downto 3);
tmp_64_reg_1484 <= tmp_64_fu_1010_p1;
tmp_69_reg_1489(8 downto 3) <= tmp_69_fu_1043_p2(8 downto 3);
tmp_70_reg_1494 <= tmp_70_fu_1049_p1;
end if;
end if;
end process;
-- assign process. --
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = ap_sig_cseq_ST_st4_fsm_3)) then
tmp_63_reg_1436 <= tmp_63_fu_881_p2;
end if;
end if;
end process;
tmp_76_reg_1378(2 downto 0) <= "000";
max_2_cast_reg_1407(31) <= '0';
tmp_28_reg_1454(2 downto 0) <= "000";
tmp_38_reg_1464(2 downto 0) <= "000";
tmp_56_reg_1474(2 downto 0) <= "000";
tmp_58_reg_1479(2 downto 0) <= "000";
tmp_69_reg_1489(2 downto 0) <= "000";
-- the next state (ap_NS_fsm) of the state machine. --
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, tmp_14_fu_579_p2, tmp_24_fu_765_p2, tmp_3_fu_897_p2, tmp_20_fu_1066_p2, tmp_33_fu_1115_p2, tmp_22_fu_1195_p2, tmp_34_fu_1238_p2, tmp_35_fu_1294_p2)
begin
case ap_CS_fsm is
when ap_ST_st1_fsm_0 =>
if ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and not((ap_const_lv1_0 = tmp_14_fu_579_p2)))) then
ap_NS_fsm <= ap_ST_st2_fsm_1;
elsif ((not((ap_start = ap_const_logic_0)) and (not((tmp_1_fu_538_p2 = ap_const_lv1_0)) or not((ap_const_lv1_0 = tmp_2_fu_549_p2)) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))) or ((ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and (ap_const_lv1_0 = tmp_10_fu_573_p2) and (ap_const_lv1_0 = tmp_14_fu_579_p2))))) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ap_NS_fsm <= ap_ST_st11_fsm_10;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and not((ap_const_lv1_0 = tmp_s_fu_567_p2)))) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
elsif ((not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and not((ap_const_lv1_0 = tmp_4_fu_555_p2)))) then
ap_NS_fsm <= ap_ST_st148_fsm_147;
else
ap_NS_fsm <= ap_ST_st1_fsm_0;
end if;
when ap_ST_st2_fsm_1 =>
if ((ap_const_lv1_0 = tmp_24_fu_765_p2)) then
ap_NS_fsm <= ap_ST_st6_fsm_5;
else
ap_NS_fsm <= ap_ST_st3_fsm_2;
end if;
when ap_ST_st3_fsm_2 =>
ap_NS_fsm <= ap_ST_st4_fsm_3;
when ap_ST_st4_fsm_3 =>
ap_NS_fsm <= ap_ST_st5_fsm_4;
when ap_ST_st5_fsm_4 =>
ap_NS_fsm <= ap_ST_st2_fsm_1;
when ap_ST_st6_fsm_5 =>
ap_NS_fsm <= ap_ST_st7_fsm_6;
when ap_ST_st7_fsm_6 =>
ap_NS_fsm <= ap_ST_st8_fsm_7;
when ap_ST_st8_fsm_7 =>
ap_NS_fsm <= ap_ST_st9_fsm_8;
when ap_ST_st9_fsm_8 =>
ap_NS_fsm <= ap_ST_st10_fsm_9;
when ap_ST_st10_fsm_9 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st11_fsm_10 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st12_fsm_11 =>
if ((ap_const_lv1_0 = tmp_3_fu_897_p2)) then
ap_NS_fsm <= ap_ST_st87_fsm_86;
else
ap_NS_fsm <= ap_ST_st13_fsm_12;
end if;
when ap_ST_st13_fsm_12 =>
if ((ap_const_lv1_0 = tmp_20_fu_1066_p2)) then
ap_NS_fsm <= ap_ST_st12_fsm_11;
else
ap_NS_fsm <= ap_ST_st14_fsm_13;
end if;
when ap_ST_st14_fsm_13 =>
if ((ap_const_lv1_0 = tmp_33_fu_1115_p2)) then
ap_NS_fsm <= ap_ST_st24_fsm_23;
else
ap_NS_fsm <= ap_ST_st15_fsm_14;
end if;
when ap_ST_st15_fsm_14 =>
ap_NS_fsm <= ap_ST_st16_fsm_15;
when ap_ST_st16_fsm_15 =>
ap_NS_fsm <= ap_ST_st17_fsm_16;
when ap_ST_st17_fsm_16 =>
ap_NS_fsm <= ap_ST_st18_fsm_17;
when ap_ST_st18_fsm_17 =>
ap_NS_fsm <= ap_ST_st19_fsm_18;
when ap_ST_st19_fsm_18 =>
ap_NS_fsm <= ap_ST_st20_fsm_19;
when ap_ST_st20_fsm_19 =>
ap_NS_fsm <= ap_ST_st21_fsm_20;
when ap_ST_st21_fsm_20 =>
ap_NS_fsm <= ap_ST_st22_fsm_21;
when ap_ST_st22_fsm_21 =>
ap_NS_fsm <= ap_ST_st23_fsm_22;
when ap_ST_st23_fsm_22 =>
ap_NS_fsm <= ap_ST_st14_fsm_13;
when ap_ST_st24_fsm_23 =>
ap_NS_fsm <= ap_ST_st25_fsm_24;
when ap_ST_st25_fsm_24 =>
ap_NS_fsm <= ap_ST_st26_fsm_25;
when ap_ST_st26_fsm_25 =>
ap_NS_fsm <= ap_ST_st27_fsm_26;
when ap_ST_st27_fsm_26 =>
ap_NS_fsm <= ap_ST_st28_fsm_27;
when ap_ST_st28_fsm_27 =>
ap_NS_fsm <= ap_ST_st29_fsm_28;
when ap_ST_st29_fsm_28 =>
ap_NS_fsm <= ap_ST_st30_fsm_29;
when ap_ST_st30_fsm_29 =>
ap_NS_fsm <= ap_ST_st31_fsm_30;
when ap_ST_st31_fsm_30 =>
ap_NS_fsm <= ap_ST_st32_fsm_31;
when ap_ST_st32_fsm_31 =>
ap_NS_fsm <= ap_ST_st33_fsm_32;
when ap_ST_st33_fsm_32 =>
ap_NS_fsm <= ap_ST_st34_fsm_33;
when ap_ST_st34_fsm_33 =>
ap_NS_fsm <= ap_ST_st35_fsm_34;
when ap_ST_st35_fsm_34 =>
ap_NS_fsm <= ap_ST_st36_fsm_35;
when ap_ST_st36_fsm_35 =>
ap_NS_fsm <= ap_ST_st37_fsm_36;
when ap_ST_st37_fsm_36 =>
ap_NS_fsm <= ap_ST_st38_fsm_37;
when ap_ST_st38_fsm_37 =>
ap_NS_fsm <= ap_ST_st39_fsm_38;
when ap_ST_st39_fsm_38 =>
ap_NS_fsm <= ap_ST_st40_fsm_39;
when ap_ST_st40_fsm_39 =>
ap_NS_fsm <= ap_ST_st41_fsm_40;
when ap_ST_st41_fsm_40 =>
ap_NS_fsm <= ap_ST_st42_fsm_41;
when ap_ST_st42_fsm_41 =>
ap_NS_fsm <= ap_ST_st43_fsm_42;
when ap_ST_st43_fsm_42 =>
ap_NS_fsm <= ap_ST_st44_fsm_43;
when ap_ST_st44_fsm_43 =>
ap_NS_fsm <= ap_ST_st45_fsm_44;
when ap_ST_st45_fsm_44 =>
ap_NS_fsm <= ap_ST_st46_fsm_45;
when ap_ST_st46_fsm_45 =>
ap_NS_fsm <= ap_ST_st47_fsm_46;
when ap_ST_st47_fsm_46 =>
ap_NS_fsm <= ap_ST_st48_fsm_47;
when ap_ST_st48_fsm_47 =>
ap_NS_fsm <= ap_ST_st49_fsm_48;
when ap_ST_st49_fsm_48 =>
ap_NS_fsm <= ap_ST_st50_fsm_49;
when ap_ST_st50_fsm_49 =>
ap_NS_fsm <= ap_ST_st51_fsm_50;
when ap_ST_st51_fsm_50 =>
ap_NS_fsm <= ap_ST_st52_fsm_51;
when ap_ST_st52_fsm_51 =>
ap_NS_fsm <= ap_ST_st53_fsm_52;
when ap_ST_st53_fsm_52 =>
ap_NS_fsm <= ap_ST_st54_fsm_53;
when ap_ST_st54_fsm_53 =>
ap_NS_fsm <= ap_ST_st55_fsm_54;
when ap_ST_st55_fsm_54 =>
ap_NS_fsm <= ap_ST_st56_fsm_55;
when ap_ST_st56_fsm_55 =>
ap_NS_fsm <= ap_ST_st57_fsm_56;
when ap_ST_st57_fsm_56 =>
ap_NS_fsm <= ap_ST_st58_fsm_57;
when ap_ST_st58_fsm_57 =>
ap_NS_fsm <= ap_ST_st59_fsm_58;
when ap_ST_st59_fsm_58 =>
ap_NS_fsm <= ap_ST_st60_fsm_59;
when ap_ST_st60_fsm_59 =>
ap_NS_fsm <= ap_ST_st61_fsm_60;
when ap_ST_st61_fsm_60 =>
ap_NS_fsm <= ap_ST_st62_fsm_61;
when ap_ST_st62_fsm_61 =>
ap_NS_fsm <= ap_ST_st63_fsm_62;
when ap_ST_st63_fsm_62 =>
ap_NS_fsm <= ap_ST_st64_fsm_63;
when ap_ST_st64_fsm_63 =>
ap_NS_fsm <= ap_ST_st65_fsm_64;
when ap_ST_st65_fsm_64 =>
ap_NS_fsm <= ap_ST_st66_fsm_65;
when ap_ST_st66_fsm_65 =>
ap_NS_fsm <= ap_ST_st67_fsm_66;
when ap_ST_st67_fsm_66 =>
ap_NS_fsm <= ap_ST_st68_fsm_67;
when ap_ST_st68_fsm_67 =>
ap_NS_fsm <= ap_ST_st69_fsm_68;
when ap_ST_st69_fsm_68 =>
ap_NS_fsm <= ap_ST_st70_fsm_69;
when ap_ST_st70_fsm_69 =>
ap_NS_fsm <= ap_ST_st71_fsm_70;
when ap_ST_st71_fsm_70 =>
ap_NS_fsm <= ap_ST_st72_fsm_71;
when ap_ST_st72_fsm_71 =>
ap_NS_fsm <= ap_ST_st73_fsm_72;
when ap_ST_st73_fsm_72 =>
ap_NS_fsm <= ap_ST_st74_fsm_73;
when ap_ST_st74_fsm_73 =>
ap_NS_fsm <= ap_ST_st75_fsm_74;
when ap_ST_st75_fsm_74 =>
ap_NS_fsm <= ap_ST_st76_fsm_75;
when ap_ST_st76_fsm_75 =>
ap_NS_fsm <= ap_ST_st77_fsm_76;
when ap_ST_st77_fsm_76 =>
ap_NS_fsm <= ap_ST_st78_fsm_77;
when ap_ST_st78_fsm_77 =>
ap_NS_fsm <= ap_ST_st79_fsm_78;
when ap_ST_st79_fsm_78 =>
ap_NS_fsm <= ap_ST_st80_fsm_79;
when ap_ST_st80_fsm_79 =>
ap_NS_fsm <= ap_ST_st81_fsm_80;
when ap_ST_st81_fsm_80 =>
ap_NS_fsm <= ap_ST_st82_fsm_81;
when ap_ST_st82_fsm_81 =>
ap_NS_fsm <= ap_ST_st83_fsm_82;
when ap_ST_st83_fsm_82 =>
ap_NS_fsm <= ap_ST_st84_fsm_83;
when ap_ST_st84_fsm_83 =>
ap_NS_fsm <= ap_ST_st85_fsm_84;
when ap_ST_st85_fsm_84 =>
ap_NS_fsm <= ap_ST_st86_fsm_85;
when ap_ST_st86_fsm_85 =>
ap_NS_fsm <= ap_ST_st13_fsm_12;
when ap_ST_st87_fsm_86 =>
if (not((ap_const_lv1_0 = tmp_22_fu_1195_p2))) then
ap_NS_fsm <= ap_ST_st88_fsm_87;
else
ap_NS_fsm <= ap_ST_st129_fsm_128;
end if;
when ap_ST_st88_fsm_87 =>
if ((ap_const_lv1_0 = tmp_34_fu_1238_p2)) then
ap_NS_fsm <= ap_ST_st98_fsm_97;
else
ap_NS_fsm <= ap_ST_st89_fsm_88;
end if;
when ap_ST_st89_fsm_88 =>
ap_NS_fsm <= ap_ST_st90_fsm_89;
when ap_ST_st90_fsm_89 =>
ap_NS_fsm <= ap_ST_st91_fsm_90;
when ap_ST_st91_fsm_90 =>
ap_NS_fsm <= ap_ST_st92_fsm_91;
when ap_ST_st92_fsm_91 =>
ap_NS_fsm <= ap_ST_st93_fsm_92;
when ap_ST_st93_fsm_92 =>
ap_NS_fsm <= ap_ST_st94_fsm_93;
when ap_ST_st94_fsm_93 =>
ap_NS_fsm <= ap_ST_st95_fsm_94;
when ap_ST_st95_fsm_94 =>
ap_NS_fsm <= ap_ST_st96_fsm_95;
when ap_ST_st96_fsm_95 =>
ap_NS_fsm <= ap_ST_st97_fsm_96;
when ap_ST_st97_fsm_96 =>
ap_NS_fsm <= ap_ST_st88_fsm_87;
when ap_ST_st98_fsm_97 =>
ap_NS_fsm <= ap_ST_st99_fsm_98;
when ap_ST_st99_fsm_98 =>
ap_NS_fsm <= ap_ST_st100_fsm_99;
when ap_ST_st100_fsm_99 =>
ap_NS_fsm <= ap_ST_st101_fsm_100;
when ap_ST_st101_fsm_100 =>
ap_NS_fsm <= ap_ST_st102_fsm_101;
when ap_ST_st102_fsm_101 =>
ap_NS_fsm <= ap_ST_st103_fsm_102;
when ap_ST_st103_fsm_102 =>
ap_NS_fsm <= ap_ST_st104_fsm_103;
when ap_ST_st104_fsm_103 =>
ap_NS_fsm <= ap_ST_st105_fsm_104;
when ap_ST_st105_fsm_104 =>
ap_NS_fsm <= ap_ST_st106_fsm_105;
when ap_ST_st106_fsm_105 =>
ap_NS_fsm <= ap_ST_st107_fsm_106;
when ap_ST_st107_fsm_106 =>
ap_NS_fsm <= ap_ST_st108_fsm_107;
when ap_ST_st108_fsm_107 =>
ap_NS_fsm <= ap_ST_st109_fsm_108;
when ap_ST_st109_fsm_108 =>
ap_NS_fsm <= ap_ST_st110_fsm_109;
when ap_ST_st110_fsm_109 =>
ap_NS_fsm <= ap_ST_st111_fsm_110;
when ap_ST_st111_fsm_110 =>
ap_NS_fsm <= ap_ST_st112_fsm_111;
when ap_ST_st112_fsm_111 =>
ap_NS_fsm <= ap_ST_st113_fsm_112;
when ap_ST_st113_fsm_112 =>
ap_NS_fsm <= ap_ST_st114_fsm_113;
when ap_ST_st114_fsm_113 =>
ap_NS_fsm <= ap_ST_st115_fsm_114;
when ap_ST_st115_fsm_114 =>
ap_NS_fsm <= ap_ST_st116_fsm_115;
when ap_ST_st116_fsm_115 =>
ap_NS_fsm <= ap_ST_st117_fsm_116;
when ap_ST_st117_fsm_116 =>
ap_NS_fsm <= ap_ST_st118_fsm_117;
when ap_ST_st118_fsm_117 =>
ap_NS_fsm <= ap_ST_st119_fsm_118;
when ap_ST_st119_fsm_118 =>
ap_NS_fsm <= ap_ST_st120_fsm_119;
when ap_ST_st120_fsm_119 =>
ap_NS_fsm <= ap_ST_st121_fsm_120;
when ap_ST_st121_fsm_120 =>
ap_NS_fsm <= ap_ST_st122_fsm_121;
when ap_ST_st122_fsm_121 =>
ap_NS_fsm <= ap_ST_st123_fsm_122;
when ap_ST_st123_fsm_122 =>
ap_NS_fsm <= ap_ST_st124_fsm_123;
when ap_ST_st124_fsm_123 =>
ap_NS_fsm <= ap_ST_st125_fsm_124;
when ap_ST_st125_fsm_124 =>
ap_NS_fsm <= ap_ST_st126_fsm_125;
when ap_ST_st126_fsm_125 =>
ap_NS_fsm <= ap_ST_st127_fsm_126;
when ap_ST_st127_fsm_126 =>
ap_NS_fsm <= ap_ST_st128_fsm_127;
when ap_ST_st128_fsm_127 =>
ap_NS_fsm <= ap_ST_st87_fsm_86;
when ap_ST_st129_fsm_128 =>
if ((ap_const_lv1_0 = tmp_35_fu_1294_p2)) then
ap_NS_fsm <= ap_ST_st150_fsm_149;
else
ap_NS_fsm <= ap_ST_st130_fsm_129;
end if;
when ap_ST_st130_fsm_129 =>
ap_NS_fsm <= ap_ST_st131_fsm_130;
when ap_ST_st131_fsm_130 =>
ap_NS_fsm <= ap_ST_st132_fsm_131;
when ap_ST_st132_fsm_131 =>
ap_NS_fsm <= ap_ST_st133_fsm_132;
when ap_ST_st133_fsm_132 =>
ap_NS_fsm <= ap_ST_st134_fsm_133;
when ap_ST_st134_fsm_133 =>
ap_NS_fsm <= ap_ST_st135_fsm_134;
when ap_ST_st135_fsm_134 =>
ap_NS_fsm <= ap_ST_st136_fsm_135;
when ap_ST_st136_fsm_135 =>
ap_NS_fsm <= ap_ST_st137_fsm_136;
when ap_ST_st137_fsm_136 =>
ap_NS_fsm <= ap_ST_st138_fsm_137;
when ap_ST_st138_fsm_137 =>
ap_NS_fsm <= ap_ST_st139_fsm_138;
when ap_ST_st139_fsm_138 =>
ap_NS_fsm <= ap_ST_st140_fsm_139;
when ap_ST_st140_fsm_139 =>
ap_NS_fsm <= ap_ST_st141_fsm_140;
when ap_ST_st141_fsm_140 =>
ap_NS_fsm <= ap_ST_st142_fsm_141;
when ap_ST_st142_fsm_141 =>
ap_NS_fsm <= ap_ST_st143_fsm_142;
when ap_ST_st143_fsm_142 =>
ap_NS_fsm <= ap_ST_st144_fsm_143;
when ap_ST_st144_fsm_143 =>
ap_NS_fsm <= ap_ST_st145_fsm_144;
when ap_ST_st145_fsm_144 =>
ap_NS_fsm <= ap_ST_st146_fsm_145;
when ap_ST_st146_fsm_145 =>
ap_NS_fsm <= ap_ST_st147_fsm_146;
when ap_ST_st147_fsm_146 =>
ap_NS_fsm <= ap_ST_st129_fsm_128;
when ap_ST_st148_fsm_147 =>
ap_NS_fsm <= ap_ST_st149_fsm_148;
when ap_ST_st149_fsm_148 =>
ap_NS_fsm <= ap_ST_st150_fsm_149;
when ap_ST_st150_fsm_149 =>
ap_NS_fsm <= ap_ST_st1_fsm_0;
when others =>
ap_NS_fsm <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end case;
end process;
ANN_AXILiteS_s_axi_U_ap_dummy_ce <= ap_const_logic_1;
-- ST_WandB_address0 assign process. --
ST_WandB_address0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148, tmp_88_cast_fu_1139_p1, tmp_90_cast_fu_1162_p1, tmp_91_cast_fu_1262_p1, tmp_93_cast_fu_1285_p1, tmp_21_cast_fu_1329_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148)) then
ST_WandB_address0 <= tmp_21_cast_fu_1329_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2))) then
ST_WandB_address0 <= tmp_93_cast_fu_1285_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2)))) then
ST_WandB_address0 <= tmp_91_cast_fu_1262_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2))) then
ST_WandB_address0 <= tmp_90_cast_fu_1162_p1(13 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2)))) then
ST_WandB_address0 <= tmp_88_cast_fu_1139_p1(13 - 1 downto 0);
else
ST_WandB_address0 <= "XXXXXXXXXXXXX";
end if;
end process;
-- ST_WandB_ce0 assign process. --
ST_WandB_ce0_assign_proc : process(ap_sig_cseq_ST_st14_fsm_13, tmp_33_fu_1115_p2, ap_sig_cseq_ST_st88_fsm_87, tmp_34_fu_1238_p2, ap_sig_cseq_ST_st149_fsm_148)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and not((ap_const_lv1_0 = tmp_33_fu_1115_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) and (ap_const_lv1_0 = tmp_33_fu_1115_p2)) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and not((ap_const_lv1_0 = tmp_34_fu_1238_p2))) or ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) and (ap_const_lv1_0 = tmp_34_fu_1238_p2)) or (ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_ce0 <= ap_const_logic_1;
else
ST_WandB_ce0 <= ap_const_logic_0;
end if;
end process;
ST_WandB_d0 <= P_floatIn_read_reg_1345;
-- ST_WandB_we0 assign process. --
ST_WandB_we0_assign_proc : process(ap_sig_cseq_ST_st149_fsm_148)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st149_fsm_148))) then
ST_WandB_we0 <= ap_const_logic_1;
else
ST_WandB_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_address0 assign process. --
ST_uOut_address0_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128, tmp_66_cast_fu_673_p1, tmp_9_fu_678_p1, tmp_86_cast_fu_779_p1, tmp_92_cast_fu_1272_p1, tmp_94_cast_fu_1314_p1)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2)))) then
ST_uOut_address0 <= tmp_9_fu_678_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128)) then
ST_uOut_address0 <= tmp_94_cast_fu_1314_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87)) then
ST_uOut_address0 <= tmp_92_cast_fu_1272_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address0 <= tmp_86_cast_fu_779_p1(8 - 1 downto 0);
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2)))) then
ST_uOut_address0 <= tmp_66_cast_fu_673_p1(8 - 1 downto 0);
else
ST_uOut_address0 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_address1 assign process. --
ST_uOut_address1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ST_uOut_addr_5_reg_1519, ap_sig_cseq_ST_st14_fsm_13, ST_uOut_addr_7_reg_1587, ST_uOut_addr_8_reg_1628, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, tmp_87_cast_fu_793_p1, tmp_89_cast_fu_1149_p1, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_address1 <= ST_uOut_addr_8_reg_1628;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
ST_uOut_address1 <= ST_uOut_addr_7_reg_1587;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85)) then
ST_uOut_address1 <= ST_uOut_addr_5_reg_1519;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13)) then
ST_uOut_address1 <= tmp_89_cast_fu_1149_p1(8 - 1 downto 0);
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1)) then
ST_uOut_address1 <= tmp_87_cast_fu_793_p1(8 - 1 downto 0);
else
ST_uOut_address1 <= "XXXXXXXX";
end if;
end process;
-- ST_uOut_ce0 assign process. --
ST_uOut_ce0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2, tmp_s_fu_567_p2, tmp_10_fu_573_p2, ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st88_fsm_87, ap_sig_cseq_ST_st129_fsm_128)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and (ap_const_lv1_0 = tmp_8_fu_561_p2) and (ap_const_lv1_0 = tmp_s_fu_567_p2) and not((ap_const_lv1_0 = tmp_10_fu_573_p2))) or (ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st88_fsm_87) or (ap_const_logic_1 = ap_sig_cseq_ST_st129_fsm_128) or ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_ce0 <= ap_const_logic_1;
else
ST_uOut_ce0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_ce1 assign process. --
ST_uOut_ce1_assign_proc : process(ap_sig_cseq_ST_st2_fsm_1, ap_sig_cseq_ST_st14_fsm_13, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st2_fsm_1) or (ap_const_logic_1 = ap_sig_cseq_ST_st14_fsm_13) or (ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_ce1 <= ap_const_logic_1;
else
ST_uOut_ce1 <= ap_const_logic_0;
end if;
end process;
ST_uOut_d0 <= P_floatIn;
-- ST_uOut_d1 assign process. --
ST_uOut_d1_assign_proc : process(reg_532, tmp_52_reg_1634, ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146)) then
ST_uOut_d1 <= tmp_52_reg_1634;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_d1 <= reg_532;
else
ST_uOut_d1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
ST_uOut_load_1_to_int_fu_804_p1 <= reg_490;
ST_uOut_load_2_to_int_fu_822_p1 <= ST_uOut_load_2_reg_1430;
-- ST_uOut_we0 assign process. --
ST_uOut_we0_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0, tmp_1_fu_538_p2, tmp_2_fu_549_p2, tmp_4_fu_555_p2, tmp_8_fu_561_p2)
begin
if ((((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0) and not((ap_start = ap_const_logic_0)) and (tmp_1_fu_538_p2 = ap_const_lv1_0) and (ap_const_lv1_0 = tmp_2_fu_549_p2) and (ap_const_lv1_0 = tmp_4_fu_555_p2) and not((ap_const_lv1_0 = tmp_8_fu_561_p2))))) then
ST_uOut_we0 <= ap_const_logic_1;
else
ST_uOut_we0 <= ap_const_logic_0;
end if;
end process;
-- ST_uOut_we1 assign process. --
ST_uOut_we1_assign_proc : process(ap_sig_cseq_ST_st86_fsm_85, ap_sig_cseq_ST_st147_fsm_146, ap_sig_cseq_ST_st124_fsm_123)
begin
if (((ap_const_logic_1 = ap_sig_cseq_ST_st86_fsm_85) or (ap_const_logic_1 = ap_sig_cseq_ST_st147_fsm_146) or (ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123))) then
ST_uOut_we1 <= ap_const_logic_1;
else
ST_uOut_we1 <= ap_const_logic_0;
end if;
end process;
-- ap_done assign process. --
ap_done_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
-- ap_idle assign process. --
ap_idle_assign_proc : process(ap_start, ap_sig_cseq_ST_st1_fsm_0)
begin
if ((not((ap_const_logic_1 = ap_start)) and (ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
-- ap_ready assign process. --
ap_ready_assign_proc : process(ap_sig_cseq_ST_st150_fsm_149)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st150_fsm_149)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return <= p_0_reg_392;
-- ap_rst_n_inv assign process. --
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
-- ap_sig_bdd_1429 assign process. --
ap_sig_bdd_1429_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_1429 <= (ap_const_lv1_1 = ap_CS_fsm(149 downto 149));
end process;
-- ap_sig_bdd_168 assign process. --
ap_sig_bdd_168_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_168 <= (ap_CS_fsm(0 downto 0) = ap_const_lv1_1);
end process;
-- ap_sig_bdd_253 assign process. --
ap_sig_bdd_253_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_253 <= (ap_const_lv1_1 = ap_CS_fsm(2 downto 2));
end process;
-- ap_sig_bdd_260 assign process. --
ap_sig_bdd_260_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_260 <= (ap_const_lv1_1 = ap_CS_fsm(10 downto 10));
end process;
-- ap_sig_bdd_268 assign process. --
ap_sig_bdd_268_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_268 <= (ap_const_lv1_1 = ap_CS_fsm(14 downto 14));
end process;
-- ap_sig_bdd_275 assign process. --
ap_sig_bdd_275_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_275 <= (ap_const_lv1_1 = ap_CS_fsm(88 downto 88));
end process;
-- ap_sig_bdd_283 assign process. --
ap_sig_bdd_283_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_283 <= (ap_const_lv1_1 = ap_CS_fsm(129 downto 129));
end process;
-- ap_sig_bdd_292 assign process. --
ap_sig_bdd_292_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_292 <= (ap_const_lv1_1 = ap_CS_fsm(23 downto 23));
end process;
-- ap_sig_bdd_301 assign process. --
ap_sig_bdd_301_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_301 <= (ap_const_lv1_1 = ap_CS_fsm(97 downto 97));
end process;
-- ap_sig_bdd_311 assign process. --
ap_sig_bdd_311_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_311 <= (ap_const_lv1_1 = ap_CS_fsm(17 downto 17));
end process;
-- ap_sig_bdd_318 assign process. --
ap_sig_bdd_318_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_318 <= (ap_const_lv1_1 = ap_CS_fsm(91 downto 91));
end process;
-- ap_sig_bdd_328 assign process. --
ap_sig_bdd_328_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_328 <= (ap_const_lv1_1 = ap_CS_fsm(22 downto 22));
end process;
-- ap_sig_bdd_335 assign process. --
ap_sig_bdd_335_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_335 <= (ap_const_lv1_1 = ap_CS_fsm(96 downto 96));
end process;
-- ap_sig_bdd_344 assign process. --
ap_sig_bdd_344_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_344 <= (ap_const_lv1_1 = ap_CS_fsm(28 downto 28));
end process;
-- ap_sig_bdd_351 assign process. --
ap_sig_bdd_351_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_351 <= (ap_const_lv1_1 = ap_CS_fsm(102 downto 102));
end process;
-- ap_sig_bdd_361 assign process. --
ap_sig_bdd_361_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_361 <= (ap_const_lv1_1 = ap_CS_fsm(29 downto 29));
end process;
-- ap_sig_bdd_368 assign process. --
ap_sig_bdd_368_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_368 <= (ap_const_lv1_1 = ap_CS_fsm(103 downto 103));
end process;
-- ap_sig_bdd_378 assign process. --
ap_sig_bdd_378_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_378 <= (ap_const_lv1_1 = ap_CS_fsm(47 downto 47));
end process;
-- ap_sig_bdd_385 assign process. --
ap_sig_bdd_385_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_385 <= (ap_const_lv1_1 = ap_CS_fsm(121 downto 121));
end process;
-- ap_sig_bdd_395 assign process. --
ap_sig_bdd_395_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_395 <= (ap_const_lv1_1 = ap_CS_fsm(84 downto 84));
end process;
-- ap_sig_bdd_402 assign process. --
ap_sig_bdd_402_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_402 <= (ap_const_lv1_1 = ap_CS_fsm(122 downto 122));
end process;
-- ap_sig_bdd_458 assign process. --
ap_sig_bdd_458_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_458 <= (ap_const_lv1_1 = ap_CS_fsm(1 downto 1));
end process;
-- ap_sig_bdd_478 assign process. --
ap_sig_bdd_478_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_478 <= (ap_const_lv1_1 = ap_CS_fsm(3 downto 3));
end process;
-- ap_sig_bdd_487 assign process. --
ap_sig_bdd_487_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_487 <= (ap_const_lv1_1 = ap_CS_fsm(4 downto 4));
end process;
-- ap_sig_bdd_496 assign process. --
ap_sig_bdd_496_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_496 <= (ap_const_lv1_1 = ap_CS_fsm(9 downto 9));
end process;
-- ap_sig_bdd_505 assign process. --
ap_sig_bdd_505_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_505 <= (ap_const_lv1_1 = ap_CS_fsm(11 downto 11));
end process;
-- ap_sig_bdd_535 assign process. --
ap_sig_bdd_535_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_535 <= (ap_const_lv1_1 = ap_CS_fsm(12 downto 12));
end process;
-- ap_sig_bdd_557 assign process. --
ap_sig_bdd_557_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_557 <= (ap_const_lv1_1 = ap_CS_fsm(13 downto 13));
end process;
-- ap_sig_bdd_577 assign process. --
ap_sig_bdd_577_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_577 <= (ap_const_lv1_1 = ap_CS_fsm(52 downto 52));
end process;
-- ap_sig_bdd_586 assign process. --
ap_sig_bdd_586_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_586 <= (ap_const_lv1_1 = ap_CS_fsm(83 downto 83));
end process;
-- ap_sig_bdd_595 assign process. --
ap_sig_bdd_595_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_595 <= (ap_const_lv1_1 = ap_CS_fsm(86 downto 86));
end process;
-- ap_sig_bdd_616 assign process. --
ap_sig_bdd_616_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_616 <= (ap_const_lv1_1 = ap_CS_fsm(87 downto 87));
end process;
-- ap_sig_bdd_635 assign process. --
ap_sig_bdd_635_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_635 <= (ap_const_lv1_1 = ap_CS_fsm(127 downto 127));
end process;
-- ap_sig_bdd_644 assign process. --
ap_sig_bdd_644_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_644 <= (ap_const_lv1_1 = ap_CS_fsm(128 downto 128));
end process;
-- ap_sig_bdd_659 assign process. --
ap_sig_bdd_659_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_659 <= (ap_const_lv1_1 = ap_CS_fsm(145 downto 145));
end process;
-- ap_sig_bdd_668 assign process. --
ap_sig_bdd_668_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_668 <= (ap_const_lv1_1 = ap_CS_fsm(147 downto 147));
end process;
-- ap_sig_bdd_690 assign process. --
ap_sig_bdd_690_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_690 <= (ap_const_lv1_1 = ap_CS_fsm(85 downto 85));
end process;
-- ap_sig_bdd_712 assign process. --
ap_sig_bdd_712_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_712 <= (ap_const_lv1_1 = ap_CS_fsm(146 downto 146));
end process;
-- ap_sig_bdd_728 assign process. --
ap_sig_bdd_728_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_728 <= (ap_const_lv1_1 = ap_CS_fsm(148 downto 148));
end process;
-- ap_sig_bdd_818 assign process. --
ap_sig_bdd_818_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_818 <= (ap_const_lv1_1 = ap_CS_fsm(123 downto 123));
end process;
-- ap_sig_bdd_837 assign process. --
ap_sig_bdd_837_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_837 <= (ap_const_lv1_1 = ap_CS_fsm(18 downto 18));
end process;
-- ap_sig_bdd_844 assign process. --
ap_sig_bdd_844_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_844 <= (ap_const_lv1_1 = ap_CS_fsm(24 downto 24));
end process;
-- ap_sig_bdd_852 assign process. --
ap_sig_bdd_852_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_852 <= (ap_const_lv1_1 = ap_CS_fsm(92 downto 92));
end process;
-- ap_sig_bdd_859 assign process. --
ap_sig_bdd_859_assign_proc : process(ap_CS_fsm)
begin
ap_sig_bdd_859 <= (ap_const_lv1_1 = ap_CS_fsm(98 downto 98));
end process;
-- ap_sig_cseq_ST_st103_fsm_102 assign process. --
ap_sig_cseq_ST_st103_fsm_102_assign_proc : process(ap_sig_bdd_351)
begin
if (ap_sig_bdd_351) then
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st103_fsm_102 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st104_fsm_103 assign process. --
ap_sig_cseq_ST_st104_fsm_103_assign_proc : process(ap_sig_bdd_368)
begin
if (ap_sig_bdd_368) then
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st104_fsm_103 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st10_fsm_9 assign process. --
ap_sig_cseq_ST_st10_fsm_9_assign_proc : process(ap_sig_bdd_496)
begin
if (ap_sig_bdd_496) then
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st10_fsm_9 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st11_fsm_10 assign process. --
ap_sig_cseq_ST_st11_fsm_10_assign_proc : process(ap_sig_bdd_260)
begin
if (ap_sig_bdd_260) then
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st11_fsm_10 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st122_fsm_121 assign process. --
ap_sig_cseq_ST_st122_fsm_121_assign_proc : process(ap_sig_bdd_385)
begin
if (ap_sig_bdd_385) then
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st122_fsm_121 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st123_fsm_122 assign process. --
ap_sig_cseq_ST_st123_fsm_122_assign_proc : process(ap_sig_bdd_402)
begin
if (ap_sig_bdd_402) then
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st123_fsm_122 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st124_fsm_123 assign process. --
ap_sig_cseq_ST_st124_fsm_123_assign_proc : process(ap_sig_bdd_818)
begin
if (ap_sig_bdd_818) then
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st124_fsm_123 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st128_fsm_127 assign process. --
ap_sig_cseq_ST_st128_fsm_127_assign_proc : process(ap_sig_bdd_635)
begin
if (ap_sig_bdd_635) then
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st128_fsm_127 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st129_fsm_128 assign process. --
ap_sig_cseq_ST_st129_fsm_128_assign_proc : process(ap_sig_bdd_644)
begin
if (ap_sig_bdd_644) then
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st129_fsm_128 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st12_fsm_11 assign process. --
ap_sig_cseq_ST_st12_fsm_11_assign_proc : process(ap_sig_bdd_505)
begin
if (ap_sig_bdd_505) then
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st12_fsm_11 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st130_fsm_129 assign process. --
ap_sig_cseq_ST_st130_fsm_129_assign_proc : process(ap_sig_bdd_283)
begin
if (ap_sig_bdd_283) then
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st130_fsm_129 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st13_fsm_12 assign process. --
ap_sig_cseq_ST_st13_fsm_12_assign_proc : process(ap_sig_bdd_535)
begin
if (ap_sig_bdd_535) then
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st13_fsm_12 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st146_fsm_145 assign process. --
ap_sig_cseq_ST_st146_fsm_145_assign_proc : process(ap_sig_bdd_659)
begin
if (ap_sig_bdd_659) then
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st146_fsm_145 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st147_fsm_146 assign process. --
ap_sig_cseq_ST_st147_fsm_146_assign_proc : process(ap_sig_bdd_712)
begin
if (ap_sig_bdd_712) then
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st147_fsm_146 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st148_fsm_147 assign process. --
ap_sig_cseq_ST_st148_fsm_147_assign_proc : process(ap_sig_bdd_668)
begin
if (ap_sig_bdd_668) then
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st148_fsm_147 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st149_fsm_148 assign process. --
ap_sig_cseq_ST_st149_fsm_148_assign_proc : process(ap_sig_bdd_728)
begin
if (ap_sig_bdd_728) then
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st149_fsm_148 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st14_fsm_13 assign process. --
ap_sig_cseq_ST_st14_fsm_13_assign_proc : process(ap_sig_bdd_557)
begin
if (ap_sig_bdd_557) then
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st14_fsm_13 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st150_fsm_149 assign process. --
ap_sig_cseq_ST_st150_fsm_149_assign_proc : process(ap_sig_bdd_1429)
begin
if (ap_sig_bdd_1429) then
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st150_fsm_149 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st15_fsm_14 assign process. --
ap_sig_cseq_ST_st15_fsm_14_assign_proc : process(ap_sig_bdd_268)
begin
if (ap_sig_bdd_268) then
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st15_fsm_14 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st18_fsm_17 assign process. --
ap_sig_cseq_ST_st18_fsm_17_assign_proc : process(ap_sig_bdd_311)
begin
if (ap_sig_bdd_311) then
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st18_fsm_17 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st19_fsm_18 assign process. --
ap_sig_cseq_ST_st19_fsm_18_assign_proc : process(ap_sig_bdd_837)
begin
if (ap_sig_bdd_837) then
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st19_fsm_18 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st1_fsm_0 assign process. --
ap_sig_cseq_ST_st1_fsm_0_assign_proc : process(ap_sig_bdd_168)
begin
if (ap_sig_bdd_168) then
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st1_fsm_0 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st23_fsm_22 assign process. --
ap_sig_cseq_ST_st23_fsm_22_assign_proc : process(ap_sig_bdd_328)
begin
if (ap_sig_bdd_328) then
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st23_fsm_22 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st24_fsm_23 assign process. --
ap_sig_cseq_ST_st24_fsm_23_assign_proc : process(ap_sig_bdd_292)
begin
if (ap_sig_bdd_292) then
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st24_fsm_23 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st25_fsm_24 assign process. --
ap_sig_cseq_ST_st25_fsm_24_assign_proc : process(ap_sig_bdd_844)
begin
if (ap_sig_bdd_844) then
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st25_fsm_24 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st29_fsm_28 assign process. --
ap_sig_cseq_ST_st29_fsm_28_assign_proc : process(ap_sig_bdd_344)
begin
if (ap_sig_bdd_344) then
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st29_fsm_28 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st2_fsm_1 assign process. --
ap_sig_cseq_ST_st2_fsm_1_assign_proc : process(ap_sig_bdd_458)
begin
if (ap_sig_bdd_458) then
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st2_fsm_1 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st30_fsm_29 assign process. --
ap_sig_cseq_ST_st30_fsm_29_assign_proc : process(ap_sig_bdd_361)
begin
if (ap_sig_bdd_361) then
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st30_fsm_29 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st3_fsm_2 assign process. --
ap_sig_cseq_ST_st3_fsm_2_assign_proc : process(ap_sig_bdd_253)
begin
if (ap_sig_bdd_253) then
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st3_fsm_2 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st48_fsm_47 assign process. --
ap_sig_cseq_ST_st48_fsm_47_assign_proc : process(ap_sig_bdd_378)
begin
if (ap_sig_bdd_378) then
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st48_fsm_47 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st4_fsm_3 assign process. --
ap_sig_cseq_ST_st4_fsm_3_assign_proc : process(ap_sig_bdd_478)
begin
if (ap_sig_bdd_478) then
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st4_fsm_3 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st53_fsm_52 assign process. --
ap_sig_cseq_ST_st53_fsm_52_assign_proc : process(ap_sig_bdd_577)
begin
if (ap_sig_bdd_577) then
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st53_fsm_52 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st5_fsm_4 assign process. --
ap_sig_cseq_ST_st5_fsm_4_assign_proc : process(ap_sig_bdd_487)
begin
if (ap_sig_bdd_487) then
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st5_fsm_4 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st84_fsm_83 assign process. --
ap_sig_cseq_ST_st84_fsm_83_assign_proc : process(ap_sig_bdd_586)
begin
if (ap_sig_bdd_586) then
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st84_fsm_83 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st85_fsm_84 assign process. --
ap_sig_cseq_ST_st85_fsm_84_assign_proc : process(ap_sig_bdd_395)
begin
if (ap_sig_bdd_395) then
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st85_fsm_84 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st86_fsm_85 assign process. --
ap_sig_cseq_ST_st86_fsm_85_assign_proc : process(ap_sig_bdd_690)
begin
if (ap_sig_bdd_690) then
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st86_fsm_85 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st87_fsm_86 assign process. --
ap_sig_cseq_ST_st87_fsm_86_assign_proc : process(ap_sig_bdd_595)
begin
if (ap_sig_bdd_595) then
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st87_fsm_86 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st88_fsm_87 assign process. --
ap_sig_cseq_ST_st88_fsm_87_assign_proc : process(ap_sig_bdd_616)
begin
if (ap_sig_bdd_616) then
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st88_fsm_87 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st89_fsm_88 assign process. --
ap_sig_cseq_ST_st89_fsm_88_assign_proc : process(ap_sig_bdd_275)
begin
if (ap_sig_bdd_275) then
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st89_fsm_88 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st92_fsm_91 assign process. --
ap_sig_cseq_ST_st92_fsm_91_assign_proc : process(ap_sig_bdd_318)
begin
if (ap_sig_bdd_318) then
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st92_fsm_91 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st93_fsm_92 assign process. --
ap_sig_cseq_ST_st93_fsm_92_assign_proc : process(ap_sig_bdd_852)
begin
if (ap_sig_bdd_852) then
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st93_fsm_92 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st97_fsm_96 assign process. --
ap_sig_cseq_ST_st97_fsm_96_assign_proc : process(ap_sig_bdd_335)
begin
if (ap_sig_bdd_335) then
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st97_fsm_96 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st98_fsm_97 assign process. --
ap_sig_cseq_ST_st98_fsm_97_assign_proc : process(ap_sig_bdd_301)
begin
if (ap_sig_bdd_301) then
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st98_fsm_97 <= ap_const_logic_0;
end if;
end process;
-- ap_sig_cseq_ST_st99_fsm_98 assign process. --
ap_sig_cseq_ST_st99_fsm_98_assign_proc : process(ap_sig_bdd_859)
begin
if (ap_sig_bdd_859) then
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_1;
else
ap_sig_cseq_ST_st99_fsm_98 <= ap_const_logic_0;
end if;
end process;
grp_fu_421_ce <= ap_const_logic_1;
-- grp_fu_421_p0 assign process. --
grp_fu_421_p0_assign_proc : process(sum_reg_312, sumsoft_reg_335, sum_1_reg_358, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p0 <= sumsoft_reg_335;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p0 <= sum_1_reg_358;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24))) then
grp_fu_421_p0 <= sum_reg_312;
else
grp_fu_421_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_421_p1 assign process. --
grp_fu_421_p1_assign_proc : process(reg_499, reg_505, reg_532, ap_sig_cseq_ST_st124_fsm_123, ap_sig_cseq_ST_st19_fsm_18, ap_sig_cseq_ST_st25_fsm_24, ap_sig_cseq_ST_st93_fsm_92, ap_sig_cseq_ST_st99_fsm_98)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st124_fsm_123)) then
grp_fu_421_p1 <= reg_532;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st25_fsm_24) or (ap_const_logic_1 = ap_sig_cseq_ST_st99_fsm_98))) then
grp_fu_421_p1 <= reg_499;
elsif (((ap_const_logic_1 = ap_sig_cseq_ST_st19_fsm_18) or (ap_const_logic_1 = ap_sig_cseq_ST_st93_fsm_92))) then
grp_fu_421_p1 <= reg_505;
else
grp_fu_421_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_428_ce <= ap_const_logic_1;
-- grp_fu_428_p0 assign process. --
grp_fu_428_p0_assign_proc : process(ST_uOut_q0, ST_uOut_q1, ap_sig_cseq_ST_st15_fsm_14, ap_sig_cseq_ST_st89_fsm_88)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st89_fsm_88)) then
grp_fu_428_p0 <= ST_uOut_q0;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st15_fsm_14)) then
grp_fu_428_p0 <= ST_uOut_q1;
else
grp_fu_428_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_435_ce <= ap_const_logic_1;
grp_fu_440_ce <= ap_const_logic_1;
-- grp_fu_444_p0 assign process. --
grp_fu_444_p0_assign_proc : process(reg_526, ap_sig_cseq_ST_st85_fsm_84, ap_sig_cseq_ST_st123_fsm_122, tmp_43_reg_1557)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st123_fsm_122)) then
grp_fu_444_p0 <= reg_526;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st85_fsm_84)) then
grp_fu_444_p0 <= tmp_43_reg_1557;
else
grp_fu_444_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
-- grp_fu_447_p0 assign process. --
grp_fu_447_p0_assign_proc : process(reg_516, ap_sig_cseq_ST_st30_fsm_29, ap_sig_cseq_ST_st104_fsm_103, tmp_39_fu_1177_p1)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st104_fsm_103)) then
grp_fu_447_p0 <= reg_516;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st30_fsm_29)) then
grp_fu_447_p0 <= tmp_39_fu_1177_p1;
else
grp_fu_447_p0 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_454_ce <= ap_const_logic_1;
grp_fu_459_ce <= ap_const_logic_1;
grp_fu_464_ce <= ap_const_logic_1;
-- grp_fu_469_p1 assign process. --
grp_fu_469_p1_assign_proc : process(ap_sig_cseq_ST_st1_fsm_0, ST_numLayer, ST_numLayer_load_reg_1353, ap_sig_cseq_ST_st12_fsm_11)
begin
if ((ap_const_logic_1 = ap_sig_cseq_ST_st12_fsm_11)) then
grp_fu_469_p1 <= ST_numLayer_load_reg_1353;
elsif ((ap_const_logic_1 = ap_sig_cseq_ST_st1_fsm_0)) then
grp_fu_469_p1 <= ST_numLayer;
else
grp_fu_469_p1 <= "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";
end if;
end process;
grp_fu_469_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFF) + signed(grp_fu_469_p1));
i_2_cast_fu_1290_p1 <= std_logic_vector(resize(unsigned(i_2_reg_381),32));
i_3_fu_1105_p2 <= std_logic_vector(unsigned(i_reg_289) + unsigned(ap_const_lv31_1));
i_4_fu_798_p2 <= std_logic_vector(unsigned(ap_const_lv31_1) + unsigned(max_2_reg_266));
i_5_fu_1201_p2 <= std_logic_vector(unsigned(i_1_reg_347) + unsigned(ap_const_lv32_1));
i_6_fu_1299_p2 <= std_logic_vector(unsigned(i_2_reg_381) + unsigned(ap_const_lv31_1));
i_cast_fu_893_p1 <= std_logic_vector(resize(unsigned(i_reg_289),32));
j_1_cast_fu_1234_p1 <= std_logic_vector(resize(unsigned(j_1_reg_370),32));
j_2_fu_1072_p2 <= std_logic_vector(unsigned(j_reg_301) + unsigned(ap_const_lv32_1));
j_3_fu_1243_p2 <= std_logic_vector(unsigned(j_1_reg_370) + unsigned(ap_const_lv31_1));
k_1_fu_1120_p2 <= std_logic_vector(unsigned(k_reg_324) + unsigned(ap_const_lv31_1));
k_cast_fu_1111_p1 <= std_logic_vector(resize(unsigned(k_reg_324),32));
max_1_fu_887_p3 <=
max_2_cast_reg_1407 when (tmp_63_reg_1436(0) = '1') else
max_reg_277;
max_2_cast_fu_761_p1 <= std_logic_vector(resize(unsigned(max_2_reg_266),32));
notlhs1_fu_857_p2 <= "0" when (tmp_57_fu_825_p4 = ap_const_lv8_FF) else "1";
notlhs_fu_839_p2 <= "0" when (tmp_55_fu_808_p4 = ap_const_lv8_FF) else "1";
notrhs2_fu_863_p2 <= "1" when (tmp_97_fu_835_p1 = ap_const_lv23_0) else "0";
notrhs_fu_845_p2 <= "1" when (tmp_96_fu_818_p1 = ap_const_lv23_0) else "0";
p_shl10_cast_fu_641_p3 <= (tmp_72_fu_637_p1 & ap_const_lv5_0);
p_shl11_cast_fu_653_p3 <= (tmp_73_fu_649_p1 & ap_const_lv3_0);
p_shl12_cast_fu_589_p3 <= (tmp_74_fu_585_p1 & ap_const_lv5_0);
p_shl13_cast_fu_601_p3 <= (tmp_75_fu_597_p1 & ap_const_lv3_0);
p_shl1_cast_fu_707_p3 <= (tmp_12_fu_703_p1 & ap_const_lv3_0);
p_shl2_cast_fu_1023_p3 <= (tmp_67_fu_1019_p1 & ap_const_lv5_0);
p_shl3_cast_fu_1035_p3 <= (tmp_68_fu_1031_p1 & ap_const_lv3_0);
p_shl4_cast_fu_980_p3 <= (tmp_47_fu_976_p1 & ap_const_lv5_0);
p_shl5_cast_fu_992_p3 <= (tmp_51_fu_988_p1 & ap_const_lv3_0);
p_shl6_cast_fu_946_p3 <= (tmp_30_fu_942_p1 & ap_const_lv5_0);
p_shl7_cast_fu_958_p3 <= (tmp_36_fu_954_p1 & ap_const_lv3_0);
p_shl8_cast_fu_906_p3 <= (tmp_25_fu_902_p1 & ap_const_lv5_0);
p_shl9_cast_fu_918_p3 <= (tmp_26_fu_914_p1 & ap_const_lv3_0);
p_shl_cast_fu_695_p3 <= (tmp_11_fu_691_p1 & ap_const_lv5_0);
tmp_100_fu_1154_p1 <= tmp_53_reg_1507(14 - 1 downto 0);
tmp_101_fu_1249_p1 <= j_1_reg_370(9 - 1 downto 0);
tmp_102_fu_1253_p1 <= j_1_reg_370(14 - 1 downto 0);
tmp_103_fu_1277_p1 <= tmp_54_reg_1575(14 - 1 downto 0);
tmp_10_fu_573_p2 <= "1" when (P_mode = ap_const_lv32_6) else "0";
tmp_11_fu_691_p1 <= P_index1(9 - 1 downto 0);
tmp_12_fu_703_p1 <= P_index1(11 - 1 downto 0);
tmp_13_fu_715_p2 <= std_logic_vector(unsigned(p_shl_cast_fu_695_p3) + unsigned(p_shl1_cast_fu_707_p3));
tmp_14_fu_579_p2 <= "1" when (P_mode = ap_const_lv32_7) else "0";
tmp_15_fu_936_p2 <= std_logic_vector(signed(ap_const_lv31_7FFFFFFF) + signed(i_reg_289));
tmp_16_fu_721_p2 <= std_logic_vector(unsigned(tmp_7_fu_687_p1) + unsigned(tmp_13_fu_715_p2));
tmp_19_fu_1319_p2 <= std_logic_vector(resize(unsigned(std_logic_vector(signed('0' &ap_const_lv14_29) * signed(tmp_16_reg_1399))), 14));
tmp_1_fu_538_p2 <= "1" when (P_mode = ap_const_lv32_1) else "0";
tmp_20_fu_1066_p2 <= "1" when (signed(j_reg_301) < signed(tmp_fu_1053_p6)) else "0";
tmp_21_cast_fu_1329_p1 <= std_logic_vector(resize(signed(tmp_21_reg_1639),64));
tmp_21_fu_1324_p2 <= std_logic_vector(unsigned(tmp_6_reg_1394) + unsigned(tmp_19_fu_1319_p2));
tmp_22_fu_1195_p2 <= "1" when (signed(i_1_reg_347) < signed(tmp_27_fu_1182_p6)) else "0";
tmp_23_fu_1014_p2 <= std_logic_vector(signed(ap_const_lv32_FFFFFFFE) + signed(ST_numLayer_load_reg_1353));
tmp_24_fu_765_p2 <= "1" when (signed(max_2_cast_fu_761_p1) < signed(tmp_31_reg_1384)) else "0";
tmp_25_fu_902_p1 <= i_reg_289(9 - 1 downto 0);
tmp_26_fu_914_p1 <= i_reg_289(11 - 1 downto 0);
tmp_28_fu_926_p2 <= std_logic_vector(unsigned(p_shl8_cast_fu_906_p3) + unsigned(p_shl9_cast_fu_918_p3));
tmp_29_fu_932_p1 <= i_reg_289(2 - 1 downto 0);
tmp_2_fu_549_p2 <= "1" when (P_mode = ap_const_lv32_2) else "0";
tmp_30_fu_942_p1 <= tmp_15_fu_936_p2(4 - 1 downto 0);
tmp_31_fu_619_p5 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_33_fu_1115_p2 <= "1" when (signed(k_cast_fu_1111_p1) < signed(tmp_53_reg_1507)) else "0";
tmp_34_fu_1238_p2 <= "1" when (signed(j_1_cast_fu_1234_p1) < signed(tmp_54_reg_1575)) else "0";
tmp_35_fu_1294_p2 <= "1" when (signed(i_2_cast_fu_1290_p1) < signed(tmp_27_reg_1562)) else "0";
tmp_36_fu_954_p1 <= tmp_15_fu_936_p2(6 - 1 downto 0);
tmp_38_fu_966_p2 <= std_logic_vector(unsigned(p_shl6_cast_fu_946_p3) + unsigned(p_shl7_cast_fu_958_p3));
tmp_39_fu_1177_p1 <= tmp_39_neg_fu_1171_p2;
tmp_39_neg_fu_1171_p2 <= (tmp_39_to_int_fu_1167_p1 xor ap_const_lv32_80000000);
tmp_39_to_int_fu_1167_p1 <= reg_516;
tmp_3_fu_897_p2 <= "1" when (signed(i_cast_fu_893_p1) < signed(ST_numLayer_load_reg_1353)) else "0";
tmp_45_fu_972_p1 <= tmp_15_fu_936_p2(2 - 1 downto 0);
tmp_47_fu_976_p1 <= grp_fu_469_p2(9 - 1 downto 0);
tmp_4_fu_555_p2 <= "1" when (P_mode = ap_const_lv32_3) else "0";
tmp_51_fu_988_p1 <= grp_fu_469_p2(11 - 1 downto 0);
tmp_55_fu_808_p4 <= ST_uOut_load_1_to_int_fu_804_p1(30 downto 23);
tmp_56_fu_1000_p2 <= std_logic_vector(unsigned(p_shl4_cast_fu_980_p3) + unsigned(p_shl5_cast_fu_992_p3));
tmp_57_fu_825_p4 <= ST_uOut_load_2_to_int_fu_822_p1(30 downto 23);
tmp_58_fu_1006_p1 <= tmp_56_fu_1000_p2(9 - 1 downto 0);
tmp_59_fu_851_p2 <= (notrhs_fu_845_p2 or notlhs_fu_839_p2);
tmp_5_fu_727_p1 <= P_index1(2 - 1 downto 0);
tmp_60_fu_869_p2 <= (notrhs2_fu_863_p2 or notlhs1_fu_857_p2);
tmp_61_fu_875_p2 <= (tmp_59_fu_851_p2 and tmp_60_fu_869_p2);
tmp_62_fu_450_opcode <= ap_const_lv5_2;
tmp_63_fu_881_p2 <= (tmp_61_fu_875_p2 and tmp_62_fu_450_p2);
tmp_64_fu_1010_p1 <= grp_fu_469_p2(2 - 1 downto 0);
tmp_65_fu_661_p2 <= std_logic_vector(unsigned(p_shl10_cast_fu_641_p3) + unsigned(p_shl11_cast_fu_653_p3));
tmp_66_cast_fu_673_p1 <= std_logic_vector(resize(signed(tmp_66_fu_667_p2),64));
tmp_66_fu_667_p2 <= std_logic_vector(unsigned(tmp_71_fu_633_p1) + unsigned(tmp_65_fu_661_p2));
tmp_67_fu_1019_p1 <= tmp_23_fu_1014_p2(4 - 1 downto 0);
tmp_68_fu_1031_p1 <= tmp_23_fu_1014_p2(6 - 1 downto 0);
tmp_69_fu_1043_p2 <= std_logic_vector(unsigned(p_shl2_cast_fu_1023_p3) + unsigned(p_shl3_cast_fu_1035_p3));
tmp_6_fu_683_p1 <= P_intIn_index3(14 - 1 downto 0);
tmp_70_fu_1049_p1 <= tmp_23_fu_1014_p2(2 - 1 downto 0);
tmp_71_fu_633_p1 <= P_index2(9 - 1 downto 0);
tmp_72_fu_637_p1 <= P_index1(4 - 1 downto 0);
tmp_73_fu_649_p1 <= P_index1(6 - 1 downto 0);
tmp_74_fu_585_p1 <= grp_fu_469_p2(4 - 1 downto 0);
tmp_75_fu_597_p1 <= grp_fu_469_p2(6 - 1 downto 0);
tmp_76_fu_609_p2 <= std_logic_vector(unsigned(p_shl12_cast_fu_589_p3) + unsigned(p_shl13_cast_fu_601_p3));
tmp_78_fu_1091_p1 <= j_reg_301(14 - 1 downto 0);
tmp_79_fu_1095_p2 <= std_logic_vector(unsigned(tmp_28_reg_1454) + unsigned(tmp_78_fu_1091_p1));
tmp_7_fu_687_p1 <= P_index2(14 - 1 downto 0);
tmp_80_fu_1333_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_81_fu_1220_p1 <= i_1_reg_347(14 - 1 downto 0);
tmp_82_cast_fu_1100_p1 <= std_logic_vector(resize(signed(tmp_79_fu_1095_p2),64));
tmp_82_fu_1224_p2 <= std_logic_vector(unsigned(tmp_56_reg_1474) + unsigned(tmp_81_fu_1220_p1));
tmp_83_fu_1339_p0 <= ap_const_lv14_29(7 - 1 downto 0);
tmp_84_cast_fu_1229_p1 <= std_logic_vector(resize(signed(tmp_82_fu_1224_p2),64));
tmp_84_fu_1305_p1 <= i_2_reg_381(9 - 1 downto 0);
tmp_85_fu_1309_p2 <= std_logic_vector(unsigned(tmp_58_reg_1479) + unsigned(tmp_84_fu_1305_p1));
tmp_86_cast_fu_779_p1 <= std_logic_vector(resize(signed(tmp_86_fu_774_p2),64));
tmp_86_fu_774_p2 <= std_logic_vector(unsigned(tmp_94_fu_770_p1) + unsigned(tmp_76_reg_1378));
tmp_87_cast_fu_793_p1 <= std_logic_vector(resize(signed(tmp_87_fu_788_p2),64));
tmp_87_fu_788_p2 <= std_logic_vector(unsigned(tmp_95_fu_784_p1) + unsigned(tmp_76_reg_1378));
tmp_88_cast_fu_1139_p1 <= std_logic_vector(resize(signed(tmp_88_fu_1134_p2),64));
tmp_88_fu_1134_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_99_fu_1130_p1));
tmp_89_cast_fu_1149_p1 <= std_logic_vector(resize(unsigned(tmp_89_fu_1144_p2),64));
tmp_89_fu_1144_p2 <= std_logic_vector(unsigned(tmp_38_reg_1464) + unsigned(tmp_98_fu_1126_p1));
tmp_8_fu_561_p2 <= "1" when (P_mode = ap_const_lv32_4) else "0";
tmp_90_cast_fu_1162_p1 <= std_logic_vector(resize(signed(tmp_90_fu_1157_p2),64));
tmp_90_fu_1157_p2 <= std_logic_vector(signed(tmp_80_reg_1513) + signed(tmp_100_fu_1154_p1));
tmp_91_cast_fu_1262_p1 <= std_logic_vector(resize(signed(tmp_91_fu_1257_p2),64));
tmp_91_fu_1257_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_102_fu_1253_p1));
tmp_92_cast_fu_1272_p1 <= std_logic_vector(resize(signed(tmp_92_fu_1267_p2),64));
tmp_92_fu_1267_p2 <= std_logic_vector(unsigned(tmp_69_reg_1489) + unsigned(tmp_101_fu_1249_p1));
tmp_93_cast_fu_1285_p1 <= std_logic_vector(resize(signed(tmp_93_fu_1280_p2),64));
tmp_93_fu_1280_p2 <= std_logic_vector(signed(tmp_83_reg_1581) + signed(tmp_103_fu_1277_p1));
tmp_94_cast_fu_1314_p1 <= std_logic_vector(resize(signed(tmp_85_fu_1309_p2),64));
tmp_94_fu_770_p1 <= max_2_reg_266(9 - 1 downto 0);
tmp_95_fu_784_p1 <= max_reg_277(9 - 1 downto 0);
tmp_96_fu_818_p1 <= ST_uOut_load_1_to_int_fu_804_p1(23 - 1 downto 0);
tmp_97_fu_835_p1 <= ST_uOut_load_2_to_int_fu_822_p1(23 - 1 downto 0);
tmp_98_fu_1126_p1 <= k_reg_324(9 - 1 downto 0);
tmp_99_fu_1130_p1 <= k_reg_324(14 - 1 downto 0);
tmp_9_fu_678_p1 <= std_logic_vector(resize(signed(P_index1),64));
tmp_s_fu_567_p2 <= "1" when (P_mode = ap_const_lv32_5) else "0";
end behav;
|
-- -------------------------------------------------------------
--
-- File Name: hdlsrc/ifft_16_bit/TWDLROM_3_4.vhd
-- Created: 2017-03-28 01:00:37
--
-- Generated by MATLAB 9.1 and HDL Coder 3.9
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: TWDLROM_3_4
-- Source Path: ifft_16_bit/IFFT HDL Optimized/TWDLROM_3_4
-- Hierarchy Level: 2
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
USE work.ifft_16_bit_pkg.ALL;
ENTITY TWDLROM_3_4 IS
PORT( clk : IN std_logic;
reset : IN std_logic;
enb : IN std_logic;
dout_2_vld : IN std_logic;
softReset : IN std_logic;
twdl_3_4_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En15
twdl_3_4_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17_En15
twdl_3_4_vld : OUT std_logic
);
END TWDLROM_3_4;
ARCHITECTURE rtl OF TWDLROM_3_4 IS
-- Constants
CONSTANT Twiddle_re_table_data : vector_of_signed17(0 TO 1) :=
(to_signed(16#08000#, 17), to_signed(16#07642#, 17)); -- sfix17 [2]
CONSTANT Twiddle_im_table_data : vector_of_signed17(0 TO 1) :=
(to_signed(16#00000#, 17), to_signed(-16#030FC#, 17)); -- sfix17 [2]
-- Signals
SIGNAL Radix22TwdlMapping_cnt : unsigned(1 DOWNTO 0); -- ufix2
SIGNAL Radix22TwdlMapping_phase : unsigned(1 DOWNTO 0); -- ufix2
SIGNAL Radix22TwdlMapping_octantReg1 : unsigned(2 DOWNTO 0); -- ufix3
SIGNAL Radix22TwdlMapping_twdlAddr_raw : unsigned(3 DOWNTO 0); -- ufix4
SIGNAL Radix22TwdlMapping_twdlAddrMap : std_logic; -- ufix1
SIGNAL Radix22TwdlMapping_twdl45Reg : std_logic;
SIGNAL Radix22TwdlMapping_dvldReg1 : std_logic;
SIGNAL Radix22TwdlMapping_dvldReg2 : std_logic;
SIGNAL Radix22TwdlMapping_cnt_next : unsigned(1 DOWNTO 0); -- ufix2
SIGNAL Radix22TwdlMapping_phase_next : unsigned(1 DOWNTO 0); -- ufix2
SIGNAL Radix22TwdlMapping_octantReg1_next : unsigned(2 DOWNTO 0); -- ufix3
SIGNAL Radix22TwdlMapping_twdlAddr_raw_next : unsigned(3 DOWNTO 0); -- ufix4
SIGNAL Radix22TwdlMapping_twdlAddrMap_next : std_logic; -- ufix1
SIGNAL Radix22TwdlMapping_twdl45Reg_next : std_logic;
SIGNAL Radix22TwdlMapping_dvldReg1_next : std_logic;
SIGNAL Radix22TwdlMapping_dvldReg2_next : std_logic;
SIGNAL twdlAddr : std_logic; -- ufix1
SIGNAL twdlAddrVld : std_logic;
SIGNAL twdlOctant : unsigned(2 DOWNTO 0); -- ufix3
SIGNAL twdl45 : std_logic;
SIGNAL Twiddle_re_cast : signed(31 DOWNTO 0); -- int32
SIGNAL twiddleS_re : signed(16 DOWNTO 0); -- sfix17_En15
SIGNAL twiddleReg_re : signed(16 DOWNTO 0); -- sfix17_En15
SIGNAL Twiddle_im_cast : signed(31 DOWNTO 0); -- int32
SIGNAL twiddleS_im : signed(16 DOWNTO 0); -- sfix17_En15
SIGNAL twiddleReg_im : signed(16 DOWNTO 0); -- sfix17_En15
SIGNAL twdlOctantReg : unsigned(2 DOWNTO 0); -- ufix3
SIGNAL twdl45Reg : std_logic;
SIGNAL twdl_3_4_re_tmp : signed(16 DOWNTO 0); -- sfix17_En15
SIGNAL twdl_3_4_im_tmp : signed(16 DOWNTO 0); -- sfix17_En15
BEGIN
-- Radix22TwdlMapping
Radix22TwdlMapping_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
Radix22TwdlMapping_octantReg1 <= to_unsigned(16#0#, 3);
Radix22TwdlMapping_twdlAddr_raw <= to_unsigned(16#0#, 4);
Radix22TwdlMapping_twdlAddrMap <= '0';
Radix22TwdlMapping_twdl45Reg <= '0';
Radix22TwdlMapping_dvldReg1 <= '0';
Radix22TwdlMapping_dvldReg2 <= '0';
Radix22TwdlMapping_cnt <= to_unsigned(16#3#, 2);
Radix22TwdlMapping_phase <= to_unsigned(16#0#, 2);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
Radix22TwdlMapping_cnt <= Radix22TwdlMapping_cnt_next;
Radix22TwdlMapping_phase <= Radix22TwdlMapping_phase_next;
Radix22TwdlMapping_octantReg1 <= Radix22TwdlMapping_octantReg1_next;
Radix22TwdlMapping_twdlAddr_raw <= Radix22TwdlMapping_twdlAddr_raw_next;
Radix22TwdlMapping_twdlAddrMap <= Radix22TwdlMapping_twdlAddrMap_next;
Radix22TwdlMapping_twdl45Reg <= Radix22TwdlMapping_twdl45Reg_next;
Radix22TwdlMapping_dvldReg1 <= Radix22TwdlMapping_dvldReg1_next;
Radix22TwdlMapping_dvldReg2 <= Radix22TwdlMapping_dvldReg2_next;
END IF;
END IF;
END PROCESS Radix22TwdlMapping_process;
Radix22TwdlMapping_output : PROCESS (Radix22TwdlMapping_cnt, Radix22TwdlMapping_phase,
Radix22TwdlMapping_octantReg1, Radix22TwdlMapping_twdlAddr_raw,
Radix22TwdlMapping_twdlAddrMap, Radix22TwdlMapping_twdl45Reg,
Radix22TwdlMapping_dvldReg1, Radix22TwdlMapping_dvldReg2, dout_2_vld)
VARIABLE octant : unsigned(2 DOWNTO 0);
VARIABLE cnt_cast : unsigned(3 DOWNTO 0);
VARIABLE sub_cast : signed(9 DOWNTO 0);
VARIABLE sub_temp : signed(9 DOWNTO 0);
VARIABLE sub_cast_0 : signed(5 DOWNTO 0);
VARIABLE sub_temp_0 : signed(5 DOWNTO 0);
VARIABLE sub_cast_1 : signed(5 DOWNTO 0);
VARIABLE sub_temp_1 : signed(5 DOWNTO 0);
VARIABLE sub_cast_2 : signed(9 DOWNTO 0);
VARIABLE sub_temp_2 : signed(9 DOWNTO 0);
VARIABLE sub_cast_3 : signed(9 DOWNTO 0);
VARIABLE sub_temp_3 : signed(9 DOWNTO 0);
BEGIN
Radix22TwdlMapping_twdlAddr_raw_next <= Radix22TwdlMapping_twdlAddr_raw;
Radix22TwdlMapping_twdlAddrMap_next <= Radix22TwdlMapping_twdlAddrMap;
Radix22TwdlMapping_twdl45Reg_next <= Radix22TwdlMapping_twdl45Reg;
Radix22TwdlMapping_dvldReg2_next <= Radix22TwdlMapping_dvldReg1;
Radix22TwdlMapping_dvldReg1_next <= dout_2_vld;
CASE Radix22TwdlMapping_twdlAddr_raw IS
WHEN "0010" =>
octant := to_unsigned(16#0#, 3);
Radix22TwdlMapping_twdl45Reg_next <= '1';
WHEN "0100" =>
octant := to_unsigned(16#1#, 3);
Radix22TwdlMapping_twdl45Reg_next <= '0';
WHEN "0110" =>
octant := to_unsigned(16#2#, 3);
Radix22TwdlMapping_twdl45Reg_next <= '1';
WHEN "1000" =>
octant := to_unsigned(16#3#, 3);
Radix22TwdlMapping_twdl45Reg_next <= '0';
WHEN "1010" =>
octant := to_unsigned(16#4#, 3);
Radix22TwdlMapping_twdl45Reg_next <= '1';
WHEN OTHERS =>
octant := Radix22TwdlMapping_twdlAddr_raw(3 DOWNTO 1);
Radix22TwdlMapping_twdl45Reg_next <= '0';
END CASE;
Radix22TwdlMapping_octantReg1_next <= octant;
CASE octant IS
WHEN "000" =>
Radix22TwdlMapping_twdlAddrMap_next <= Radix22TwdlMapping_twdlAddr_raw(0);
WHEN "001" =>
sub_cast_0 := signed(resize(Radix22TwdlMapping_twdlAddr_raw, 6));
sub_temp_0 := to_signed(16#04#, 6) - sub_cast_0;
Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_0(0);
WHEN "010" =>
sub_cast_1 := signed(resize(Radix22TwdlMapping_twdlAddr_raw, 6));
sub_temp_1 := sub_cast_1 - to_signed(16#04#, 6);
Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_1(0);
WHEN "011" =>
sub_cast_2 := signed(resize(Radix22TwdlMapping_twdlAddr_raw & '0', 10));
sub_temp_2 := to_signed(16#010#, 10) - sub_cast_2;
Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_2(1);
WHEN "100" =>
sub_cast_3 := signed(resize(Radix22TwdlMapping_twdlAddr_raw & '0', 10));
sub_temp_3 := sub_cast_3 - to_signed(16#010#, 10);
Radix22TwdlMapping_twdlAddrMap_next <= sub_temp_3(1);
WHEN OTHERS =>
sub_cast := signed(resize(Radix22TwdlMapping_twdlAddr_raw & '0', 10));
sub_temp := to_signed(16#018#, 10) - sub_cast;
Radix22TwdlMapping_twdlAddrMap_next <= sub_temp(1);
END CASE;
IF Radix22TwdlMapping_phase = to_unsigned(16#0#, 2) THEN
Radix22TwdlMapping_twdlAddr_raw_next <= to_unsigned(16#0#, 4);
ELSIF Radix22TwdlMapping_phase = to_unsigned(16#1#, 2) THEN
Radix22TwdlMapping_twdlAddr_raw_next <= resize(Radix22TwdlMapping_cnt, 4) sll 1;
ELSIF Radix22TwdlMapping_phase = to_unsigned(16#2#, 2) THEN
Radix22TwdlMapping_twdlAddr_raw_next <= resize(Radix22TwdlMapping_cnt, 4);
ELSE
cnt_cast := resize(Radix22TwdlMapping_cnt, 4);
Radix22TwdlMapping_twdlAddr_raw_next <= (cnt_cast sll 1) + cnt_cast;
END IF;
Radix22TwdlMapping_phase_next <= to_unsigned(16#0#, 2);
Radix22TwdlMapping_cnt_next <= Radix22TwdlMapping_cnt + to_unsigned(16#000000010#, 2);
twdlAddr <= Radix22TwdlMapping_twdlAddrMap;
twdlAddrVld <= Radix22TwdlMapping_dvldReg2;
twdlOctant <= Radix22TwdlMapping_octantReg1;
twdl45 <= Radix22TwdlMapping_twdl45Reg;
END PROCESS Radix22TwdlMapping_output;
-- Twiddle ROM1
Twiddle_re_cast <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & twdlAddr;
twiddleS_re <= Twiddle_re_table_data(to_integer(Twiddle_re_cast));
TWIDDLEROM_RE_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
twiddleReg_re <= to_signed(16#00000#, 17);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
twiddleReg_re <= twiddleS_re;
END IF;
END IF;
END PROCESS TWIDDLEROM_RE_process;
-- Twiddle ROM2
Twiddle_im_cast <= '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & '0' & twdlAddr;
twiddleS_im <= Twiddle_im_table_data(to_integer(Twiddle_im_cast));
TWIDDLEROM_IM_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
twiddleReg_im <= to_signed(16#00000#, 17);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
twiddleReg_im <= twiddleS_im;
END IF;
END IF;
END PROCESS TWIDDLEROM_IM_process;
intdelay_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
twdlOctantReg <= to_unsigned(16#0#, 3);
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
twdlOctantReg <= twdlOctant;
END IF;
END IF;
END PROCESS intdelay_process;
intdelay_1_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
twdl45Reg <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
twdl45Reg <= twdl45;
END IF;
END IF;
END PROCESS intdelay_1_process;
-- Radix22TwdlOctCorr
Radix22TwdlOctCorr_output : PROCESS (twiddleReg_re, twiddleReg_im, twdlOctantReg, twdl45Reg)
VARIABLE twdlIn_re : signed(16 DOWNTO 0);
VARIABLE twdlIn_im : signed(16 DOWNTO 0);
VARIABLE cast : signed(17 DOWNTO 0);
VARIABLE cast_0 : signed(17 DOWNTO 0);
VARIABLE cast_1 : signed(17 DOWNTO 0);
VARIABLE cast_2 : signed(17 DOWNTO 0);
VARIABLE cast_3 : signed(17 DOWNTO 0);
VARIABLE cast_4 : signed(17 DOWNTO 0);
VARIABLE cast_5 : signed(17 DOWNTO 0);
VARIABLE cast_6 : signed(17 DOWNTO 0);
VARIABLE cast_7 : signed(17 DOWNTO 0);
VARIABLE cast_8 : signed(17 DOWNTO 0);
VARIABLE cast_9 : signed(17 DOWNTO 0);
VARIABLE cast_10 : signed(17 DOWNTO 0);
BEGIN
twdlIn_re := twiddleReg_re;
twdlIn_im := twiddleReg_im;
IF twdl45Reg = '1' THEN
CASE twdlOctantReg IS
WHEN "000" =>
twdlIn_re := to_signed(16#05A82#, 17);
twdlIn_im := to_signed(-16#05A82#, 17);
WHEN "010" =>
twdlIn_re := to_signed(-16#05A82#, 17);
twdlIn_im := to_signed(-16#05A82#, 17);
WHEN "100" =>
twdlIn_re := to_signed(-16#05A82#, 17);
twdlIn_im := to_signed(16#05A82#, 17);
WHEN OTHERS =>
twdlIn_re := to_signed(16#05A82#, 17);
twdlIn_im := to_signed(-16#05A82#, 17);
END CASE;
ELSE
CASE twdlOctantReg IS
WHEN "000" =>
NULL;
WHEN "001" =>
cast := resize(twiddleReg_im, 18);
cast_0 := - (cast);
twdlIn_re := cast_0(16 DOWNTO 0);
cast_5 := resize(twiddleReg_re, 18);
cast_6 := - (cast_5);
twdlIn_im := cast_6(16 DOWNTO 0);
WHEN "010" =>
twdlIn_re := twiddleReg_im;
cast_7 := resize(twiddleReg_re, 18);
cast_8 := - (cast_7);
twdlIn_im := cast_8(16 DOWNTO 0);
WHEN "011" =>
cast_1 := resize(twiddleReg_re, 18);
cast_2 := - (cast_1);
twdlIn_re := cast_2(16 DOWNTO 0);
twdlIn_im := twiddleReg_im;
WHEN "100" =>
cast_3 := resize(twiddleReg_re, 18);
cast_4 := - (cast_3);
twdlIn_re := cast_4(16 DOWNTO 0);
cast_9 := resize(twiddleReg_im, 18);
cast_10 := - (cast_9);
twdlIn_im := cast_10(16 DOWNTO 0);
WHEN OTHERS =>
twdlIn_re := twiddleReg_im;
twdlIn_im := twiddleReg_re;
END CASE;
END IF;
twdl_3_4_re_tmp <= twdlIn_re;
twdl_3_4_im_tmp <= twdlIn_im;
END PROCESS Radix22TwdlOctCorr_output;
twdl_3_4_re <= std_logic_vector(twdl_3_4_re_tmp);
twdl_3_4_im <= std_logic_vector(twdl_3_4_im_tmp);
intdelay_2_process : PROCESS (clk, reset)
BEGIN
IF reset = '1' THEN
twdl_3_4_vld <= '0';
ELSIF clk'EVENT AND clk = '1' THEN
IF enb = '1' THEN
twdl_3_4_vld <= twdlAddrVld;
END IF;
END IF;
END PROCESS intdelay_2_process;
END rtl;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
g86+w1EDqkH55h3Phg1cBsd/30gpVAefjnMZrkQOt8wkL0JSclp78L+cxzo2VUagK4qLQ/M4oeSg
72/Z7wkgLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ya9gadlpf6wN/RVrEx3XLHKOR9to24rxJWV0IbMFp94MiSKpGcLHh+RuDJ6Ickp+nzXWuki4YYFO
6KKIpsA1ubLEEWDGV6sUQbRXLWYd4JxATnwaVtcMY5GKwT2kKEU7a2tN8IR+f4n+b02tqsGfob11
b9yGDFUo81Few/+BR2A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LRyUHZWmhO+6Dc+bqT5sXgQZ3pNikgfxj1Sb7hWUlsjmi2qNoiSE7/EL2/gbouT4mn4Arb42khaE
whKfowzhqFMh5xANyAvK0XU+C/qihy/56debHx9BLMECPriSKFuY7637e/O/TE+I2wNUoAFRTrh4
G8BIvMicuGWmBhSZZ07959LInqIdE+YRVUyNzt0GTABFUfuw7/rwfqHPsMZUVayhnRRYfJ+piV+3
Ne2xQsPvl5ytI7bBr6sDsfBXYwYlH8GEfFUzBAlADdLP0L41O4Rrzps+Uuhjw14AQo/44WWGJGav
+EGJ7Kpsn1uWxQ34Gvp5yzs6QajHpK40vbk55g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
E/bdDJeXibOIrZCRxpN3N6R8ckd2Oukno7jCQpmC2R6DgUvsyRs4B+3s94zm+MFeyrpjwykVuWml
rdjV2rNQMUrLAfyc3OW5FMJDIQ1XsUUTXCHgUpLS7KV01LTle03SBC5aGKE8SU7ZwYXBQf6rBmzi
/wJcIyM9N20xRfezJRc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FLLP3KSxgwbORoyJqLL9l0mGzVYvVTwBPy4HbRo3DSxd6WAjh1peIBGjCt8WX2J7iWh1uc+7LaZk
lmzrxMkZ0VBpeBbpUAxcBQ8SefccV/tXQf0rP8W1QhnrdlbCtkxRMDDjwdRJ4bM+4hS/iF5MsqcA
k03H8SBLVvAay1YBSO4rueftsBvatFTLweFU5kp+Ag9Uk8sl/fcZ4zIIp2s/Xz+lv+o852gdQKOi
5adg0VqtvxxOIk4/Q/8kkqTwxam5BC1PI8CGiIGWCGBU5bZU+ENhSYtQYvkPd84pUVjCGf9fK/wG
fXncNhZAXgYim4Aa0LVpjWTrJSjnJqsGTJ73oQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14112)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
g86+w1EDqkH55h3Phg1cBsd/30gpVAefjnMZrkQOt8wkL0JSclp78L+cxzo2VUagK4qLQ/M4oeSg
72/Z7wkgLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ya9gadlpf6wN/RVrEx3XLHKOR9to24rxJWV0IbMFp94MiSKpGcLHh+RuDJ6Ickp+nzXWuki4YYFO
6KKIpsA1ubLEEWDGV6sUQbRXLWYd4JxATnwaVtcMY5GKwT2kKEU7a2tN8IR+f4n+b02tqsGfob11
b9yGDFUo81Few/+BR2A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LRyUHZWmhO+6Dc+bqT5sXgQZ3pNikgfxj1Sb7hWUlsjmi2qNoiSE7/EL2/gbouT4mn4Arb42khaE
whKfowzhqFMh5xANyAvK0XU+C/qihy/56debHx9BLMECPriSKFuY7637e/O/TE+I2wNUoAFRTrh4
G8BIvMicuGWmBhSZZ07959LInqIdE+YRVUyNzt0GTABFUfuw7/rwfqHPsMZUVayhnRRYfJ+piV+3
Ne2xQsPvl5ytI7bBr6sDsfBXYwYlH8GEfFUzBAlADdLP0L41O4Rrzps+Uuhjw14AQo/44WWGJGav
+EGJ7Kpsn1uWxQ34Gvp5yzs6QajHpK40vbk55g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
E/bdDJeXibOIrZCRxpN3N6R8ckd2Oukno7jCQpmC2R6DgUvsyRs4B+3s94zm+MFeyrpjwykVuWml
rdjV2rNQMUrLAfyc3OW5FMJDIQ1XsUUTXCHgUpLS7KV01LTle03SBC5aGKE8SU7ZwYXBQf6rBmzi
/wJcIyM9N20xRfezJRc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FLLP3KSxgwbORoyJqLL9l0mGzVYvVTwBPy4HbRo3DSxd6WAjh1peIBGjCt8WX2J7iWh1uc+7LaZk
lmzrxMkZ0VBpeBbpUAxcBQ8SefccV/tXQf0rP8W1QhnrdlbCtkxRMDDjwdRJ4bM+4hS/iF5MsqcA
k03H8SBLVvAay1YBSO4rueftsBvatFTLweFU5kp+Ag9Uk8sl/fcZ4zIIp2s/Xz+lv+o852gdQKOi
5adg0VqtvxxOIk4/Q/8kkqTwxam5BC1PI8CGiIGWCGBU5bZU+ENhSYtQYvkPd84pUVjCGf9fK/wG
fXncNhZAXgYim4Aa0LVpjWTrJSjnJqsGTJ73oQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14112)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
g86+w1EDqkH55h3Phg1cBsd/30gpVAefjnMZrkQOt8wkL0JSclp78L+cxzo2VUagK4qLQ/M4oeSg
72/Z7wkgLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Ya9gadlpf6wN/RVrEx3XLHKOR9to24rxJWV0IbMFp94MiSKpGcLHh+RuDJ6Ickp+nzXWuki4YYFO
6KKIpsA1ubLEEWDGV6sUQbRXLWYd4JxATnwaVtcMY5GKwT2kKEU7a2tN8IR+f4n+b02tqsGfob11
b9yGDFUo81Few/+BR2A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LRyUHZWmhO+6Dc+bqT5sXgQZ3pNikgfxj1Sb7hWUlsjmi2qNoiSE7/EL2/gbouT4mn4Arb42khaE
whKfowzhqFMh5xANyAvK0XU+C/qihy/56debHx9BLMECPriSKFuY7637e/O/TE+I2wNUoAFRTrh4
G8BIvMicuGWmBhSZZ07959LInqIdE+YRVUyNzt0GTABFUfuw7/rwfqHPsMZUVayhnRRYfJ+piV+3
Ne2xQsPvl5ytI7bBr6sDsfBXYwYlH8GEfFUzBAlADdLP0L41O4Rrzps+Uuhjw14AQo/44WWGJGav
+EGJ7Kpsn1uWxQ34Gvp5yzs6QajHpK40vbk55g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
E/bdDJeXibOIrZCRxpN3N6R8ckd2Oukno7jCQpmC2R6DgUvsyRs4B+3s94zm+MFeyrpjwykVuWml
rdjV2rNQMUrLAfyc3OW5FMJDIQ1XsUUTXCHgUpLS7KV01LTle03SBC5aGKE8SU7ZwYXBQf6rBmzi
/wJcIyM9N20xRfezJRc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FLLP3KSxgwbORoyJqLL9l0mGzVYvVTwBPy4HbRo3DSxd6WAjh1peIBGjCt8WX2J7iWh1uc+7LaZk
lmzrxMkZ0VBpeBbpUAxcBQ8SefccV/tXQf0rP8W1QhnrdlbCtkxRMDDjwdRJ4bM+4hS/iF5MsqcA
k03H8SBLVvAay1YBSO4rueftsBvatFTLweFU5kp+Ag9Uk8sl/fcZ4zIIp2s/Xz+lv+o852gdQKOi
5adg0VqtvxxOIk4/Q/8kkqTwxam5BC1PI8CGiIGWCGBU5bZU+ENhSYtQYvkPd84pUVjCGf9fK/wG
fXncNhZAXgYim4Aa0LVpjWTrJSjnJqsGTJ73oQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14112)
`protect data_block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`protect end_protected
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc209.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s01b00x00p09n01i00209ent IS
END c03s01b00x00p09n01i00209ent;
ARCHITECTURE c03s01b00x00p09n01i00209arch OF c03s01b00x00p09n01i00209ent IS
type CLSI is (Jasmine, Jim, Milan, Paul, Saurin);
constant x: CLSI := Jasmine;
constant y: CLSI := Saurin;
subtype People is CLSI range y downto x;
BEGIN
TESTING: PROCESS
variable k : People;
BEGIN
k := Jim;
assert NOT(k=Jim)
report "***PASSED TEST: c03s01b00x00p09n01i00209"
severity NOTE;
assert (k=Jim)
report "***FAILED TEST: c03s01b00x00p09n01i00209 - Constraints for the subtype declaration must match the base type of integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s01b00x00p09n01i00209arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc209.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s01b00x00p09n01i00209ent IS
END c03s01b00x00p09n01i00209ent;
ARCHITECTURE c03s01b00x00p09n01i00209arch OF c03s01b00x00p09n01i00209ent IS
type CLSI is (Jasmine, Jim, Milan, Paul, Saurin);
constant x: CLSI := Jasmine;
constant y: CLSI := Saurin;
subtype People is CLSI range y downto x;
BEGIN
TESTING: PROCESS
variable k : People;
BEGIN
k := Jim;
assert NOT(k=Jim)
report "***PASSED TEST: c03s01b00x00p09n01i00209"
severity NOTE;
assert (k=Jim)
report "***FAILED TEST: c03s01b00x00p09n01i00209 - Constraints for the subtype declaration must match the base type of integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s01b00x00p09n01i00209arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc209.vhd,v 1.2 2001-10-26 16:29:45 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c03s01b00x00p09n01i00209ent IS
END c03s01b00x00p09n01i00209ent;
ARCHITECTURE c03s01b00x00p09n01i00209arch OF c03s01b00x00p09n01i00209ent IS
type CLSI is (Jasmine, Jim, Milan, Paul, Saurin);
constant x: CLSI := Jasmine;
constant y: CLSI := Saurin;
subtype People is CLSI range y downto x;
BEGIN
TESTING: PROCESS
variable k : People;
BEGIN
k := Jim;
assert NOT(k=Jim)
report "***PASSED TEST: c03s01b00x00p09n01i00209"
severity NOTE;
assert (k=Jim)
report "***FAILED TEST: c03s01b00x00p09n01i00209 - Constraints for the subtype declaration must match the base type of integer."
severity ERROR;
wait;
END PROCESS TESTING;
END c03s01b00x00p09n01i00209arch;
|
----------------------------------------------------------------------------------
-- Felix Winterstein, Imperial College London
--
-- Module Name: memory_mgmt - Behavioral
--
-- Revision 1.01
-- Additional Comments: distributed under a BSD license, see LICENSE.txt
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use ieee.math_real.all;
use work.lloyds_algorithm_pkg.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity memory_mgmt is
port (
clk : in std_logic;
sclr : in std_logic;
rd : in std_logic;
rd_node_addr : in node_address_type;
k : in centre_index_type;
wr_init_node : in std_logic;
wr_node_address_init : in node_address_type;
wr_node_data_init : in node_data_type;
wr_init_pos : in std_logic;
wr_centre_list_pos_address_init : in centre_index_type;
wr_centre_list_pos_data_init : in data_type;
valid : out std_logic_vector(0 to PARALLEL_UNITS-1);
rd_node_data : out par_node_data_type;
rd_centre_list_pos_data : out par_data_type
);
end memory_mgmt;
architecture Behavioral of memory_mgmt is
constant MEM_LAT : integer := 2;
type rd_state_type is (idle, reading_centre_list);
type pos_addr_delay_type is array(1 to PARALLEL_UNITS-1) of centre_index_type;
component node_memory
port (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(NODE_POINTER_BITWIDTH-1 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(D*COORD_BITWIDTH-1 DOWNTO 0);
clkb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(NODE_POINTER_BITWIDTH-1 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(D*COORD_BITWIDTH-1 DOWNTO 0)
);
end component;
component centre_positions_memory_top
port (
clk : in std_logic;
wea : in std_logic_vector(0 to PARALLEL_UNITS-1);
addra : in par_centre_index_type;
dina : in par_data_type;
addrb : in par_centre_index_type;
doutb : out par_data_type
);
end component;
signal rd_state : rd_state_type;
signal rd_counter_done : std_logic;
signal rd_counter : centre_index_type;
signal reading_centres : std_logic;
signal delay_line : std_logic_vector(0 to MEM_LAT+PARALLEL_UNITS-1-1);
signal rd_k_reg : centre_index_type;
signal rd_node_address_reg : node_address_type;
signal wr_node_reg : std_logic;
signal wr_node_address_reg : node_address_type;
signal wr_node_data_reg : node_data_type;
signal tmp_wr_node_address : std_logic_vector(NODE_POINTER_BITWIDTH-1 downto 0);
signal tmp_wr_node_data_in : std_logic_vector(D*COORD_BITWIDTH-1 downto 0);
signal tmp_rd_node_data_out : std_logic_vector(D*COORD_BITWIDTH-1 downto 0);
signal tmp_rd_node_address : std_logic_vector(NODE_POINTER_BITWIDTH-1 downto 0);
signal wr_pos_reg : std_logic;
signal wr_pos_address_reg : centre_index_type;
signal wr_pos_data_reg : data_type;
signal tmp_wr_centre_list_pos_data_init : std_logic_vector(D*COORD_BITWIDTH-1 downto 0);
signal tmp_centre_pos_out : std_logic_vector(D*COORD_BITWIDTH-1 downto 0);
signal pos_wea : std_logic_vector(0 to PARALLEL_UNITS-1);
signal pos_wr_address : par_centre_index_type;
signal pos_wr_data : par_data_type;
signal pos_rd_address : par_centre_index_type;
signal pos_rd_data : par_data_type;
signal pos_addr_delay : pos_addr_delay_type;
begin
--writing to memories
-- delay buffer wr input by one cycle due to state machine
wr_input_reg_proc : process(clk)
begin
if rising_edge(clk) then
if sclr = '1' then
wr_node_reg <= '0';
wr_pos_reg <= '0';
else
wr_node_reg <= wr_init_node;
wr_pos_reg <= wr_init_pos;
end if;
wr_node_address_reg <= wr_node_address_init;
wr_node_data_reg <= wr_node_data_init;
wr_pos_address_reg <= wr_centre_list_pos_address_init;
wr_pos_data_reg <= wr_centre_list_pos_data_init;
end if;
end process wr_input_reg_proc;
tmp_wr_node_address <= std_logic_vector(wr_node_address_reg);
tmp_wr_node_data_in <= nodedata_2_stdlogic(wr_node_data_reg);
tmp_wr_centre_list_pos_data_init <= datapoint_2_stdlogic(wr_pos_data_reg);
-- reading memories
fsm_proc : process(clk)
begin
if rising_edge(clk) then
if sclr = '1' then
rd_state <= idle;
elsif rd_state = idle AND rd = '1' then
rd_state <= reading_centre_list;
elsif rd_state = reading_centre_list AND rd_counter_done = '1' then
rd_state <= idle;
end if;
end if;
end process fsm_proc;
counter_proc : process(clk)
begin
if rising_edge(clk) then
if sclr = '1' then
rd_counter <= (others => '0');
else
if rd_state <= idle then
rd_counter <= (others => '0');
else
rd_counter <= rd_counter+1;
end if;
end if;
end if;
end process counter_proc;
rd_counter_done <= '1' WHEN rd_counter = rd_k_reg AND rd_state = reading_centre_list ELSE '0';
addr_reg_proc : process(clk)
begin
if rising_edge(clk) then
if rd = '1' then
rd_node_address_reg <= rd_node_addr;
rd_k_reg <= k;
end if;
end if;
end process addr_reg_proc;
tmp_rd_node_address <= std_logic_vector(rd_node_address_reg);
node_memory_inst : node_memory
port map(
clka => clk,
wea(0) => wr_node_reg,
addra => tmp_wr_node_address,
dina => tmp_wr_node_data_in,
clkb => clk,
addrb => tmp_rd_node_address,
doutb => tmp_rd_node_data_out
);
G_PAR_0 : for I in 0 to PARALLEL_UNITS-1 generate
rd_node_data(I) <= stdlogic_2_nodedata(tmp_rd_node_data_out);
end generate G_PAR_0;
G_PAR_1 : for I in 0 to PARALLEL_UNITS-1 generate
pos_wea(I) <= wr_pos_reg;
pos_wr_address(I) <= wr_pos_address_reg;
pos_wr_data(I) <= wr_pos_data_reg;
end generate G_PAR_1;
G_PAR_1_1 : if PARALLEL_UNITS > 1 generate
pos_addr_delay_proc : process(clk)
begin
if rising_edge(clk) then
pos_addr_delay(1) <= rd_counter;
pos_addr_delay(2 to PARALLEL_UNITS-1) <= pos_addr_delay(1 to PARALLEL_UNITS-2);
end if;
end process pos_addr_delay_proc;
end generate G_PAR_1_1;
pos_rd_address(0) <= rd_counter;
G_PAR_2 : for I in 1 to PARALLEL_UNITS-1 generate
pos_rd_address(I) <= pos_addr_delay(I);
end generate G_PAR_2;
centre_positions_memory_top_inst : centre_positions_memory_top
port map (
clk => clk,
wea => pos_wea,
addra => pos_wr_address,
dina => pos_wr_data,
addrb => pos_rd_address,
doutb => pos_rd_data
);
reading_centres <= '1' WHEN rd_state = reading_centre_list ELSE '0';
dely_line_proc : process(clk)
begin
if rising_edge(clk) then
if sclr = '1' then
delay_line <= (others => '0');
else
delay_line(0) <= reading_centres;
delay_line(1 to MEM_LAT+PARALLEL_UNITS-1-1) <= delay_line(0 to MEM_LAT+PARALLEL_UNITS-1-2);
end if;
end if;
end process dely_line_proc;
G_PAR_3 : for I in 0 to PARALLEL_UNITS-1 generate
valid(I) <= delay_line(MEM_LAT+I-1);
rd_centre_list_pos_data(I) <= pos_rd_data(I);
end generate G_PAR_3;
end Behavioral;
|
library ieee;
use ieee.std_logic_1164.all;
-- Dummy sld_virtual_jtag - ModelSim crashes on default one
entity sld_virtual_jtag is
generic (
lpm_type : string := "SLD_VIRTUAL_JTAG";
-- required by coding standard
lpm_hint : string := "SLD_VIRTUAL_JTAG"; -- required by coding standard
sld_auto_instance_index : string := "NO";
-- Yes of auto index is desired and no otherwise
sld_instance_index : integer := 0;
-- Index to be used if SLD_AUTO_INSTANCE_INDEX is no
sld_ir_width : integer := 1;
-- the width of the IR register
sld_sim_n_scan : integer := 0;
-- the number of scans in the simulation model
sld_sim_total_length : integer := 0;
-- the total bit width of all DR scan values
sld_sim_action : string := "");
-- the actions to be simulated in a format specified by the documentation
port (
tdo : in std_logic := '0'; -- tdo signal into megafunction
ir_out : in std_logic_vector(sld_ir_width - 1 downto 0) := (others => '0');
-- parallel ir data into megafunction
tck : out std_logic; -- tck signal from megafunction
tdi : out std_logic; -- tdi signal from megafunction
ir_in : out std_logic_vector(sld_ir_width - 1 downto 0);
-- paraller ir data from megafunction
virtual_state_cdr : out std_logic; -- cdr state signal of megafunction
virtual_state_sdr : out std_logic; -- sdr state signal of megafunction
virtual_state_e1dr : out std_logic;
-- e1dr state signal of megafunction
virtual_state_pdr : out std_logic; -- pdr state signal of megafunction
virtual_state_e2dr : out std_logic;
-- e2dr state signal of megafunction
virtual_state_udr : out std_logic; -- udr state signal of megafunction
virtual_state_cir : out std_logic; -- cir state signal of megafunction
virtual_state_uir : out std_logic; -- uir state signal of megafunction
jtag_state_tlr : out std_logic; -- Test, Logic, Reset state
jtag_state_rti : out std_logic; -- Run, Test, Idle state
jtag_state_sdrs : out std_logic; -- Select DR scan state
jtag_state_cdr : out std_logic; -- capture DR state
jtag_state_sdr : out std_logic; -- Shift DR state
jtag_state_e1dr : out std_logic; -- exit 1 dr state
jtag_state_pdr : out std_logic; -- pause dr state
jtag_state_e2dr : out std_logic; -- exit 2 dr state
jtag_state_udr : out std_logic; -- update dr state
jtag_state_sirs : out std_logic; -- Select IR scan state
jtag_state_cir : out std_logic; -- capture IR state
jtag_state_sir : out std_logic; -- shift IR state
jtag_state_e1ir : out std_logic; -- exit 1 IR state
jtag_state_pir : out std_logic; -- pause IR state
jtag_state_e2ir : out std_logic; -- exit 2 IR state
jtag_state_uir : out std_logic; -- update IR state
tms : out std_logic); -- tms signal
end sld_virtual_jtag;
architecture structural of sld_virtual_jtag is
begin -- structural
-- dummy drivers to avoid modelsim warnings
tck <= '0';
tdi <= '0';
ir_in <= (others => '0');
virtual_state_cdr <= '0';
virtual_state_sdr <= '0';
virtual_state_udr <= '0';
end structural;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ae07dh/q/7d1V36w1oQDLQuWarSrTVHIeyDKiFhfhGPgkCsAgXj96F8sZbR9r+lFFXgjgiFyHtot
Esgww7uZcA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H/ILCihPPVgla9TFN/d7mzYCuq6YtOAXigydNsepHAAKU7KLOIL/Hun9DwnanrCp6aoFetp8yELk
kl2i/KnEXFrv3BMETS5A3g45peMpUMni7jxzotFKcskwvImE/zN0a5mAhJQ7dTN7UbJERqaPx9pG
6kzy3RQzezSNKhFuHlg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jifeMsEnlkTvQZwt4n5JpdJVNPedVT186sPNHqn3IvQlUifcyxZ/DF7/A9t7t8+tLZytqrJfb29r
zIYzgGGSyO8v0o4XL4Epi25Sx++j7QdROofaW/INn42T/6nomOzC37u2i8T56evRdtWftBDpuX3u
iN4iiAb8IPMr6NBXtJeAzRNxO7nlt2RP12yUgEa1f5WgivLePXoMEtXXfBErx8YDxzXzSzlEBxmk
/fi8J6wS217SY1pB6Iw7PK1w/wlelJGZvnZdExKMmtSTnrs3W3QM1o5MLibAuJ0UOQESlsq1dYiH
2HYQ7AvTT1/A5xiqyBHDj2VToM63rH1WCDd0TQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SRkYv99g1cmA4Bl27dHOCoHm16vzyGbgV5KyJvHAq+LD7a8x4cbndGQHRnwea0JvgXRo1PIVjWFr
ESgywqWTTbBR6nKgnpq6MRFGNM7YxwuB+Am5iQU3l9Y3Y3HRWO2XAnOFMazfZYNF23Ty0Al3U4qD
RzbyWZ/2xMdzeStnWjM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QSVaTAuHyz8n9VGXH2K1ReL1XTd+oGOBi5gKKyrDpTBaXuoglmdLtrKNStHJZTW6LTKCYfdAICXr
PRjBMPymSzP//uMvR8xtNY/l2iBwHC2guyNBiKIAs9e3Vb9pdpYthmCD2N3vdQqvGzjqH+8f/Exh
qHq7bPH43qoMQvdyiUbmowc1xGrLBHX/0FnNcae6x0yPk6MYgu7qaDT1oQrUZ67hcrM6MzVUO/CM
8gDEkijhfY/JKQ3l2T1zTeJeAVz5QhIVoM69yOfrUmOd8NKK9eT6VMgohe/AIx4uuaZPMx0f2NOc
O3aVoQLafGlJaZLRLs9lzIkdmAfcmZt0Dq3VIA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9744)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ae07dh/q/7d1V36w1oQDLQuWarSrTVHIeyDKiFhfhGPgkCsAgXj96F8sZbR9r+lFFXgjgiFyHtot
Esgww7uZcA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
H/ILCihPPVgla9TFN/d7mzYCuq6YtOAXigydNsepHAAKU7KLOIL/Hun9DwnanrCp6aoFetp8yELk
kl2i/KnEXFrv3BMETS5A3g45peMpUMni7jxzotFKcskwvImE/zN0a5mAhJQ7dTN7UbJERqaPx9pG
6kzy3RQzezSNKhFuHlg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jifeMsEnlkTvQZwt4n5JpdJVNPedVT186sPNHqn3IvQlUifcyxZ/DF7/A9t7t8+tLZytqrJfb29r
zIYzgGGSyO8v0o4XL4Epi25Sx++j7QdROofaW/INn42T/6nomOzC37u2i8T56evRdtWftBDpuX3u
iN4iiAb8IPMr6NBXtJeAzRNxO7nlt2RP12yUgEa1f5WgivLePXoMEtXXfBErx8YDxzXzSzlEBxmk
/fi8J6wS217SY1pB6Iw7PK1w/wlelJGZvnZdExKMmtSTnrs3W3QM1o5MLibAuJ0UOQESlsq1dYiH
2HYQ7AvTT1/A5xiqyBHDj2VToM63rH1WCDd0TQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SRkYv99g1cmA4Bl27dHOCoHm16vzyGbgV5KyJvHAq+LD7a8x4cbndGQHRnwea0JvgXRo1PIVjWFr
ESgywqWTTbBR6nKgnpq6MRFGNM7YxwuB+Am5iQU3l9Y3Y3HRWO2XAnOFMazfZYNF23Ty0Al3U4qD
RzbyWZ/2xMdzeStnWjM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QSVaTAuHyz8n9VGXH2K1ReL1XTd+oGOBi5gKKyrDpTBaXuoglmdLtrKNStHJZTW6LTKCYfdAICXr
PRjBMPymSzP//uMvR8xtNY/l2iBwHC2guyNBiKIAs9e3Vb9pdpYthmCD2N3vdQqvGzjqH+8f/Exh
qHq7bPH43qoMQvdyiUbmowc1xGrLBHX/0FnNcae6x0yPk6MYgu7qaDT1oQrUZ67hcrM6MzVUO/CM
8gDEkijhfY/JKQ3l2T1zTeJeAVz5QhIVoM69yOfrUmOd8NKK9eT6VMgohe/AIx4uuaZPMx0f2NOc
O3aVoQLafGlJaZLRLs9lzIkdmAfcmZt0Dq3VIA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9744)
`protect data_block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`protect end_protected
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for struct of vgca_tb
--
-- Generated
-- by: wig
-- on: Thu Feb 10 19:03:15 2005
-- cmd: H:/work/eclipse/MIX/mix_0.pl -strip -nodelta ../../bugver.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: vgca_tb-struct-a.vhd,v 1.2 2005/04/14 06:53:00 wig Exp $
-- $Date: 2005/04/14 06:53:00 $
-- $Log: vgca_tb-struct-a.vhd,v $
-- Revision 1.2 2005/04/14 06:53:00 wig
-- Updates: fixed import errors and adjusted I2C parser
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.49 2005/01/27 08:20:30 wig Exp
--
-- Generator: mix_0.pl Revision: 1.33 , [email protected]
-- (C) 2003 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture struct of vgca_tb
--
architecture struct of vgca_tb is
-- Generated Constant Declarations
--
-- Components
--
-- Generated Components
component vgca --
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
--
-- Nets
--
--
-- Generated Signal List
--
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
-- Generated Signal Assignments
--
-- Generated Instances
--
-- Generated Instances and Port Mappings
-- Generated Instance Port Map for dut
dut: vgca
;
-- End of Generated Instance Port Map for dut
end struct;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 00:08:33 11/16/2015
-- Design Name:
-- Module Name: control - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_unsigned.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity control is
Port ( clk : in STD_LOGIC;
start : in STD_LOGIC;
round : out STD_LOGIC_VECTOR(3 downto 0);
ready : out STD_LOGIC;
en : out STD_LOGIC;
s : out STD_LOGIC);
end control;
architecture Behavioral of control is
signal fsm_start_sig : std_logic := '0';
signal round_sig : std_logic_vector(3 downto 0) := (others => '0');
signal start_before_sig : std_logic := '0';
begin
control_proc: process(clk, start)
variable ready_var : std_logic := '0';
variable s_var : std_logic := '0';
variable en_var : std_logic := '0';
variable counter_var : std_logic_vector(3 downto 0) := (others => '0');
begin
if(clk = '1' and clk'event) then
if(start = '1' and start_before_sig = '0') then
ready_var := '0';
s_var := '0';
en_var := '1';
counter_var := std_logic_vector(to_unsigned(0,4));
fsm_start_sig <= '1';
start_before_sig <= '1';
else if(start = '0' and fsm_start_sig = '0') then s_var := '1';
ready_var := '1';
counter_var := "1000";
end if;
end if;
if(fsm_start_sig = '1') then
if(round_sig = "0000") then s_var := '1';
end if;
counter_var := counter_var + 1;
if(round_sig = "0111") then
fsm_start_sig <= '0';
ready_var := '1';
en_var := '0';
start_before_sig <= '0';
else
fsm_start_sig <= '1';
ready_var := '0';
en_var := '1';
end if;
end if;
round <= counter_var;
round_sig <= counter_var;
ready <= ready_var;
en <= en_var;
s <= s_var;
end if;
end process control_proc;
end Behavioral;
------------------------------------------------------------------------------------
---- Company:
---- Engineer:
----
---- Create Date: 00:08:33 11/16/2015
---- Design Name:
---- Module Name: control - Behavioral
---- Project Name:
---- Target Devices:
---- Tool versions:
---- Description:
----
---- Dependencies:
----
---- Revision:
---- Revision 0.01 - File Created
---- Additional Comments:
----
------------------------------------------------------------------------------------
--library IEEE;
--use IEEE.STD_LOGIC_1164.ALL;
--use IEEE.std_logic_unsigned.all;
---- Uncomment the following library declaration if using
---- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
--
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
----library UNISIM;
----use UNISIM.VComponents.all;
--
--entity control is
-- Port ( clk : in STD_LOGIC;
-- start : in STD_LOGIC;
-- round : out STD_LOGIC_VECTOR(3 downto 0);
-- ready : out STD_LOGIC;
-- en : out STD_LOGIC;
-- s : out STD_LOGIC);
--end control;
--
--architecture Behavioral of control is
--
-- signal start_before_sig : std_logic := '0';
-- signal fsm_start_sig : std_logic := '0';
-- signal round_sig : std_logic_vector(3 downto 0) := (others => '0');
--
--begin
--
--control_proc: process(clk, start)
-- variable ready_var : std_logic := '0';
-- variable s_var : std_logic := '0';
-- variable en_var : std_logic := '0';
-- variable counter_var : std_logic_vector(3 downto 0) := (others => '0');
-- begin
--
-- if(clk = '1' and clk'event) then
-- if(start = '1') then
-- start_before_sig <= '1';
-- end if;
--
-- if(start_before_sig = '1' and start = '0') then
-- ready_var := '0';
-- s_var := '0';
-- en_var := '1';
-- counter_var := std_logic_vector(to_unsigned(0,4));
-- fsm_start_sig <= '1';
-- start_before_sig <= '0';
-- else if(fsm_start_sig = '0' and start_before_sig = '0') then s_var := '1';
-- ready_var := '1';
-- counter_var := "1000";
-- end if;
-- end if;
--
-- if(fsm_start_sig = '1') then
-- if(round_sig = "0000") then s_var := '1';
-- end if;
-- counter_var := counter_var + 1;
-- if(round_sig = "0111") then
-- fsm_start_sig <= '0';
-- ready_var := '1';
-- en_var := '0';
-- else
-- fsm_start_sig <= '1';
-- ready_var := '0';
-- en_var := '1';
-- end if;
-- end if;
--
-- round <= counter_var;
-- round_sig <= counter_var;
-- ready <= ready_var;
-- en <= en_var;
-- s <= s_var;
-- end if;
--
-- end process control_proc;
--
--end Behavioral;
--
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Tue Jun 06 02:48:32 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/ZyboIP/examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_vga_sync_reset_0_0/system_vga_sync_reset_0_0_stub.vhdl
-- Design : system_vga_sync_reset_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_vga_sync_reset_0_0 is
Port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
active : out STD_LOGIC;
hsync : out STD_LOGIC;
vsync : out STD_LOGIC;
xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 );
yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 )
);
end system_vga_sync_reset_0_0;
architecture stub of system_vga_sync_reset_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,rst,active,hsync,vsync,xaddr[9:0],yaddr[9:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "vga_sync_reset,Vivado 2016.4";
begin
end;
|
--------------------------------------------------------------------------------
-- --
-- CERN BE-CO-HT GN4124 core for PCIe FMC carrier --
-- http://www.ohwr.org/projects/gn4124-core --
--------------------------------------------------------------------------------
--
-- unit name: DMA controller (dma_controller.vhd)
--
-- authors: Simon Deprez ([email protected])
-- Matthieu Cattin ([email protected])
--
-- date: 31-08-2010
--
-- version: 0.2
--
-- description: Manages the DMA transfers.
--
--
-- dependencies:
--
--------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: 30-09-2010 (mcattin) Add status, error and abort
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use work.wshexp_core_pkg.all;
entity dma_controller is
port
(
---------------------------------------------------------
-- GN4124 core clock and reset
clk_i : in std_logic;
rst_n_i : in std_logic;
---------------------------------------------------------
-- Interrupt request
dma_ctrl_irq_o : out std_logic_vector(1 downto 0);
---------------------------------------------------------
-- To the L2P DMA master and P2L DMA master
dma_ctrl_carrier_addr_o : out std_logic_vector(31 downto 0);
dma_ctrl_host_addr_h_o : out std_logic_vector(31 downto 0);
dma_ctrl_host_addr_l_o : out std_logic_vector(31 downto 0);
dma_ctrl_len_o : out std_logic_vector(31 downto 0);
dma_ctrl_start_l2p_o : out std_logic; -- To the L2P DMA master
dma_ctrl_start_p2l_o : out std_logic; -- To the P2L DMA master
dma_ctrl_start_next_o : out std_logic; -- To the P2L DMA master
dma_ctrl_byte_swap_o : out std_logic_vector(1 downto 0);
dma_ctrl_abort_o : out std_logic;
dma_ctrl_done_i : in std_logic;
dma_ctrl_error_i : in std_logic;
---------------------------------------------------------
-- From P2L DMA master
next_item_carrier_addr_i : in std_logic_vector(31 downto 0);
next_item_host_addr_h_i : in std_logic_vector(31 downto 0);
next_item_host_addr_l_i : in std_logic_vector(31 downto 0);
next_item_len_i : in std_logic_vector(31 downto 0);
next_item_next_l_i : in std_logic_vector(31 downto 0);
next_item_next_h_i : in std_logic_vector(31 downto 0);
next_item_attrib_i : in std_logic_vector(31 downto 0);
next_item_valid_i : in std_logic;
---------------------------------------------------------
-- Wishbone slave interface
wb_clk_i : in std_logic; -- Bus clock
wb_adr_i : in std_logic_vector(3 downto 0); -- Adress
wb_dat_o : out std_logic_vector(31 downto 0); -- Data in
wb_dat_i : in std_logic_vector(31 downto 0); -- Data out
wb_sel_i : in std_logic_vector(3 downto 0); -- Byte select
wb_cyc_i : in std_logic; -- Read or write cycle
wb_stb_i : in std_logic; -- Read or write strobe
wb_we_i : in std_logic; -- Write
wb_ack_o : out std_logic; -- Acknowledge
---------------------------------------------------------
-- debug outputs
dma_ctrl_current_state_do : out std_logic_vector (2 downto 0);
dma_ctrl_do : out std_logic_vector(31 downto 0);
dma_stat_do : out std_logic_vector(31 downto 0);
dma_attrib_do : out std_logic_vector(31 downto 0)
);
end dma_controller;
architecture behaviour of dma_controller is
------------------------------------------------------------------------------
-- Wishbone slave component declaration
------------------------------------------------------------------------------
component dma_controller_wb_slave is
port (
rst_n_i : in std_logic;
wb_clk_i : in std_logic;
wb_addr_i : in std_logic_vector(3 downto 0);
wb_data_i : in std_logic_vector(31 downto 0);
wb_data_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
wb_sel_i : in std_logic_vector(3 downto 0);
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_ack_o : out std_logic;
clk_i : in std_logic;
-- Port for std_logic_vector field: 'DMA engine control' in reg: 'DMACTRLR'
dma_ctrl_o : out std_logic_vector(31 downto 0);
dma_ctrl_i : in std_logic_vector(31 downto 0);
dma_ctrl_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA engine status' in reg: 'DMASTATR'
dma_stat_o : out std_logic_vector(31 downto 0);
dma_stat_i : in std_logic_vector(31 downto 0);
dma_stat_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA start address in the carrier' in reg: 'DMACSTARTR'
dma_cstart_o : out std_logic_vector(31 downto 0);
dma_cstart_i : in std_logic_vector(31 downto 0);
dma_cstart_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA start address (low) in the host' in reg: 'DMAHSTARTLR'
dma_hstartl_o : out std_logic_vector(31 downto 0);
dma_hstartl_i : in std_logic_vector(31 downto 0);
dma_hstartl_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA start address (high) in the host' in reg: 'DMAHSTARTHR'
dma_hstarth_o : out std_logic_vector(31 downto 0);
dma_hstarth_i : in std_logic_vector(31 downto 0);
dma_hstarth_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA read length in bytes' in reg: 'DMALENR'
dma_len_o : out std_logic_vector(31 downto 0);
dma_len_i : in std_logic_vector(31 downto 0);
dma_len_load_o : out std_logic;
-- Port for std_logic_vector field: 'Pointer (low) to next item in list' in reg: 'DMANEXTLR'
dma_nextl_o : out std_logic_vector(31 downto 0);
dma_nextl_i : in std_logic_vector(31 downto 0);
dma_nextl_load_o : out std_logic;
-- Port for std_logic_vector field: 'Pointer (high) to next item in list' in reg: 'DMANEXTHR'
dma_nexth_o : out std_logic_vector(31 downto 0);
dma_nexth_i : in std_logic_vector(31 downto 0);
dma_nexth_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA chain control' in reg: 'DMAATTRIBR'
dma_attrib_o : out std_logic_vector(31 downto 0);
dma_attrib_i : in std_logic_vector(31 downto 0);
dma_attrib_load_o : out std_logic
);
end component dma_controller_wb_slave;
------------------------------------------------------------------------------
-- Constants declaration
------------------------------------------------------------------------------
constant c_IDLE : std_logic_vector(2 downto 0) := "000";
constant c_DONE : std_logic_vector(2 downto 0) := "001";
constant c_BUSY : std_logic_vector(2 downto 0) := "010";
constant c_ERROR : std_logic_vector(2 downto 0) := "011";
constant c_ABORT : std_logic_vector(2 downto 0) := "100";
------------------------------------------------------------------------------
-- Signals declaration
------------------------------------------------------------------------------
-- DMA controller registers
signal dma_ctrl : std_logic_vector(31 downto 0);
signal dma_stat : std_logic_vector(31 downto 0);
signal dma_cstart : std_logic_vector(31 downto 0);
signal dma_hstartl : std_logic_vector(31 downto 0);
signal dma_hstarth : std_logic_vector(31 downto 0);
signal dma_len : std_logic_vector(31 downto 0);
signal dma_nextl : std_logic_vector(31 downto 0);
signal dma_nexth : std_logic_vector(31 downto 0);
signal dma_attrib : std_logic_vector(31 downto 0);
signal dma_ctrl_load : std_logic;
signal dma_stat_load : std_logic;
signal dma_cstart_load : std_logic;
signal dma_hstartl_load : std_logic;
signal dma_hstarth_load : std_logic;
signal dma_len_load : std_logic;
signal dma_nextl_load : std_logic;
signal dma_nexth_load : std_logic;
signal dma_attrib_load : std_logic;
signal dma_ctrl_reg : std_logic_vector(31 downto 0);
signal dma_stat_reg : std_logic_vector(31 downto 0);
signal dma_cstart_reg : std_logic_vector(31 downto 0);
signal dma_hstartl_reg : std_logic_vector(31 downto 0);
signal dma_hstarth_reg : std_logic_vector(31 downto 0);
signal dma_len_reg : std_logic_vector(31 downto 0);
signal dma_nextl_reg : std_logic_vector(31 downto 0);
signal dma_nexth_reg : std_logic_vector(31 downto 0);
signal dma_attrib_reg : std_logic_vector(31 downto 0);
-- DMA controller FSM
type dma_ctrl_state_type is (DMA_IDLE, DMA_START_TRANSFER, DMA_TRANSFER,
DMA_START_CHAIN, DMA_CHAIN,
DMA_ERROR, DMA_ABORT);
signal dma_ctrl_current_state : dma_ctrl_state_type;
-- status signals
signal dma_status : std_logic_vector(2 downto 0);
signal dma_error_irq : std_logic;
signal dma_done_irq : std_logic;
begin
dma_ctrl_do <= dma_ctrl;
dma_stat_do <= dma_stat;
dma_attrib_do <= dma_attrib;
with dma_ctrl_current_state select dma_ctrl_current_state_do <=
"000" when DMA_IDLE,
"001" when DMA_START_TRANSFER,
"010" when DMA_TRANSFER,
"011" when DMA_START_CHAIN,
"100" when DMA_CHAIN,
"110" when DMA_ERROR,
"111" when DMA_ABORT;
------------------------------------------------------------------------------
-- Wishbone slave instanciation
------------------------------------------------------------------------------
dma_controller_wb_slave_0 : dma_controller_wb_slave port map (
rst_n_i => rst_n_i,
wb_clk_i => wb_clk_i,
wb_addr_i => wb_adr_i,
wb_data_i => wb_dat_i,
wb_data_o => wb_dat_o,
wb_cyc_i => wb_cyc_i,
wb_sel_i => wb_sel_i,
wb_stb_i => wb_stb_i,
wb_we_i => wb_we_i,
wb_ack_o => wb_ack_o,
clk_i => clk_i,
dma_ctrl_o => dma_ctrl,
dma_ctrl_i => dma_ctrl_reg,
dma_ctrl_load_o => dma_ctrl_load,
dma_stat_o => open,
dma_stat_i => dma_stat_reg,
dma_stat_load_o => open,
dma_cstart_o => dma_cstart,
dma_cstart_i => dma_cstart_reg,
dma_cstart_load_o => dma_cstart_load,
dma_hstartl_o => dma_hstartl,
dma_hstartl_i => dma_hstartl_reg,
dma_hstartl_load_o => dma_hstartl_load,
dma_hstarth_o => dma_hstarth,
dma_hstarth_i => dma_hstarth_reg,
dma_hstarth_load_o => dma_hstarth_load,
dma_len_o => dma_len,
dma_len_i => dma_len_reg,
dma_len_load_o => dma_len_load,
dma_nextl_o => dma_nextl,
dma_nextl_i => dma_nextl_reg,
dma_nextl_load_o => dma_nextl_load,
dma_nexth_o => dma_nexth,
dma_nexth_i => dma_nexth_reg,
dma_nexth_load_o => dma_nexth_load,
dma_attrib_o => dma_attrib,
dma_attrib_i => dma_attrib_reg,
dma_attrib_load_o => dma_attrib_load
);
------------------------------------------------------------------------------
-- DMA controller registers
------------------------------------------------------------------------------
p_regs : process (clk_i, rst_n_i)
begin
if (rst_n_i = c_RST_ACTIVE) then
dma_ctrl_reg <= (others => '0');
dma_stat_reg <= (others => '0');
dma_cstart_reg <= (others => '0');
dma_hstartl_reg <= (others => '0');
dma_hstarth_reg <= (others => '0');
dma_len_reg <= (others => '0');
dma_nextl_reg <= (others => '0');
dma_nexth_reg <= (others => '0');
dma_attrib_reg <= (others => '0');
elsif rising_edge(clk_i) then
-- Control register
if (dma_ctrl_load = '1') then
dma_ctrl_reg <= dma_ctrl;
end if;
-- Status register
dma_stat_reg(2 downto 0) <= dma_status;
dma_stat_reg(31 downto 3) <= (others => '0');
-- Target start address
if (dma_cstart_load = '1') then
dma_cstart_reg <= dma_cstart;
end if;
-- Host start address lowest 32-bit
if (dma_hstartl_load = '1') then
dma_hstartl_reg <= dma_hstartl;
end if;
-- Host start address highest 32-bit
if (dma_hstarth_load = '1') then
dma_hstarth_reg <= dma_hstarth;
end if;
-- DMA transfer length in byte
if (dma_len_load = '1') then
dma_len_reg <= dma_len;
end if;
-- next item address lowest 32-bit
if (dma_nextl_load = '1') then
dma_nextl_reg <= dma_nextl;
end if;
-- next item address highest 32-bit
if (dma_nexth_load = '1') then
dma_nexth_reg <= dma_nexth;
end if;
-- Chained DMA control
if (dma_attrib_load = '1') then
dma_attrib_reg <= dma_attrib;
end if;
-- next item received => start a new transfer
if (next_item_valid_i = '1') then
dma_ctrl_reg(0) <= '1';
dma_cstart_reg <= next_item_carrier_addr_i;
dma_hstartl_reg <= next_item_host_addr_l_i;
dma_hstarth_reg <= next_item_host_addr_h_i;
dma_len_reg <= next_item_len_i;
dma_nextl_reg <= next_item_next_l_i;
dma_nexth_reg <= next_item_next_h_i;
dma_attrib_reg <= next_item_attrib_i;
end if;
-- Start DMA, 1 tick pulse
if (dma_ctrl_reg(0) = '1') then
dma_ctrl_reg(0) <= '0';
end if;
end if;
end process p_regs;
dma_ctrl_byte_swap_o <= dma_ctrl_reg(3 downto 2);
------------------------------------------------------------------------------
-- IRQ output assignement
------------------------------------------------------------------------------
dma_ctrl_irq_o <= dma_error_irq & dma_done_irq;
------------------------------------------------------------------------------
-- DMA controller FSM
------------------------------------------------------------------------------
p_fsm : process (clk_i, rst_n_i)
begin
if(rst_n_i = c_RST_ACTIVE) then
dma_ctrl_current_state <= DMA_IDLE;
dma_ctrl_carrier_addr_o <= (others => '0');
dma_ctrl_host_addr_h_o <= (others => '0');
dma_ctrl_host_addr_l_o <= (others => '0');
dma_ctrl_len_o <= (others => '0');
dma_ctrl_start_l2p_o <= '0';
dma_ctrl_start_p2l_o <= '0';
dma_ctrl_start_next_o <= '0';
dma_status <= c_IDLE;
dma_error_irq <= '0';
dma_done_irq <= '0';
dma_ctrl_abort_o <= '0';
elsif rising_edge(clk_i) then
case dma_ctrl_current_state is
when DMA_IDLE =>
-- Clear done irq to make it 1 tick pulse
dma_done_irq <= '0';
if(dma_ctrl_reg(0) = '1') then
-- Starts a new transfer
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when DMA_START_TRANSFER =>
-- Clear abort signal
dma_ctrl_abort_o <= '0';
if (unsigned(dma_len_reg(31 downto 2)) = 0) then
-- Requesting a DMA of 0 word length gives a error
dma_error_irq <= '1';
dma_ctrl_current_state <= DMA_ERROR;
else
-- Start the DMA if the length is not 0
if (dma_attrib_reg(1) = '0') then
-- L2P transfer (from target to PCIe)
dma_ctrl_start_l2p_o <= '1';
elsif (dma_attrib_reg(1) = '1') then
-- P2L transfer (from PCIe to target)
dma_ctrl_start_p2l_o <= '1';
end if;
dma_ctrl_current_state <= DMA_TRANSFER;
dma_ctrl_carrier_addr_o <= dma_cstart_reg;
dma_ctrl_host_addr_h_o <= dma_hstarth_reg;
dma_ctrl_host_addr_l_o <= dma_hstartl_reg;
dma_ctrl_len_o <= dma_len_reg;
dma_status <= c_BUSY;
end if;
when DMA_TRANSFER =>
-- Clear start signals, to make them 1 tick pulses
dma_ctrl_start_l2p_o <= '0';
dma_ctrl_start_p2l_o <= '0';
if (dma_ctrl_reg(1) = '1') then
-- Transfer aborted
dma_ctrl_current_state <= DMA_ABORT;
elsif(dma_ctrl_error_i = '1') then
-- An error occurs !
dma_error_irq <= '1';
dma_ctrl_current_state <= DMA_ERROR;
elsif(dma_ctrl_done_i = '1') then
-- End of DMA transfer
if(dma_attrib_reg(0) = '1') then
-- More transfer in chained DMA
dma_ctrl_current_state <= DMA_START_CHAIN;
else
-- Was the last transfer
dma_status <= c_DONE;
dma_done_irq <= '1';
dma_ctrl_current_state <= DMA_IDLE;
end if;
end if;
when DMA_START_CHAIN =>
-- Catch the next item in host memory
dma_ctrl_current_state <= DMA_CHAIN;
dma_ctrl_host_addr_h_o <= dma_nexth_reg;
dma_ctrl_host_addr_l_o <= dma_nextl_reg;
dma_ctrl_len_o <= X"0000001C";
dma_ctrl_start_next_o <= '1';
when DMA_CHAIN =>
-- Clear start next signal, to make it 1 tick pulse
dma_ctrl_start_next_o <= '0';
if (dma_ctrl_reg(1) = '1') then
-- Transfer aborted
dma_ctrl_current_state <= DMA_ABORT;
elsif(dma_ctrl_error_i = '1') then
-- An error occurs !
dma_error_irq <= '1';
dma_ctrl_current_state <= DMA_ERROR;
elsif (next_item_valid_i = '1') then
-- next item received
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when DMA_ERROR =>
dma_status <= c_ERROR;
-- Clear error irq to make it 1 tick pulse
dma_error_irq <= '0';
if(dma_ctrl_reg(0) = '1') then
-- Starts a new transfer
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when DMA_ABORT =>
dma_status <= c_ABORT;
dma_ctrl_abort_o <= '1';
if(dma_ctrl_reg(0) = '1') then
-- Starts a new transfer
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when others =>
dma_ctrl_current_state <= DMA_IDLE;
dma_ctrl_carrier_addr_o <= (others => '0');
dma_ctrl_host_addr_h_o <= (others => '0');
dma_ctrl_host_addr_l_o <= (others => '0');
dma_ctrl_len_o <= (others => '0');
dma_ctrl_start_l2p_o <= '0';
dma_ctrl_start_p2l_o <= '0';
dma_ctrl_start_next_o <= '0';
dma_status <= (others => '0');
dma_error_irq <= '0';
dma_done_irq <= '0';
dma_ctrl_abort_o <= '0';
end case;
end if;
end process p_fsm;
end behaviour;
|
--------------------------------------------------------------------------------
-- --
-- CERN BE-CO-HT GN4124 core for PCIe FMC carrier --
-- http://www.ohwr.org/projects/gn4124-core --
--------------------------------------------------------------------------------
--
-- unit name: DMA controller (dma_controller.vhd)
--
-- authors: Simon Deprez ([email protected])
-- Matthieu Cattin ([email protected])
--
-- date: 31-08-2010
--
-- version: 0.2
--
-- description: Manages the DMA transfers.
--
--
-- dependencies:
--
--------------------------------------------------------------------------------
-- GNU LESSER GENERAL PUBLIC LICENSE
--------------------------------------------------------------------------------
-- This source file is free software; you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by the
-- Free Software Foundation; either version 2.1 of the License, or (at your
-- option) any later version. This source is distributed in the hope that it
-- will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty
-- of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
-- See the GNU Lesser General Public License for more details. You should have
-- received a copy of the GNU Lesser General Public License along with this
-- source; if not, download it from http://www.gnu.org/licenses/lgpl-2.1.html
--------------------------------------------------------------------------------
-- last changes: 30-09-2010 (mcattin) Add status, error and abort
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
use work.wshexp_core_pkg.all;
entity dma_controller is
port
(
---------------------------------------------------------
-- GN4124 core clock and reset
clk_i : in std_logic;
rst_n_i : in std_logic;
---------------------------------------------------------
-- Interrupt request
dma_ctrl_irq_o : out std_logic_vector(1 downto 0);
---------------------------------------------------------
-- To the L2P DMA master and P2L DMA master
dma_ctrl_carrier_addr_o : out std_logic_vector(31 downto 0);
dma_ctrl_host_addr_h_o : out std_logic_vector(31 downto 0);
dma_ctrl_host_addr_l_o : out std_logic_vector(31 downto 0);
dma_ctrl_len_o : out std_logic_vector(31 downto 0);
dma_ctrl_start_l2p_o : out std_logic; -- To the L2P DMA master
dma_ctrl_start_p2l_o : out std_logic; -- To the P2L DMA master
dma_ctrl_start_next_o : out std_logic; -- To the P2L DMA master
dma_ctrl_byte_swap_o : out std_logic_vector(1 downto 0);
dma_ctrl_abort_o : out std_logic;
dma_ctrl_done_i : in std_logic;
dma_ctrl_error_i : in std_logic;
---------------------------------------------------------
-- From P2L DMA master
next_item_carrier_addr_i : in std_logic_vector(31 downto 0);
next_item_host_addr_h_i : in std_logic_vector(31 downto 0);
next_item_host_addr_l_i : in std_logic_vector(31 downto 0);
next_item_len_i : in std_logic_vector(31 downto 0);
next_item_next_l_i : in std_logic_vector(31 downto 0);
next_item_next_h_i : in std_logic_vector(31 downto 0);
next_item_attrib_i : in std_logic_vector(31 downto 0);
next_item_valid_i : in std_logic;
---------------------------------------------------------
-- Wishbone slave interface
wb_clk_i : in std_logic; -- Bus clock
wb_adr_i : in std_logic_vector(3 downto 0); -- Adress
wb_dat_o : out std_logic_vector(31 downto 0); -- Data in
wb_dat_i : in std_logic_vector(31 downto 0); -- Data out
wb_sel_i : in std_logic_vector(3 downto 0); -- Byte select
wb_cyc_i : in std_logic; -- Read or write cycle
wb_stb_i : in std_logic; -- Read or write strobe
wb_we_i : in std_logic; -- Write
wb_ack_o : out std_logic; -- Acknowledge
---------------------------------------------------------
-- debug outputs
dma_ctrl_current_state_do : out std_logic_vector (2 downto 0);
dma_ctrl_do : out std_logic_vector(31 downto 0);
dma_stat_do : out std_logic_vector(31 downto 0);
dma_attrib_do : out std_logic_vector(31 downto 0)
);
end dma_controller;
architecture behaviour of dma_controller is
------------------------------------------------------------------------------
-- Wishbone slave component declaration
------------------------------------------------------------------------------
component dma_controller_wb_slave is
port (
rst_n_i : in std_logic;
wb_clk_i : in std_logic;
wb_addr_i : in std_logic_vector(3 downto 0);
wb_data_i : in std_logic_vector(31 downto 0);
wb_data_o : out std_logic_vector(31 downto 0);
wb_cyc_i : in std_logic;
wb_sel_i : in std_logic_vector(3 downto 0);
wb_stb_i : in std_logic;
wb_we_i : in std_logic;
wb_ack_o : out std_logic;
clk_i : in std_logic;
-- Port for std_logic_vector field: 'DMA engine control' in reg: 'DMACTRLR'
dma_ctrl_o : out std_logic_vector(31 downto 0);
dma_ctrl_i : in std_logic_vector(31 downto 0);
dma_ctrl_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA engine status' in reg: 'DMASTATR'
dma_stat_o : out std_logic_vector(31 downto 0);
dma_stat_i : in std_logic_vector(31 downto 0);
dma_stat_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA start address in the carrier' in reg: 'DMACSTARTR'
dma_cstart_o : out std_logic_vector(31 downto 0);
dma_cstart_i : in std_logic_vector(31 downto 0);
dma_cstart_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA start address (low) in the host' in reg: 'DMAHSTARTLR'
dma_hstartl_o : out std_logic_vector(31 downto 0);
dma_hstartl_i : in std_logic_vector(31 downto 0);
dma_hstartl_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA start address (high) in the host' in reg: 'DMAHSTARTHR'
dma_hstarth_o : out std_logic_vector(31 downto 0);
dma_hstarth_i : in std_logic_vector(31 downto 0);
dma_hstarth_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA read length in bytes' in reg: 'DMALENR'
dma_len_o : out std_logic_vector(31 downto 0);
dma_len_i : in std_logic_vector(31 downto 0);
dma_len_load_o : out std_logic;
-- Port for std_logic_vector field: 'Pointer (low) to next item in list' in reg: 'DMANEXTLR'
dma_nextl_o : out std_logic_vector(31 downto 0);
dma_nextl_i : in std_logic_vector(31 downto 0);
dma_nextl_load_o : out std_logic;
-- Port for std_logic_vector field: 'Pointer (high) to next item in list' in reg: 'DMANEXTHR'
dma_nexth_o : out std_logic_vector(31 downto 0);
dma_nexth_i : in std_logic_vector(31 downto 0);
dma_nexth_load_o : out std_logic;
-- Port for std_logic_vector field: 'DMA chain control' in reg: 'DMAATTRIBR'
dma_attrib_o : out std_logic_vector(31 downto 0);
dma_attrib_i : in std_logic_vector(31 downto 0);
dma_attrib_load_o : out std_logic
);
end component dma_controller_wb_slave;
------------------------------------------------------------------------------
-- Constants declaration
------------------------------------------------------------------------------
constant c_IDLE : std_logic_vector(2 downto 0) := "000";
constant c_DONE : std_logic_vector(2 downto 0) := "001";
constant c_BUSY : std_logic_vector(2 downto 0) := "010";
constant c_ERROR : std_logic_vector(2 downto 0) := "011";
constant c_ABORT : std_logic_vector(2 downto 0) := "100";
------------------------------------------------------------------------------
-- Signals declaration
------------------------------------------------------------------------------
-- DMA controller registers
signal dma_ctrl : std_logic_vector(31 downto 0);
signal dma_stat : std_logic_vector(31 downto 0);
signal dma_cstart : std_logic_vector(31 downto 0);
signal dma_hstartl : std_logic_vector(31 downto 0);
signal dma_hstarth : std_logic_vector(31 downto 0);
signal dma_len : std_logic_vector(31 downto 0);
signal dma_nextl : std_logic_vector(31 downto 0);
signal dma_nexth : std_logic_vector(31 downto 0);
signal dma_attrib : std_logic_vector(31 downto 0);
signal dma_ctrl_load : std_logic;
signal dma_stat_load : std_logic;
signal dma_cstart_load : std_logic;
signal dma_hstartl_load : std_logic;
signal dma_hstarth_load : std_logic;
signal dma_len_load : std_logic;
signal dma_nextl_load : std_logic;
signal dma_nexth_load : std_logic;
signal dma_attrib_load : std_logic;
signal dma_ctrl_reg : std_logic_vector(31 downto 0);
signal dma_stat_reg : std_logic_vector(31 downto 0);
signal dma_cstart_reg : std_logic_vector(31 downto 0);
signal dma_hstartl_reg : std_logic_vector(31 downto 0);
signal dma_hstarth_reg : std_logic_vector(31 downto 0);
signal dma_len_reg : std_logic_vector(31 downto 0);
signal dma_nextl_reg : std_logic_vector(31 downto 0);
signal dma_nexth_reg : std_logic_vector(31 downto 0);
signal dma_attrib_reg : std_logic_vector(31 downto 0);
-- DMA controller FSM
type dma_ctrl_state_type is (DMA_IDLE, DMA_START_TRANSFER, DMA_TRANSFER,
DMA_START_CHAIN, DMA_CHAIN,
DMA_ERROR, DMA_ABORT);
signal dma_ctrl_current_state : dma_ctrl_state_type;
-- status signals
signal dma_status : std_logic_vector(2 downto 0);
signal dma_error_irq : std_logic;
signal dma_done_irq : std_logic;
begin
dma_ctrl_do <= dma_ctrl;
dma_stat_do <= dma_stat;
dma_attrib_do <= dma_attrib;
with dma_ctrl_current_state select dma_ctrl_current_state_do <=
"000" when DMA_IDLE,
"001" when DMA_START_TRANSFER,
"010" when DMA_TRANSFER,
"011" when DMA_START_CHAIN,
"100" when DMA_CHAIN,
"110" when DMA_ERROR,
"111" when DMA_ABORT;
------------------------------------------------------------------------------
-- Wishbone slave instanciation
------------------------------------------------------------------------------
dma_controller_wb_slave_0 : dma_controller_wb_slave port map (
rst_n_i => rst_n_i,
wb_clk_i => wb_clk_i,
wb_addr_i => wb_adr_i,
wb_data_i => wb_dat_i,
wb_data_o => wb_dat_o,
wb_cyc_i => wb_cyc_i,
wb_sel_i => wb_sel_i,
wb_stb_i => wb_stb_i,
wb_we_i => wb_we_i,
wb_ack_o => wb_ack_o,
clk_i => clk_i,
dma_ctrl_o => dma_ctrl,
dma_ctrl_i => dma_ctrl_reg,
dma_ctrl_load_o => dma_ctrl_load,
dma_stat_o => open,
dma_stat_i => dma_stat_reg,
dma_stat_load_o => open,
dma_cstart_o => dma_cstart,
dma_cstart_i => dma_cstart_reg,
dma_cstart_load_o => dma_cstart_load,
dma_hstartl_o => dma_hstartl,
dma_hstartl_i => dma_hstartl_reg,
dma_hstartl_load_o => dma_hstartl_load,
dma_hstarth_o => dma_hstarth,
dma_hstarth_i => dma_hstarth_reg,
dma_hstarth_load_o => dma_hstarth_load,
dma_len_o => dma_len,
dma_len_i => dma_len_reg,
dma_len_load_o => dma_len_load,
dma_nextl_o => dma_nextl,
dma_nextl_i => dma_nextl_reg,
dma_nextl_load_o => dma_nextl_load,
dma_nexth_o => dma_nexth,
dma_nexth_i => dma_nexth_reg,
dma_nexth_load_o => dma_nexth_load,
dma_attrib_o => dma_attrib,
dma_attrib_i => dma_attrib_reg,
dma_attrib_load_o => dma_attrib_load
);
------------------------------------------------------------------------------
-- DMA controller registers
------------------------------------------------------------------------------
p_regs : process (clk_i, rst_n_i)
begin
if (rst_n_i = c_RST_ACTIVE) then
dma_ctrl_reg <= (others => '0');
dma_stat_reg <= (others => '0');
dma_cstart_reg <= (others => '0');
dma_hstartl_reg <= (others => '0');
dma_hstarth_reg <= (others => '0');
dma_len_reg <= (others => '0');
dma_nextl_reg <= (others => '0');
dma_nexth_reg <= (others => '0');
dma_attrib_reg <= (others => '0');
elsif rising_edge(clk_i) then
-- Control register
if (dma_ctrl_load = '1') then
dma_ctrl_reg <= dma_ctrl;
end if;
-- Status register
dma_stat_reg(2 downto 0) <= dma_status;
dma_stat_reg(31 downto 3) <= (others => '0');
-- Target start address
if (dma_cstart_load = '1') then
dma_cstart_reg <= dma_cstart;
end if;
-- Host start address lowest 32-bit
if (dma_hstartl_load = '1') then
dma_hstartl_reg <= dma_hstartl;
end if;
-- Host start address highest 32-bit
if (dma_hstarth_load = '1') then
dma_hstarth_reg <= dma_hstarth;
end if;
-- DMA transfer length in byte
if (dma_len_load = '1') then
dma_len_reg <= dma_len;
end if;
-- next item address lowest 32-bit
if (dma_nextl_load = '1') then
dma_nextl_reg <= dma_nextl;
end if;
-- next item address highest 32-bit
if (dma_nexth_load = '1') then
dma_nexth_reg <= dma_nexth;
end if;
-- Chained DMA control
if (dma_attrib_load = '1') then
dma_attrib_reg <= dma_attrib;
end if;
-- next item received => start a new transfer
if (next_item_valid_i = '1') then
dma_ctrl_reg(0) <= '1';
dma_cstart_reg <= next_item_carrier_addr_i;
dma_hstartl_reg <= next_item_host_addr_l_i;
dma_hstarth_reg <= next_item_host_addr_h_i;
dma_len_reg <= next_item_len_i;
dma_nextl_reg <= next_item_next_l_i;
dma_nexth_reg <= next_item_next_h_i;
dma_attrib_reg <= next_item_attrib_i;
end if;
-- Start DMA, 1 tick pulse
if (dma_ctrl_reg(0) = '1') then
dma_ctrl_reg(0) <= '0';
end if;
end if;
end process p_regs;
dma_ctrl_byte_swap_o <= dma_ctrl_reg(3 downto 2);
------------------------------------------------------------------------------
-- IRQ output assignement
------------------------------------------------------------------------------
dma_ctrl_irq_o <= dma_error_irq & dma_done_irq;
------------------------------------------------------------------------------
-- DMA controller FSM
------------------------------------------------------------------------------
p_fsm : process (clk_i, rst_n_i)
begin
if(rst_n_i = c_RST_ACTIVE) then
dma_ctrl_current_state <= DMA_IDLE;
dma_ctrl_carrier_addr_o <= (others => '0');
dma_ctrl_host_addr_h_o <= (others => '0');
dma_ctrl_host_addr_l_o <= (others => '0');
dma_ctrl_len_o <= (others => '0');
dma_ctrl_start_l2p_o <= '0';
dma_ctrl_start_p2l_o <= '0';
dma_ctrl_start_next_o <= '0';
dma_status <= c_IDLE;
dma_error_irq <= '0';
dma_done_irq <= '0';
dma_ctrl_abort_o <= '0';
elsif rising_edge(clk_i) then
case dma_ctrl_current_state is
when DMA_IDLE =>
-- Clear done irq to make it 1 tick pulse
dma_done_irq <= '0';
if(dma_ctrl_reg(0) = '1') then
-- Starts a new transfer
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when DMA_START_TRANSFER =>
-- Clear abort signal
dma_ctrl_abort_o <= '0';
if (unsigned(dma_len_reg(31 downto 2)) = 0) then
-- Requesting a DMA of 0 word length gives a error
dma_error_irq <= '1';
dma_ctrl_current_state <= DMA_ERROR;
else
-- Start the DMA if the length is not 0
if (dma_attrib_reg(1) = '0') then
-- L2P transfer (from target to PCIe)
dma_ctrl_start_l2p_o <= '1';
elsif (dma_attrib_reg(1) = '1') then
-- P2L transfer (from PCIe to target)
dma_ctrl_start_p2l_o <= '1';
end if;
dma_ctrl_current_state <= DMA_TRANSFER;
dma_ctrl_carrier_addr_o <= dma_cstart_reg;
dma_ctrl_host_addr_h_o <= dma_hstarth_reg;
dma_ctrl_host_addr_l_o <= dma_hstartl_reg;
dma_ctrl_len_o <= dma_len_reg;
dma_status <= c_BUSY;
end if;
when DMA_TRANSFER =>
-- Clear start signals, to make them 1 tick pulses
dma_ctrl_start_l2p_o <= '0';
dma_ctrl_start_p2l_o <= '0';
if (dma_ctrl_reg(1) = '1') then
-- Transfer aborted
dma_ctrl_current_state <= DMA_ABORT;
elsif(dma_ctrl_error_i = '1') then
-- An error occurs !
dma_error_irq <= '1';
dma_ctrl_current_state <= DMA_ERROR;
elsif(dma_ctrl_done_i = '1') then
-- End of DMA transfer
if(dma_attrib_reg(0) = '1') then
-- More transfer in chained DMA
dma_ctrl_current_state <= DMA_START_CHAIN;
else
-- Was the last transfer
dma_status <= c_DONE;
dma_done_irq <= '1';
dma_ctrl_current_state <= DMA_IDLE;
end if;
end if;
when DMA_START_CHAIN =>
-- Catch the next item in host memory
dma_ctrl_current_state <= DMA_CHAIN;
dma_ctrl_host_addr_h_o <= dma_nexth_reg;
dma_ctrl_host_addr_l_o <= dma_nextl_reg;
dma_ctrl_len_o <= X"0000001C";
dma_ctrl_start_next_o <= '1';
when DMA_CHAIN =>
-- Clear start next signal, to make it 1 tick pulse
dma_ctrl_start_next_o <= '0';
if (dma_ctrl_reg(1) = '1') then
-- Transfer aborted
dma_ctrl_current_state <= DMA_ABORT;
elsif(dma_ctrl_error_i = '1') then
-- An error occurs !
dma_error_irq <= '1';
dma_ctrl_current_state <= DMA_ERROR;
elsif (next_item_valid_i = '1') then
-- next item received
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when DMA_ERROR =>
dma_status <= c_ERROR;
-- Clear error irq to make it 1 tick pulse
dma_error_irq <= '0';
if(dma_ctrl_reg(0) = '1') then
-- Starts a new transfer
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when DMA_ABORT =>
dma_status <= c_ABORT;
dma_ctrl_abort_o <= '1';
if(dma_ctrl_reg(0) = '1') then
-- Starts a new transfer
dma_ctrl_current_state <= DMA_START_TRANSFER;
end if;
when others =>
dma_ctrl_current_state <= DMA_IDLE;
dma_ctrl_carrier_addr_o <= (others => '0');
dma_ctrl_host_addr_h_o <= (others => '0');
dma_ctrl_host_addr_l_o <= (others => '0');
dma_ctrl_len_o <= (others => '0');
dma_ctrl_start_l2p_o <= '0';
dma_ctrl_start_p2l_o <= '0';
dma_ctrl_start_next_o <= '0';
dma_status <= (others => '0');
dma_error_irq <= '0';
dma_done_irq <= '0';
dma_ctrl_abort_o <= '0';
end case;
end if;
end process p_fsm;
end behaviour;
|
-- opa: Open Processor Architecture
-- Copyright (C) 2014-2016 Wesley W. Terpstra
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
--
-- To apply the GPL to my VHDL, please follow these definitions:
-- Program - The entire collection of VHDL in this project and any
-- netlist or floorplan derived from it.
-- System Library - Any macro that translates directly to hardware
-- e.g. registers, IO pins, or memory blocks
--
-- My intent is that if you include OPA into your project, all of the HDL
-- and other design files that go into the same physical chip must also
-- be released under the GPL. If this does not cover your usage, then you
-- must consult me directly to receive the code under a different license.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.opa_pkg.all;
use work.opa_isa_base_pkg.all;
use work.opa_functions_pkg.all;
use work.opa_components_pkg.all;
entity opa_fast is
generic(
g_isa : t_opa_isa;
g_config : t_opa_config;
g_target : t_opa_target);
port(
clk_i : in std_logic;
rst_n_i : in std_logic;
regfile_stb_i : in std_logic;
regfile_rega_i : in std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
regfile_regb_i : in std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
regfile_arg_i : in std_logic_vector(f_opa_arg_wide(g_config)-1 downto 0);
regfile_imm_i : in std_logic_vector(f_opa_imm_wide(g_isa) -1 downto 0);
regfile_pc_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
regfile_pcf_i : in std_logic_vector(f_opa_fet_wide(g_config)-1 downto 0);
regfile_pcn_i : in std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
regfile_regx_o : out std_logic_vector(f_opa_reg_wide(g_config)-1 downto 0);
issue_oldest_i : in std_logic;
issue_retry_o : out std_logic;
issue_fault_o : out std_logic;
issue_pc_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa));
issue_pcf_o : out std_logic_vector(f_opa_fet_wide(g_config)-1 downto 0);
issue_pcn_o : out std_logic_vector(f_opa_adr_wide(g_config)-1 downto f_opa_op_align(g_isa)));
end opa_fast;
architecture rtl of opa_fast is
constant c_imm_wide : natural := f_opa_imm_wide(g_isa);
constant c_adr_wide : natural := f_opa_adr_wide(g_config);
constant c_sum_wide : natural := f_opa_choose(c_imm_wide<c_adr_wide,c_imm_wide,c_adr_wide);
signal s_arg : t_opa_arg;
signal s_adder : t_opa_adder;
signal s_lut : std_logic_vector(3 downto 0);
signal r_rega : std_logic_vector(regfile_rega_i'range);
signal r_regb : std_logic_vector(regfile_regb_i'range);
signal r_imm : std_logic_vector(regfile_imm_i'range);
signal r_pcf : std_logic_vector(regfile_pcf_i'range);
signal r_pc : std_logic_vector(regfile_pc_i'range);
signal r_pcn : std_logic_vector(regfile_pcn_i'range);
signal r_pcf1 : std_logic_vector(regfile_pcf_i'range);
signal r_pc1 : std_logic_vector(regfile_pc_i'range);
signal r_pcn1 : std_logic_vector(regfile_pcn_i'range);
signal r_lut : std_logic_vector(3 downto 0);
signal r_nota : std_logic;
signal r_notb : std_logic;
signal r_cin : std_logic;
signal r_sign : std_logic;
signal r_eq : std_logic;
signal r_fault: std_logic;
signal r_mode : std_logic_vector(1 downto 0);
type t_logic is array(natural range <>) of unsigned(1 downto 0);
signal s_logic_in : t_logic(r_rega'range);
signal s_logic : std_logic_vector(r_rega'range);
signal s_nota : std_logic_vector(r_rega'range);
signal s_notb : std_logic_vector(r_rega'range);
signal s_eq : std_logic_vector(r_rega'range);
signal s_widea : std_logic_vector(r_rega'left+2 downto 0);
signal s_wideb : std_logic_vector(r_rega'left+2 downto 0);
signal s_widex : std_logic_vector(r_rega'left+2 downto 0);
signal s_sum_low : std_logic_vector(r_rega'range);
signal s_comparison : std_logic_vector(r_rega'range);
signal s_pc_next_pad: std_logic_vector(r_rega'range) := (others => '0');
signal s_pc_imm : unsigned(regfile_pcn_i'range);
signal s_pc_next : std_logic_vector(regfile_pcn_i'range);
signal r_pc_next : std_logic_vector(regfile_pcn_i'range);
signal r_pc_jump : std_logic_vector(regfile_pcn_i'range);
signal r_pc_sum : std_logic_vector(regfile_pcn_i'range);
signal r_fmux : std_logic_vector(1 downto 0);
signal s_br_fault : std_logic;
signal s_br_target : std_logic_vector(regfile_pcn_i'range);
attribute dont_merge : boolean;
attribute maxfan : natural;
-- Do not merge these registers; they are used in different places!
attribute dont_merge of r_lut : signal is true;
attribute dont_merge of r_eq : signal is true;
attribute dont_merge of r_nota : signal is true;
attribute dont_merge of r_notb : signal is true;
attribute dont_merge of r_cin : signal is true;
attribute dont_merge of r_sign : signal is true;
attribute dont_merge of r_fault: signal is true;
attribute dont_merge of r_mode : signal is true;
-- These are fanned out to 64 bits; make it easier to fit
-- attribute maxfan of r_lut : signal is 8;
-- attribute maxfan of r_mode : signal is 8;
begin
s_arg <= f_opa_arg_from_vec(regfile_arg_i);
s_adder <= s_arg.adder;
s_lut <= s_arg.lut;
-- Register our inputs
main : process(clk_i) is
begin
if rising_edge(clk_i) then
r_rega <= regfile_rega_i;
r_regb <= regfile_regb_i;
r_imm <= regfile_imm_i;
r_pcf <= regfile_pcf_i;
r_pc <= regfile_pc_i;
r_pcn <= regfile_pcn_i;
r_mode <= s_arg.fmode;
r_lut <= s_lut;
r_eq <= s_adder.eq;
r_nota <= s_adder.nota;
r_notb <= s_adder.notb;
r_cin <= s_adder.cin;
r_sign <= s_adder.sign;
r_fault<= s_adder.fault;
end if;
end process;
-- Result is a logic function
logic : for i in r_rega'range generate
s_logic_in(i)(1) <= r_rega(i);
s_logic_in(i)(0) <= r_regb(i);
s_logic(i) <= f_opa_index(r_lut, s_logic_in(i));
end generate;
-- Result is an adder function
s_nota <= (others => r_nota);
s_notb <= (others => r_notb);
s_eq <= (others => r_eq);
s_widea(r_rega'left+2) <= '0';
s_wideb(r_rega'left+2) <= '0';
-- !!! this is too slow: ... find a way to get it into the adder
s_widea(r_rega'left+1 downto 1) <= s_nota xor r_rega xor (r_regb and s_eq);
s_wideb(r_rega'left+1 downto 1) <= s_notb xor (r_regb and not s_eq);
s_widea(0) <= '1';
s_wideb(0) <= r_cin;
s_widex <= std_logic_vector(unsigned(s_widea) + unsigned(s_wideb));
s_sum_low <= s_widex(r_rega'left+1 downto 1);
-- Result is a comparison
s_comparison(0) <= s_widex(r_rega'left+2) xor ((r_rega(31) xor r_regb(31)) and r_sign);
s_comparison(r_rega'left downto 1) <= (others => '0');
-- Result is a jump return address
s_pc_next <= std_logic_vector(unsigned(r_pc) + 1);
s_pc_next_pad(s_pc_next'high-1 downto s_pc_next'low) <= std_logic_vector(s_pc_next(s_pc_next'high-1 downto s_pc_next'low));
s_pc_next_pad(r_rega'high downto s_pc_next'high) <= (others => s_pc_next(s_pc_next'high));
-- Send result to regfile
with r_mode select
regfile_regx_o <=
s_logic when c_opa_fast_lut,
s_sum_low when c_opa_fast_addl,
s_comparison when c_opa_fast_addh,
s_pc_next_pad when c_opa_fast_jump,
(others => 'X') when others;
-- Pack immediate into sum format
s_pc_imm(c_sum_wide-2 downto r_pc'low) <= unsigned(r_imm(c_sum_wide-2 downto r_pc'low));
s_pc_imm(r_pc'high downto c_sum_wide-1) <= (others => r_imm(c_sum_wide-1));
faults : process(clk_i) is
begin
if rising_edge(clk_i) then
r_pcf1 <= r_pcf;
r_pc1 <= r_pc;
r_pcn1 <= r_pcn;
r_pc_next <= s_pc_next;
r_pc_jump <= std_logic_vector(unsigned(r_pc) + s_pc_imm);
r_pc_sum <= s_sum_low(r_pc_sum'range);
case r_mode is
when c_opa_fast_lut => r_fmux <= "11";
when c_opa_fast_addl => r_fmux <= "11";
when c_opa_fast_addh => r_fmux(0) <= not r_fault or s_comparison(0);
r_fmux(1) <= not r_fault;
when c_opa_fast_jump => r_fmux <= "10";
when others => r_fmux <= "XX";
end case;
end if;
end process;
with r_fmux select
s_br_fault <=
not f_opa_eq(r_pc_next, r_pcn1) when "00", -- addh, fault, and comparison=0
not f_opa_eq(r_pc_jump, r_pcn1) when "01", -- addh, fault, and comparison=1
not f_opa_eq(r_pc_sum, r_pcn1) when "10", -- jump
'0' when "11",
'X' when others;
with r_fmux select
s_br_target <=
r_pc_next when "00",
r_pc_jump when "01",
r_pc_sum when "10",
(others => 'X') when others;
issue_retry_o <= s_br_fault;
issue_fault_o <= s_br_fault and issue_oldest_i;
issue_pcf_o <= r_pcf1;
issue_pc_o <= r_pc1;
issue_pcn_o <= s_br_target;
end rtl;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YYq5CqGpnqewZrfrBtsnrRO8Wdy6jnEwHyYat53D+QvA/ElKM2KiKQOZHZsIz8wFmF8HEB0JdeAc
+Rh4GIf1rg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gqN/vw5ldao28J/qKfGOS1nP1jRlBVpXttIhnbrEZcNoNxE/edqvusPr9Yh6vygXbAXIOmHw6W4K
G6wkr5Ygix0Q7IY2ByB8QRW7TrTjk8NTEizKJWsvz9kTsAtyhCh17zYRLnuxNaBYYOKtsjtfLTNV
Rtq1eMvgofOQSoSVugY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
i3Soud+hAuwTr/FKlnkgYXMFKErQD0Bov4cvD6DSfiHmI/sIiwQiD/QC8zfZK3iU7u70nkzzUs2N
liHVUTU1m/ICFFzhhymt+m6EcGgyfeHJxwzseVD26eIs1icKb7jrDNWQdJ3TIOyZE0ipbLVkLA3K
mltDAJK2jQhdsIlht0lX88xruKFYvQRr6GQLo3NWICskX7Fol9dj/ekmT6f9m0KDwq6lyv261Mc3
jzUsA7Lsue0aMv3L9xLac+/oHlyy1aA8R1Ps/YIZ1jzqTX2NBjh+43T7m0+c4N54fho2xVVnRbWK
zBsXLApAEbMQNUA+gTQx7G2+oUtt8WYB5Q6a+Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1buhSPtq9GM79JEE6NgWF8BfHJXNvKQi1YOIqSyL6Dl/51WFoZKdrdh18WsOiVjqk4rcQB7CGLlO
BdoVEpMDArxCCznLr8DElcnOMr/bjAvp4ud3DaELF6lJggGZ2RBF1dDu7BaGn6Jrx/fouQus47AJ
g98VnD+DhsufR1pjVHM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tQPHsM0ZfuCjNnigaLbzZm6MelIeV/2R7siFOJ7VLYrOgCu+zhAhIv9YX7P8OBs7mTnu5s7lmc2s
QvoiN8F+8IyohoteSY0435w0UH18jwLrDT6qC278u5oxCwwOe8D29oo7vvHAqkgrD3+qBDG56Y55
R1gfNE9HpeeSrZ0HxU0Wh9ahgJ9qY5ZrminVYf57c2+cYcD7eNlDnBI0Y4E0ZS/HpaAtJxQkell2
Aqx3HvE3rDbnh9JgWtK5KHAb0/JSnozJXsaxFreX2OnSaFMzKfli+jmtEIcRz9t4wPHG44nxzoHK
tIDg+Unrvv/7gqdHcEH3zh2mszHKU1J7BjGBdg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 178432)
`protect data_block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`protect end_protected
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 09:20:10 12/25/2015
-- Design Name:
-- Module Name: /home/superus/vhdl_system_design/workspace/idea_rcs2/tb_control.vhd
-- Project Name: idea_rcs2
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: control
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_control IS
END tb_control;
ARCHITECTURE behavior OF tb_control IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT control
PORT(
Clock : IN std_logic;
Initial : IN std_logic;
trafo : IN std_logic;
EN125 : OUT std_logic;
EN346 : OUT std_logic;
EN78 : OUT std_logic;
S : OUT std_logic_vector(1 downto 0);
S_t : OUT std_logic_vector(1 downto 0);
Result : OUT std_logic
);
END COMPONENT;
--Inputs
signal Clock : std_logic := '0';
signal Initial : std_logic := '0';
signal trafo : std_logic := '0';
--Outputs
signal EN125 : std_logic;
signal EN346 : std_logic;
signal EN78 : std_logic;
signal S : std_logic_vector(1 downto 0);
signal S_t : std_logic_vector(1 downto 0);
signal Result : std_logic;
-- Clock period definitions
constant Clock_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: control PORT MAP (
Clock => Clock,
Initial => Initial,
trafo => trafo,
EN125 => EN125,
EN346 => EN346,
EN78 => EN78,
S => S,
S_t => S_t,
Result => Result
);
-- Clock process definitions
Clock_process :process
begin
Clock <= '0';
wait for Clock_period/2;
Clock <= '1';
wait for Clock_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for Clock_period*10;
-- insert stimulus here
wait;
end process;
END;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity LX9CoPro68000 is
port (
-- GOP Signals
fastclk : in std_logic;
test : inout std_logic_vector(8 downto 1);
sw : in std_logic_vector(3 downto 0);
-- Tube signals (use 16 out of 22 DIL pins)
h_phi2 : in std_logic; -- 1,2,12,21,23 are global clocks
h_addr : in std_logic_vector(2 downto 0);
h_data : inout std_logic_vector(7 downto 0);
h_rdnw : in std_logic;
h_cs_b : in std_logic;
h_rst_b : in std_logic;
h_irq_b : inout std_logic;
-- Ram Signals
ram_ub_b : out std_logic;
ram_lb_b : out std_logic;
ram_cs : out std_logic;
ram_oe : out std_logic;
ram_wr : out std_logic;
ram_addr : out std_logic_vector (18 downto 0);
ram_data : inout std_logic_vector (15 downto 0)
);
end LX9CoPro68000;
architecture BEHAVIORAL of LX9CoPro68000 is
-------------------------------------------------
-- clock and reset signals
-------------------------------------------------
signal cpu_clk : std_logic;
signal cpu_clken : std_logic;
signal clken_counter : std_logic_vector (1 downto 0);
signal bootmode : std_logic;
signal RSTn : std_logic;
signal RSTn_sync : std_logic;
-------------------------------------------------
-- parasite signals
-------------------------------------------------
signal p_cs_b : std_logic;
signal p_cs_b_old : std_logic;
signal tube_cs_b : std_logic;
signal p_data_in : std_logic_vector (7 downto 0);
signal p_data_out : std_logic_vector (7 downto 0);
signal p_data_out_r : std_logic_vector (7 downto 0);
-------------------------------------------------
-- ram/rom signals
-------------------------------------------------
signal ram_cs_b : std_logic;
signal ram_oe_int : std_logic;
signal ram_wr_int : std_logic;
signal rom_cs_b : std_logic;
signal rom_data_out : std_logic_vector (15 downto 0);
-------------------------------------------------
-- cpu signals
-------------------------------------------------
signal cpu_addr : std_logic_vector (31 downto 0);
signal cpu_din : std_logic_vector (15 downto 0);
signal cpu_dout : std_logic_vector (15 downto 0);
signal cpu_IRQ_n : std_logic;
signal cpu_NMI_n : std_logic;
signal cpu_IRQ_n_sync : std_logic;
signal cpu_NMI_n_sync : std_logic;
signal cpu_as : std_logic;
signal cpu_uds : std_logic;
signal cpu_lds : std_logic;
signal cpu_R_W_n : std_logic;
signal cpu_data_drive : std_logic;
begin
---------------------------------------------------------------------
-- instantiated components
---------------------------------------------------------------------
inst_icap_config : entity work.icap_config port map (
fastclk => fastclk,
sw_in => sw,
sw_out => open,
h_addr => h_addr,
h_cs_b => h_cs_b,
h_data => h_data,
h_phi2 => h_phi2,
h_rdnw => h_rdnw,
h_rst_b => h_rst_b
);
inst_dcm_32_16 : entity work.dcm_32_16 port map (
CLKIN_IN => fastclk,
CLK0_OUT => cpu_clk,
CLK0_OUT1 => open,
CLK2X_OUT => open);
inst_tuberom : entity work.tuberom_68000 port map (
CLK => cpu_clk,
ADDR => cpu_addr(14 downto 1),
DATA => rom_data_out
);
Inst_tg68: entity work.TG68 port map (
clk => cpu_clk,
reset => RSTn_sync,
clkena_in => cpu_clken,
data_in => cpu_din,
IPL => CPU_NMI_n_sync & CPU_IRQ_n_sync & CPU_NMI_n_sync,
dtack => '0',
addr => cpu_addr,
data_out => cpu_dout,
as => cpu_as,
uds => cpu_uds,
lds => cpu_lds,
rw => cpu_R_W_n,
drive_data => cpu_data_drive
);
inst_tube: entity work.tube port map (
h_addr => h_addr,
h_cs_b => h_cs_b,
h_data => h_data,
h_phi2 => h_phi2,
h_rdnw => h_rdnw,
h_rst_b => h_rst_b,
h_irq_b => h_irq_b,
p_addr => cpu_addr(2 downto 1) & cpu_uds,
p_cs_b => tube_cs_b,
p_data_in => p_data_in,
p_data_out => p_data_out,
p_rdnw => cpu_R_W_n,
p_phi2 => cpu_clk,
p_rst_b => RSTn,
p_nmi_b => cpu_NMI_n,
p_irq_b => cpu_IRQ_n
);
p_data_in <= cpu_dout(15 downto 8) when cpu_uds = '0' else
cpu_dout(7 downto 0) when cpu_lds = '0' else
x"ff";
tube_cs_b <= not ((not p_cs_b) and cpu_clken and (not cpu_uds or not cpu_lds));
-- Tube address is $FFFExxxx, and A0..A2 go into the Tube ULA
-- Incomplete decoding as per Eelco's schenatic
p_cs_b <= '0' when (cpu_as = '0' and cpu_addr(21 downto 16) = "111110")
else '1';
-- ROM addess is $FFFFxxxx
-- In boot mode, ROM also mapped to $0000xxxx
rom_cs_b <= '0' when (cpu_as = '0' and cpu_addr(21 downto 16) = "111111") or
(cpu_as = '0' and cpu_addr(21 downto 16) = "000000" and bootmode = '1')
else '1';
-- RAM otherwise
ram_cs_b <= '0' when cpu_as = '0' and p_cs_b = '1' and rom_cs_b = '1'
else '1';
-- This is a bit of a cludge, but the 68000 asserts UDS/LDS for multiple cycles
-- which causes problems reading R3 data (address 101) because of an anomaly/bug
-- in the Tube implementation of R3. To get around this, we latch the data beging read
tube_data_latch : process(cpu_clk)
begin
if rising_edge(cpu_clk) then
if (cpu_clken = '1') then
p_cs_b_old <= p_cs_b;
if (p_cs_b_old = '1' and p_cs_b = '0') then
p_data_out_r <= p_data_out;
end if;
end if;
end if;
end process;
cpu_din <=
p_data_out_r & p_data_out_r when p_cs_b = '0' else
rom_data_out when rom_cs_b = '0' else
ram_data when ram_cs_b = '0' else
x"f1f1";
ram_ub_b <= cpu_uds;
ram_lb_b <= cpu_lds;
ram_cs <= ram_cs_b;
ram_oe_int <= not ((not ram_cs_b) and cpu_R_W_n);
ram_oe <= ram_oe_int;
ram_wr_int <= not ((not ram_cs_b) and (not cpu_R_W_n) and cpu_clken);
ram_wr <= ram_wr_int;
ram_addr <= cpu_addr(19 downto 1);
ram_data <= cpu_dout when cpu_data_drive = '1' else "ZZZZZZZZZZZZZZZZ";
--------------------------------------------------------
-- test signals
--------------------------------------------------------
-- default to hi-impedence, to avoid conflicts with
-- a Raspberry Pi connected to the test connector
test <= (others => 'Z');
--------------------------------------------------------
-- boot mode generator
--------------------------------------------------------
boot_gen : process(cpu_clk, RSTn_sync)
begin
if RSTn_sync = '0' then
bootmode <= '1';
elsif rising_edge(cpu_clk) then
if cpu_as = '0' and cpu_addr(21 downto 19) = "111" then
bootmode <= '0';
end if;
end if;
end process;
--------------------------------------------------------
-- synchronize interrupts etc into 68000 core
--------------------------------------------------------
sync_gen : process(cpu_clk, RSTn_sync)
begin
if RSTn_sync = '0' then
cpu_NMI_n_sync <= '1';
cpu_IRQ_n_sync <= '1';
elsif rising_edge(cpu_clk) then
cpu_NMI_n_sync <= cpu_NMI_n;
cpu_IRQ_n_sync <= cpu_IRQ_n;
end if;
end process;
--------------------------------------------------------
-- clock enable generator
--------------------------------------------------------
clk_gen : process(cpu_clk)
begin
if rising_edge(cpu_clk) then
clken_counter <= clken_counter + 1;
cpu_clken <= clken_counter(0);
RSTn_sync <= RSTn;
end if;
end process;
end BEHAVIORAL;
|
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity donfile_jed is
port(
clock: in std_logic;
input: in std_logic_vector(1 downto 0);
output: out std_logic_vector(0 downto 0)
);
end donfile_jed;
architecture behaviour of donfile_jed is
constant st0: std_logic_vector(4 downto 0) := "10111";
constant st6: std_logic_vector(4 downto 0) := "10110";
constant st12: std_logic_vector(4 downto 0) := "10001";
constant st18: std_logic_vector(4 downto 0) := "10000";
constant st1: std_logic_vector(4 downto 0) := "10101";
constant st7: std_logic_vector(4 downto 0) := "10100";
constant st2: std_logic_vector(4 downto 0) := "11111";
constant st19: std_logic_vector(4 downto 0) := "00000";
constant st3: std_logic_vector(4 downto 0) := "01111";
constant st13: std_logic_vector(4 downto 0) := "01001";
constant st4: std_logic_vector(4 downto 0) := "00101";
constant st5: std_logic_vector(4 downto 0) := "00111";
constant st14: std_logic_vector(4 downto 0) := "11101";
constant st20: std_logic_vector(4 downto 0) := "11100";
constant st8: std_logic_vector(4 downto 0) := "11110";
constant st21: std_logic_vector(4 downto 0) := "11000";
constant st9: std_logic_vector(4 downto 0) := "01110";
constant st15: std_logic_vector(4 downto 0) := "11001";
constant st10: std_logic_vector(4 downto 0) := "00100";
constant st11: std_logic_vector(4 downto 0) := "00110";
constant st22: std_logic_vector(4 downto 0) := "01000";
constant st23: std_logic_vector(4 downto 0) := "01100";
constant st16: std_logic_vector(4 downto 0) := "00001";
constant st17: std_logic_vector(4 downto 0) := "01101";
signal current_state, next_state: std_logic_vector(4 downto 0);
begin
process(clock) begin
if rising_edge(clock) then current_state <= next_state;
end if;
end process;
process(input, current_state) begin
next_state <= "-----"; output <= "-";
case current_state is
when st0 =>
if std_match(input, "00") then next_state <= st0; output <= "1";
elsif std_match(input, "01") then next_state <= st6; output <= "1";
elsif std_match(input, "10") then next_state <= st12; output <= "1";
elsif std_match(input, "11") then next_state <= st18; output <= "1";
end if;
when st1 =>
if std_match(input, "00") then next_state <= st1; output <= "1";
elsif std_match(input, "01") then next_state <= st7; output <= "1";
elsif std_match(input, "10") then next_state <= st12; output <= "1";
elsif std_match(input, "11") then next_state <= st18; output <= "1";
end if;
when st2 =>
if std_match(input, "00") then next_state <= st2; output <= "1";
elsif std_match(input, "01") then next_state <= st6; output <= "1";
elsif std_match(input, "10") then next_state <= st12; output <= "1";
elsif std_match(input, "11") then next_state <= st19; output <= "1";
end if;
when st3 =>
if std_match(input, "00") then next_state <= st3; output <= "1";
elsif std_match(input, "01") then next_state <= st6; output <= "1";
elsif std_match(input, "10") then next_state <= st13; output <= "1";
elsif std_match(input, "11") then next_state <= st19; output <= "1";
end if;
when st4 =>
if std_match(input, "00") then next_state <= st4; output <= "1";
elsif std_match(input, "01") then next_state <= st7; output <= "1";
elsif std_match(input, "10") then next_state <= st13; output <= "1";
elsif std_match(input, "11") then next_state <= st18; output <= "1";
end if;
when st5 =>
if std_match(input, "00") then next_state <= st5; output <= "1";
elsif std_match(input, "01") then next_state <= st7; output <= "1";
elsif std_match(input, "10") then next_state <= st13; output <= "1";
elsif std_match(input, "11") then next_state <= st19; output <= "1";
end if;
when st6 =>
if std_match(input, "00") then next_state <= st0; output <= "1";
elsif std_match(input, "01") then next_state <= st6; output <= "1";
elsif std_match(input, "10") then next_state <= st14; output <= "1";
elsif std_match(input, "11") then next_state <= st20; output <= "1";
end if;
when st7 =>
if std_match(input, "00") then next_state <= st1; output <= "1";
elsif std_match(input, "01") then next_state <= st7; output <= "1";
elsif std_match(input, "10") then next_state <= st14; output <= "1";
elsif std_match(input, "11") then next_state <= st20; output <= "1";
end if;
when st8 =>
if std_match(input, "00") then next_state <= st0; output <= "1";
elsif std_match(input, "01") then next_state <= st8; output <= "1";
elsif std_match(input, "10") then next_state <= st14; output <= "1";
elsif std_match(input, "11") then next_state <= st21; output <= "1";
end if;
when st9 =>
if std_match(input, "00") then next_state <= st0; output <= "1";
elsif std_match(input, "01") then next_state <= st9; output <= "1";
elsif std_match(input, "10") then next_state <= st15; output <= "1";
elsif std_match(input, "11") then next_state <= st21; output <= "1";
end if;
when st10 =>
if std_match(input, "00") then next_state <= st1; output <= "1";
elsif std_match(input, "01") then next_state <= st10; output <= "1";
elsif std_match(input, "10") then next_state <= st15; output <= "1";
elsif std_match(input, "11") then next_state <= st20; output <= "1";
end if;
when st11 =>
if std_match(input, "00") then next_state <= st1; output <= "1";
elsif std_match(input, "01") then next_state <= st11; output <= "1";
elsif std_match(input, "10") then next_state <= st15; output <= "1";
elsif std_match(input, "11") then next_state <= st21; output <= "1";
end if;
when st12 =>
if std_match(input, "00") then next_state <= st2; output <= "1";
elsif std_match(input, "01") then next_state <= st8; output <= "1";
elsif std_match(input, "10") then next_state <= st12; output <= "1";
elsif std_match(input, "11") then next_state <= st22; output <= "1";
end if;
when st13 =>
if std_match(input, "00") then next_state <= st3; output <= "1";
elsif std_match(input, "01") then next_state <= st8; output <= "1";
elsif std_match(input, "10") then next_state <= st13; output <= "1";
elsif std_match(input, "11") then next_state <= st22; output <= "1";
end if;
when st14 =>
if std_match(input, "00") then next_state <= st2; output <= "1";
elsif std_match(input, "01") then next_state <= st8; output <= "1";
elsif std_match(input, "10") then next_state <= st14; output <= "1";
elsif std_match(input, "11") then next_state <= st23; output <= "1";
end if;
when st15 =>
if std_match(input, "00") then next_state <= st2; output <= "1";
elsif std_match(input, "01") then next_state <= st9; output <= "1";
elsif std_match(input, "10") then next_state <= st15; output <= "1";
elsif std_match(input, "11") then next_state <= st23; output <= "1";
end if;
when st16 =>
if std_match(input, "00") then next_state <= st3; output <= "1";
elsif std_match(input, "01") then next_state <= st9; output <= "1";
elsif std_match(input, "10") then next_state <= st16; output <= "1";
elsif std_match(input, "11") then next_state <= st22; output <= "1";
end if;
when st17 =>
if std_match(input, "00") then next_state <= st3; output <= "1";
elsif std_match(input, "01") then next_state <= st9; output <= "1";
elsif std_match(input, "10") then next_state <= st17; output <= "1";
elsif std_match(input, "11") then next_state <= st23; output <= "1";
end if;
when st18 =>
if std_match(input, "00") then next_state <= st4; output <= "1";
elsif std_match(input, "01") then next_state <= st10; output <= "1";
elsif std_match(input, "10") then next_state <= st16; output <= "1";
elsif std_match(input, "11") then next_state <= st18; output <= "1";
end if;
when st19 =>
if std_match(input, "00") then next_state <= st5; output <= "1";
elsif std_match(input, "01") then next_state <= st10; output <= "1";
elsif std_match(input, "10") then next_state <= st16; output <= "1";
elsif std_match(input, "11") then next_state <= st19; output <= "1";
end if;
when st20 =>
if std_match(input, "00") then next_state <= st4; output <= "1";
elsif std_match(input, "01") then next_state <= st10; output <= "1";
elsif std_match(input, "10") then next_state <= st17; output <= "1";
elsif std_match(input, "11") then next_state <= st20; output <= "1";
end if;
when st21 =>
if std_match(input, "00") then next_state <= st4; output <= "1";
elsif std_match(input, "01") then next_state <= st11; output <= "1";
elsif std_match(input, "10") then next_state <= st17; output <= "1";
elsif std_match(input, "11") then next_state <= st21; output <= "1";
end if;
when st22 =>
if std_match(input, "00") then next_state <= st5; output <= "1";
elsif std_match(input, "01") then next_state <= st11; output <= "1";
elsif std_match(input, "10") then next_state <= st16; output <= "1";
elsif std_match(input, "11") then next_state <= st22; output <= "1";
end if;
when st23 =>
if std_match(input, "00") then next_state <= st5; output <= "1";
elsif std_match(input, "01") then next_state <= st11; output <= "1";
elsif std_match(input, "10") then next_state <= st17; output <= "1";
elsif std_match(input, "11") then next_state <= st23; output <= "1";
end if;
when others => next_state <= "-----"; output <= "-";
end case;
end process;
end behaviour;
|
--------------------------------------------------------------------------------
--This file is part of fpga_gpib_controller.
--
-- Fpga_gpib_controller is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- Fpga_gpib_controller is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with Fpga_gpib_controller. If not, see <http://www.gnu.org/licenses/>.
--------------------------------------------------------------------------------
-- Entity: SettingsReg0
-- Date:2011-11-09
-- Author: Andrzej Paluch
--
-- Description ${cursor}
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity SettingsReg0 is
port (
reset : in std_logic;
strobe : in std_logic;
data_in : in std_logic_vector (15 downto 0);
data_out : out std_logic_vector (15 downto 0);
------------- gpib -----------------------------
isLE_TE : out std_logic;
lpeUsed : out std_logic;
fixedPpLine : out std_logic_vector (2 downto 0);
eosUsed : out std_logic;
eosMark : out std_logic_vector (7 downto 0);
lon : out std_logic;
ton : out std_logic
);
end SettingsReg0;
architecture arch of SettingsReg0 is
signal inner_buf : std_logic_vector (15 downto 0);
begin
data_out <= inner_buf;
isLE_TE <= inner_buf(0);
lpeUsed <= inner_buf(1);
fixedPpLine <= inner_buf(4 downto 2);
eosUsed <= inner_buf(5);
eosMark <= inner_buf(13 downto 6);
lon <= inner_buf(14);
ton <= inner_buf(15);
process (reset, strobe) begin
if reset = '1' then
inner_buf <= "0000000000000000";
elsif rising_edge(strobe) then
inner_buf <= data_in;
end if;
end process;
end arch;
|
-- megafunction wizard: %ALTPLL%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altpll
-- ============================================================
-- File Name: pll25.vhd
-- Megafunction Name(s):
-- altpll
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.1 Build 222 10/21/2009 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2009 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY pll25 IS
PORT
(
areset : IN STD_LOGIC := '0';
inclk0 : IN STD_LOGIC := '0';
c0 : OUT STD_LOGIC ;
locked : OUT STD_LOGIC
);
END pll25;
ARCHITECTURE SYN OF pll25 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC ;
SIGNAL sub_wire2 : STD_LOGIC ;
SIGNAL sub_wire3 : STD_LOGIC ;
SIGNAL sub_wire4 : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL sub_wire5_bv : BIT_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire5 : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT altpll
GENERIC (
clk0_divide_by : NATURAL;
clk0_duty_cycle : NATURAL;
clk0_multiply_by : NATURAL;
clk0_phase_shift : STRING;
compensate_clock : STRING;
gate_lock_signal : STRING;
inclk0_input_frequency : NATURAL;
intended_device_family : STRING;
invalid_lock_multiplier : NATURAL;
lpm_hint : STRING;
lpm_type : STRING;
operation_mode : STRING;
port_activeclock : STRING;
port_areset : STRING;
port_clkbad0 : STRING;
port_clkbad1 : STRING;
port_clkloss : STRING;
port_clkswitch : STRING;
port_configupdate : STRING;
port_fbin : STRING;
port_inclk0 : STRING;
port_inclk1 : STRING;
port_locked : STRING;
port_pfdena : STRING;
port_phasecounterselect : STRING;
port_phasedone : STRING;
port_phasestep : STRING;
port_phaseupdown : STRING;
port_pllena : STRING;
port_scanaclr : STRING;
port_scanclk : STRING;
port_scanclkena : STRING;
port_scandata : STRING;
port_scandataout : STRING;
port_scandone : STRING;
port_scanread : STRING;
port_scanwrite : STRING;
port_clk0 : STRING;
port_clk1 : STRING;
port_clk2 : STRING;
port_clk3 : STRING;
port_clk4 : STRING;
port_clk5 : STRING;
port_clkena0 : STRING;
port_clkena1 : STRING;
port_clkena2 : STRING;
port_clkena3 : STRING;
port_clkena4 : STRING;
port_clkena5 : STRING;
port_extclk0 : STRING;
port_extclk1 : STRING;
port_extclk2 : STRING;
port_extclk3 : STRING;
valid_lock_multiplier : NATURAL
);
PORT (
inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
locked : OUT STD_LOGIC ;
areset : IN STD_LOGIC ;
clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire5_bv(0 DOWNTO 0) <= "0";
sub_wire5 <= To_stdlogicvector(sub_wire5_bv);
sub_wire1 <= sub_wire0(0);
c0 <= sub_wire1;
locked <= sub_wire2;
sub_wire3 <= inclk0;
sub_wire4 <= sub_wire5(0 DOWNTO 0) & sub_wire3;
altpll_component : altpll
GENERIC MAP (
clk0_divide_by => 4,
clk0_duty_cycle => 50,
clk0_multiply_by => 2,
clk0_phase_shift => "0",
compensate_clock => "CLK0",
gate_lock_signal => "NO",
inclk0_input_frequency => 41666,
intended_device_family => "Cyclone II",
invalid_lock_multiplier => 5,
lpm_hint => "CBX_MODULE_PREFIX=pll25",
lpm_type => "altpll",
operation_mode => "NORMAL",
port_activeclock => "PORT_UNUSED",
port_areset => "PORT_USED",
port_clkbad0 => "PORT_UNUSED",
port_clkbad1 => "PORT_UNUSED",
port_clkloss => "PORT_UNUSED",
port_clkswitch => "PORT_UNUSED",
port_configupdate => "PORT_UNUSED",
port_fbin => "PORT_UNUSED",
port_inclk0 => "PORT_USED",
port_inclk1 => "PORT_UNUSED",
port_locked => "PORT_USED",
port_pfdena => "PORT_UNUSED",
port_phasecounterselect => "PORT_UNUSED",
port_phasedone => "PORT_UNUSED",
port_phasestep => "PORT_UNUSED",
port_phaseupdown => "PORT_UNUSED",
port_pllena => "PORT_UNUSED",
port_scanaclr => "PORT_UNUSED",
port_scanclk => "PORT_UNUSED",
port_scanclkena => "PORT_UNUSED",
port_scandata => "PORT_UNUSED",
port_scandataout => "PORT_UNUSED",
port_scandone => "PORT_UNUSED",
port_scanread => "PORT_UNUSED",
port_scanwrite => "PORT_UNUSED",
port_clk0 => "PORT_USED",
port_clk1 => "PORT_UNUSED",
port_clk2 => "PORT_UNUSED",
port_clk3 => "PORT_UNUSED",
port_clk4 => "PORT_UNUSED",
port_clk5 => "PORT_UNUSED",
port_clkena0 => "PORT_UNUSED",
port_clkena1 => "PORT_UNUSED",
port_clkena2 => "PORT_UNUSED",
port_clkena3 => "PORT_UNUSED",
port_clkena4 => "PORT_UNUSED",
port_clkena5 => "PORT_UNUSED",
port_extclk0 => "PORT_UNUSED",
port_extclk1 => "PORT_UNUSED",
port_extclk2 => "PORT_UNUSED",
port_extclk3 => "PORT_UNUSED",
valid_lock_multiplier => 1
)
PORT MAP (
inclk => sub_wire4,
areset => areset,
clk => sub_wire0,
locked => sub_wire2
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1"
-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "7"
-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000"
-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "50.000"
-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "312.000"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "25.00000000"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
-- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll25.mif"
-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
-- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "4"
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2"
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
-- Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "NO"
-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "41666"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1"
-- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
-- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
-- Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
-- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
-- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
-- Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll25.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll25.ppf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll25.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll25.cmp FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll25.bsf FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL pll25_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
-- Retrieval info: CBX_MODULE_PREFIX: ON
|
-------------------------------------------------------------------------------
--
-- Title : BiStableElement
-- Design : lab3
-- Author : Dark MeFoDy
-- Company : BSUIR
--
-------------------------------------------------------------------------------
--
-- File : BiStableElement.vhd
-- Generated : Fri Dec 12 13:34:45 2014
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- Description :
--
-------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {BiStableElement} architecture {BiStableElement}}
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity BiStableElement is
port(
Q : out STD_LOGIC;
nQ : out STD_LOGIC
);
end BiStableElement;
--}} End of automatically maintained section
architecture BiStableElement of BiStableElement is
component Inv
port (
a: in std_logic;
z: out std_logic;
);
end component;
signal t1, t2: std_logic;
begin
U1: inv port map (a => t2, z => t1);
U2: inv port map (a => t1, z => t2);
nQ <= t1;
Q <= t2;
end BiStableElement;
|
--
-- This file is part of top_test_fsm_implem
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_fsm_simple IS
END tb_fsm_simple;
ARCHITECTURE behavior OF tb_fsm_simple IS
--Inputs
signal clk : std_logic := '0';
signal rst : std_logic := '0';
--Outputs
signal output : std_logic;
-- Clock period definitions
constant clk_period : time := 40 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut_Test: entity work.fsm_implem(Test) PORT MAP (
clk => clk,
rst => rst,
output => output
);
-- Instantiate the Unit Under Test (UUT)
uut_Simple: entity work.fsm_implem(Simple) PORT MAP (
clk => clk,
rst => rst,
output => output
);
-- Instantiate the Unit Under Test (UUT)
uut_Naive: entity work.fsm_implem(Naive) PORT MAP (
clk => clk,
rst => rst,
output => output
);
-- Instantiate the Unit Under Test (UUT)
uut_Trial: entity work.fsm_implem(Trial) PORT MAP (
clk => clk,
rst => rst,
output => output
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clk_period*10;
-- insert stimulus here
wait;
end process;
END;
|
-- $Id: sys_tst_serloop2_n4.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2016-2019 by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: sys_tst_serloop2_n4 - syn
-- Description: Tester serial link for nexys4 (serport_2clock case)
--
-- Dependencies: bplib/bpgen/s7_cmt_1ce1ce
-- bpgen/bp_rs232_4line_iob
-- bpgen/sn_humanio
-- tst_serloop_hiomap
-- vlib/serport/serport_2clock2
-- tst_serloop
--
-- Test bench: -
--
-- Target Devices: generic
-- Tool versions: viv 2014.4-2018.3; ghdl 0.31-0.35
--
-- Synthesized:
-- Date Rev viv Target flop lutl lutm bram slic
-- 2019-02-02 1108 2018.3 xc7a100t-1 537 512 16 0 236
-- 2019-02-02 1108 2017.2 xc7a100t-1 537 549 16 0 238
--
-- Revision History:
-- Date Rev Version Comment
-- 2018-12-16 1086 1.1 use s7_cmt_1ce1ce
-- 2016-06-05 722 1.0.1 use CDUWIDTH=7 for CLKS, 120 MHz is natural choice
-- 2015-02-01 641 1.0 Initial version (derived from sys_tst_serloop1_n4)
------------------------------------------------------------------------------
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.bpgenlib.all;
use work.tst_serlooplib.all;
use work.serportlib.all;
use work.sys_conf.all;
-- ----------------------------------------------------------------------------
entity sys_tst_serloop2_n4 is -- top level
-- implements nexys4_aif
port (
I_CLK100 : in slbit; -- 100 MHz clock
I_RXD : in slbit; -- receive data (board view)
O_TXD : out slbit; -- transmit data (board view)
O_RTS_N : out slbit; -- rx rts (board view; act.low)
I_CTS_N : in slbit; -- tx cts (board view; act.low)
I_SWI : in slv16; -- n4 switches
I_BTN : in slv5; -- n4 buttons
I_BTNRST_N : in slbit; -- n4 reset button
O_LED : out slv16; -- n4 leds
O_RGBLED0 : out slv3; -- n4 rgb-led 0
O_RGBLED1 : out slv3; -- n4 rgb-led 1
O_ANO_N : out slv8; -- 7 segment disp: anodes (act.low)
O_SEG_N : out slv8 -- 7 segment disp: segments (act.low)
);
end sys_tst_serloop2_n4;
architecture syn of sys_tst_serloop2_n4 is
signal CLK : slbit := '0';
signal RESET : slbit := '0';
signal CE_USEC : slbit := '0';
signal CE_MSEC : slbit := '0';
signal CLKS : slbit := '0';
signal CES_MSEC : slbit := '0';
signal RXD : slbit := '0';
signal TXD : slbit := '0';
signal CTS_N : slbit := '0';
signal RTS_N : slbit := '0';
signal SWI : slv16 := (others=>'0');
signal BTN : slv5 := (others=>'0');
signal LED : slv16 := (others=>'0');
signal DSP_DAT : slv32 := (others=>'0');
signal DSP_DP : slv8 := (others=>'0');
signal HIO_CNTL : hio_cntl_type := hio_cntl_init;
signal HIO_STAT : hio_stat_type := hio_stat_init;
signal RXDATA : slv8 := (others=>'0');
signal RXVAL : slbit := '0';
signal RXHOLD : slbit := '0';
signal TXDATA : slv8 := (others=>'0');
signal TXENA : slbit := '0';
signal TXBUSY : slbit := '0';
signal SER_MONI : serport_moni_type := serport_moni_init;
begin
GEN_CLKALL : s7_cmt_1ce1ce -- clock generator system ------------
generic map (
CLKIN_PERIOD => 10.0,
CLKIN_JITTER => 0.01,
STARTUP_WAIT => false,
CLK0_VCODIV => sys_conf_clksys_vcodivide,
CLK0_VCOMUL => sys_conf_clksys_vcomultiply,
CLK0_OUTDIV => sys_conf_clksys_outdivide,
CLK0_GENTYPE => sys_conf_clksys_gentype,
CLK0_CDUWIDTH => 8,
CLK0_USECDIV => sys_conf_clksys_mhz,
CLK0_MSECDIV => sys_conf_clksys_msecdiv,
CLK1_VCODIV => sys_conf_clkser_vcodivide,
CLK1_VCOMUL => sys_conf_clkser_vcomultiply,
CLK1_OUTDIV => sys_conf_clkser_outdivide,
CLK1_GENTYPE => sys_conf_clkser_gentype,
CLK1_CDUWIDTH => 7,
CLK1_USECDIV => sys_conf_clkser_mhz,
CLK1_MSECDIV => sys_conf_clkser_msecdiv)
port map (
CLKIN => I_CLK100,
CLK0 => CLK,
CE0_USEC => CE_USEC,
CE0_MSEC => CE_MSEC,
CLK1 => CLKS,
CE1_USEC => open,
CE1_MSEC => CES_MSEC,
LOCKED => open
);
HIO : sn_humanio
generic map (
SWIDTH => 16,
BWIDTH => 5,
LWIDTH => 16,
DCWIDTH => 3,
DEBOUNCE => sys_conf_hio_debounce)
port map (
CLK => CLK,
RESET => '0',
CE_MSEC => CE_MSEC,
SWI => SWI,
BTN => BTN,
LED => LED,
DSP_DAT => DSP_DAT,
DSP_DP => DSP_DP,
I_SWI => I_SWI,
I_BTN => I_BTN,
O_LED => O_LED,
O_ANO_N => O_ANO_N,
O_SEG_N => O_SEG_N
);
RESET <= BTN(0); -- BTN(0) will reset tester !!
HIOMAP : tst_serloop_hiomap
port map (
CLK => CLK,
RESET => RESET,
HIO_CNTL => HIO_CNTL,
HIO_STAT => HIO_STAT,
SER_MONI => SER_MONI,
SWI => SWI(7 downto 0),
BTN => BTN(3 downto 0),
LED => LED(7 downto 0),
DSP_DAT => DSP_DAT(15 downto 0),
DSP_DP => DSP_DP(3 downto 0)
);
IOB_RS232 : bp_rs232_4line_iob
port map (
CLK => CLKS,
RXD => RXD,
TXD => TXD,
CTS_N => CTS_N,
RTS_N => RTS_N,
I_RXD => I_RXD,
O_TXD => O_TXD,
I_CTS_N => I_CTS_N,
O_RTS_N => O_RTS_N
);
SERPORT : serport_2clock2
generic map (
CDWIDTH => 12,
CDINIT => sys_conf_uart_cdinit,
RXFAWIDTH => 5,
TXFAWIDTH => 5)
port map (
CLKU => CLK,
RESET => RESET,
CLKS => CLKS,
CES_MSEC => CES_MSEC,
ENAXON => HIO_CNTL.enaxon,
ENAESC => HIO_CNTL.enaesc,
RXDATA => RXDATA,
RXVAL => RXVAL,
RXHOLD => RXHOLD,
TXDATA => TXDATA,
TXENA => TXENA,
TXBUSY => TXBUSY,
MONI => SER_MONI,
RXSD => RXD,
TXSD => TXD,
RXRTS_N => RTS_N,
TXCTS_N => CTS_N
);
TESTER : tst_serloop
port map (
CLK => CLK,
RESET => RESET,
CE_MSEC => CE_MSEC,
HIO_CNTL => HIO_CNTL,
HIO_STAT => HIO_STAT,
SER_MONI => SER_MONI,
RXDATA => RXDATA,
RXVAL => RXVAL,
RXHOLD => RXHOLD,
TXDATA => TXDATA,
TXENA => TXENA,
TXBUSY => TXBUSY
);
-- show autobauder clock divisor on msb of display
DSP_DAT(31 downto 20) <= SER_MONI.abclkdiv(11 downto 0);
DSP_DAT(19) <= '0';
DSP_DAT(18 downto 16) <= SER_MONI.abclkdiv_f;
DSP_DP(7 downto 4) <= "0010";
-- setup unused outputs in nexys4
O_RGBLED0 <= (others=>'0');
O_RGBLED1 <= (others=>not I_BTNRST_N);
end syn;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11:47:57 05/03/2011
-- Design Name:
-- Module Name: C:/Users/Ben/Desktop/Folders/Projects/Personal/Senior Project/FPGA Stuff/OZ4_Mandelbrot/Hardware/OZ4_Mandelbrot/sim_mem_top.vhd
-- Project Name: OZ4_Mandelbrot
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: OZ4_Mandelbrot_top
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY sim_mem_top IS
END sim_mem_top;
ARCHITECTURE behavior OF sim_mem_top IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT OZ4_Mandelbrot_top
PORT(
clk : IN std_logic;
rst : IN std_logic;
RAM_addr : OUT std_logic_vector(22 downto 0);
RAM_data_bus : INOUT std_logic_vector(15 downto 0);
RAM_oe : OUT std_logic;
RAM_we : OUT std_logic;
RAM_ub : OUT std_logic;
RAM_lb : OUT std_logic;
RAM_ce : OUT std_logic;
hsync : OUT std_logic;
vsync : OUT std_logic;
red : OUT std_logic_vector(2 downto 0);
green : OUT std_logic_vector(2 downto 0);
blue : OUT std_logic_vector(1 downto 0);
LEDs : OUT std_logic_vector(7 downto 0);
seg7_sigs : OUT std_logic_vector(6 downto 0);
anodes : OUT std_logic_vector(3 downto 0)
);
END COMPONENT;
component cellram
port (
clk : in STD_LOGIC;
adv_n : in STD_LOGIC;
cre : in STD_LOGIC;
o_wait : out STD_LOGIC;
ce_n : in STD_LOGIC;
oe_n : in STD_LOGIC;
we_n : in STD_LOGIC;
lb_n : in STD_LOGIC;
ub_n : in STD_LOGIC;
addr : in STD_LOGIC_VECTOR(22 downto 0);
dq : inout STD_LOGIC_VECTOR(15 downto 0));
end component;
--Inputs
signal clk : std_logic := '0';
signal rst : std_logic := '0';
--BiDirs
signal RAM_data_bus : std_logic_vector(15 downto 0);
--Outputs
signal RAM_addr : std_logic_vector(22 downto 0);
signal RAM_oe : std_logic;
signal RAM_we : std_logic;
signal RAM_ub : std_logic;
signal RAM_lb : std_logic;
signal RAM_ce : std_logic;
signal hsync : std_logic;
signal vsync : std_logic;
signal red : std_logic_vector(2 downto 0);
signal green : std_logic_vector(2 downto 0);
signal blue : std_logic_vector(1 downto 0);
signal LEDs : std_logic_vector(7 downto 0);
signal seg7_sigs : std_logic_vector(6 downto 0);
signal anodes : std_logic_vector(3 downto 0);
-- Clock period definitions
constant clk_period : time := 20 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: OZ4_Mandelbrot_top PORT MAP (
clk => clk,
rst => rst,
RAM_addr => RAM_addr,
RAM_data_bus => RAM_data_bus,
RAM_oe => RAM_oe,
RAM_we => RAM_we,
RAM_ub => RAM_ub,
RAM_lb => RAM_lb,
RAM_ce => RAM_ce,
hsync => hsync,
vsync => vsync,
red => red,
green => green,
blue => blue,
LEDs => LEDs,
seg7_sigs => seg7_sigs,
anodes => anodes
);
Rizzam : cellram
port map(
clk => '0',
adv_n => '1',
cre => '0',
o_wait => open,
ce_n => RAM_ce,
oe_n => RAM_oe,
we_n => RAM_we,
lb_n => RAM_lb,
ub_n => RAM_ub,
addr => RAM_addr,
dq => RAM_data_bus
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
rst <= '1';
wait for 150 us;
rst <= '0';
wait;
end process;
END;
|
--------------------------------------------------------------------------------
--
-- File:
-- axi_i2s_adi_S_AXI.vhd
--
-- Module:
-- AXIS I2S Controller AXI Slave Interface
--
-- Author:
-- Tinghui Wang (Steve)
-- Sam Bobrowicz
--
-- Description:
-- AXI-Lite Register Interface for AXI I2S Controller
--
-- Copyright notice:
-- Copyright (C) 2014 Digilent Inc.
--
-- License:
-- This program is free software; distributed under the terms of
-- BSD 3-clause license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
-- IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
-- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
-- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE
-- OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
-- OF THE POSSIBILITY OF SUCH DAMAGE.
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity axi_i2s_adi_S_AXI is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Width of S_AXI data bus
C_S_AXI_DATA_WIDTH : integer := 32;
-- Width of S_AXI address bus
C_S_AXI_ADDR_WIDTH : integer := 6
);
port (
rd_addr : out integer range 0 to 12 - 1;
rd_data : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
rd_ack : out std_logic;
wr_addr : out integer range 0 to 12 - 1;
wr_data : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
wr_stb : out std_logic;
-- User ports ends
-- Do not modify the ports beyond this line
-- Global Clock Signal
S_AXI_ACLK : in std_logic;
-- Global Reset Signal. This Signal is Active LOW
S_AXI_ARESETN : in std_logic;
-- Write address (issued by master, acceped by Slave)
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
-- Write channel Protection type. This signal indicates the
-- privilege and security level of the transaction, and whether
-- the transaction is a data access or an instruction access.
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
-- Write address valid. This signal indicates that the master signaling
-- valid write address and control information.
S_AXI_AWVALID : in std_logic;
-- Write address ready. This signal indicates that the slave is ready
-- to accept an address and associated control signals.
S_AXI_AWREADY : out std_logic;
-- Write data (issued by master, acceped by Slave)
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- Write strobes. This signal indicates which byte lanes hold
-- valid data. There is one write strobe bit for each eight
-- bits of the write data bus.
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
-- Write valid. This signal indicates that valid write
-- data and strobes are available.
S_AXI_WVALID : in std_logic;
-- Write ready. This signal indicates that the slave
-- can accept the write data.
S_AXI_WREADY : out std_logic;
-- Write response. This signal indicates the status
-- of the write transaction.
S_AXI_BRESP : out std_logic_vector(1 downto 0);
-- Write response valid. This signal indicates that the channel
-- is signaling a valid write response.
S_AXI_BVALID : out std_logic;
-- Response ready. This signal indicates that the master
-- can accept a write response.
S_AXI_BREADY : in std_logic;
-- Read address (issued by master, acceped by Slave)
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
-- Protection type. This signal indicates the privilege
-- and security level of the transaction, and whether the
-- transaction is a data access or an instruction access.
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
-- Read address valid. This signal indicates that the channel
-- is signaling valid read address and control information.
S_AXI_ARVALID : in std_logic;
-- Read address ready. This signal indicates that the slave is
-- ready to accept an address and associated control signals.
S_AXI_ARREADY : out std_logic;
-- Read data (issued by slave)
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- Read response. This signal indicates the status of the
-- read transfer.
S_AXI_RRESP : out std_logic_vector(1 downto 0);
-- Read valid. This signal indicates that the channel is
-- signaling the required read data.
S_AXI_RVALID : out std_logic;
-- Read ready. This signal indicates that the master can
-- accept the read data and response information.
S_AXI_RREADY : in std_logic
);
end axi_i2s_adi_S_AXI;
architecture arch_imp of axi_i2s_adi_S_AXI is
-- AXI4LITE signals
signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_awready : std_logic;
signal axi_wready : std_logic;
signal axi_bresp : std_logic_vector(1 downto 0);
signal axi_bvalid : std_logic;
signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_arready : std_logic;
signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal axi_rresp : std_logic_vector(1 downto 0);
signal axi_rvalid : std_logic;
-- Example-specific design signals
-- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
-- ADDR_LSB is used for addressing 32/64 bit registers/memories
-- ADDR_LSB = 2 for 32 bits (n downto 2)
-- ADDR_LSB = 3 for 64 bits (n downto 3)
constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1;
constant OPT_MEM_ADDR_BITS : integer := 3;
------------------------------------------------
---- Signals for user logic register space example
--------------------------------------------------
signal slv_reg_rden : std_logic;
signal slv_reg_wren : std_logic;
signal reg_data_out :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
begin
-- I/O Connections assignments
S_AXI_AWREADY <= axi_awready;
S_AXI_WREADY <= axi_wready;
S_AXI_BRESP <= axi_bresp;
S_AXI_BVALID <= axi_bvalid;
S_AXI_ARREADY <= axi_arready;
S_AXI_RDATA <= axi_rdata;
S_AXI_RRESP <= axi_rresp;
S_AXI_RVALID <= axi_rvalid;
-- Implement axi_awready generation
-- axi_awready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awready <= '0';
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- slave is ready to accept write address when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_awready <= '1';
else
axi_awready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_awaddr latching
-- This process is used to latch the address when both
-- S_AXI_AWVALID and S_AXI_WVALID are valid.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awaddr <= (others => '0');
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- Write Address latching
axi_awaddr <= S_AXI_AWADDR;
end if;
end if;
end if;
end process;
-- Implement axi_wready generation
-- axi_wready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_wready <= '0';
else
if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then
-- slave is ready to accept write data when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_wready <= '1';
else
axi_wready <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and write logic generation
-- The write data is accepted and written to memory mapped registers when
-- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
-- select byte enables of slave registers while writing.
-- These registers are cleared when reset (active low) is applied.
-- Slave register write enable is asserted when valid address and data are available
-- and the slave is ready to accept the write address and write data.
slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ;
wr_data <= S_AXI_WDATA;
wr_addr <= to_integer(unsigned(axi_awaddr((C_S_AXI_ADDR_WIDTH - 1) downto 2)));
wr_stb <= slv_reg_wren;
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_bvalid <= '0';
axi_bresp <= "00"; --need to work more on the responses
else
if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then
axi_bvalid <= '1';
axi_bresp <= "00";
elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high)
axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high)
end if;
end if;
end if;
end process;
-- Implement axi_arready generation
-- axi_arready is asserted for one S_AXI_ACLK clock cycle when
-- S_AXI_ARVALID is asserted. axi_awready is
-- de-asserted when reset (active low) is asserted.
-- The read address is also latched when S_AXI_ARVALID is
-- asserted. axi_araddr is reset to zero on reset assertion.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_arready <= '0';
axi_araddr <= (others => '1');
else
if (axi_arready = '0' and S_AXI_ARVALID = '1') then
-- indicates that the slave has acceped the valid read address
axi_arready <= '1';
-- Read Address latching
axi_araddr <= S_AXI_ARADDR;
else
axi_arready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_arvalid generation
-- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_ARVALID and axi_arready are asserted. The slave registers
-- data are available on the axi_rdata bus at this instance. The
-- assertion of axi_rvalid marks the validity of read data on the
-- bus and axi_rresp indicates the status of read transaction.axi_rvalid
-- is deasserted on reset (active low). axi_rresp and axi_rdata are
-- cleared to zero on reset (active low).
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_rvalid <= '0';
axi_rresp <= "00";
else
if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then
-- Valid read data is available at the read data bus
axi_rvalid <= '1';
axi_rresp <= "00"; -- 'OKAY' response
elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then
-- Read data is accepted by the master
axi_rvalid <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and read logic generation
-- Slave register read enable is asserted when valid address is available
-- and the slave is ready to accept the read address.
slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ;
rd_ack <= slv_reg_rden;
reg_data_out <= rd_data;
rd_addr <= to_integer(unsigned(axi_araddr((C_S_AXI_ADDR_WIDTH - 1) downto 2)));
-- Output register or memory read data
process( S_AXI_ACLK ) is
begin
if (rising_edge (S_AXI_ACLK)) then
if ( S_AXI_ARESETN = '0' ) then
axi_rdata <= (others => '0');
else
if (slv_reg_rden = '1') then
-- When there is a valid read address (S_AXI_ARVALID) with
-- acceptance of read address by the slave (axi_arready),
-- output the read dada
-- Read address mux
axi_rdata <= reg_data_out; -- register read data
end if;
end if;
end if;
end process;
-- Add user logic here
-- User logic ends
end arch_imp;
|
--------------------------------------------------------------------------------
--
-- File:
-- axi_i2s_adi_S_AXI.vhd
--
-- Module:
-- AXIS I2S Controller AXI Slave Interface
--
-- Author:
-- Tinghui Wang (Steve)
-- Sam Bobrowicz
--
-- Description:
-- AXI-Lite Register Interface for AXI I2S Controller
--
-- Copyright notice:
-- Copyright (C) 2014 Digilent Inc.
--
-- License:
-- This program is free software; distributed under the terms of
-- BSD 3-clause license ("Revised BSD License", "New BSD License", or "Modified BSD License")
--
-- Redistribution and use in source and binary forms, with or without modification,
-- are permitted provided that the following conditions are met:
--
-- 1. Redistributions of source code must retain the above copyright notice, this
-- list of conditions and the following disclaimer.
-- 2. Redistributions in binary form must reproduce the above copyright notice,
-- this list of conditions and the following disclaimer in the documentation
-- and/or other materials provided with the distribution.
-- 3. Neither the name(s) of the above-listed copyright holder(s) nor the names
-- of its contributors may be used to endorse or promote products derived
-- from this software without specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.
-- IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT,
-- INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,
-- DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF
-- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE
-- OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED
-- OF THE POSSIBILITY OF SUCH DAMAGE.
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity axi_i2s_adi_S_AXI is
generic (
-- Users to add parameters here
-- User parameters ends
-- Do not modify the parameters beyond this line
-- Width of S_AXI data bus
C_S_AXI_DATA_WIDTH : integer := 32;
-- Width of S_AXI address bus
C_S_AXI_ADDR_WIDTH : integer := 6
);
port (
rd_addr : out integer range 0 to 12 - 1;
rd_data : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
rd_ack : out std_logic;
wr_addr : out integer range 0 to 12 - 1;
wr_data : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
wr_stb : out std_logic;
-- User ports ends
-- Do not modify the ports beyond this line
-- Global Clock Signal
S_AXI_ACLK : in std_logic;
-- Global Reset Signal. This Signal is Active LOW
S_AXI_ARESETN : in std_logic;
-- Write address (issued by master, acceped by Slave)
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
-- Write channel Protection type. This signal indicates the
-- privilege and security level of the transaction, and whether
-- the transaction is a data access or an instruction access.
S_AXI_AWPROT : in std_logic_vector(2 downto 0);
-- Write address valid. This signal indicates that the master signaling
-- valid write address and control information.
S_AXI_AWVALID : in std_logic;
-- Write address ready. This signal indicates that the slave is ready
-- to accept an address and associated control signals.
S_AXI_AWREADY : out std_logic;
-- Write data (issued by master, acceped by Slave)
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- Write strobes. This signal indicates which byte lanes hold
-- valid data. There is one write strobe bit for each eight
-- bits of the write data bus.
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
-- Write valid. This signal indicates that valid write
-- data and strobes are available.
S_AXI_WVALID : in std_logic;
-- Write ready. This signal indicates that the slave
-- can accept the write data.
S_AXI_WREADY : out std_logic;
-- Write response. This signal indicates the status
-- of the write transaction.
S_AXI_BRESP : out std_logic_vector(1 downto 0);
-- Write response valid. This signal indicates that the channel
-- is signaling a valid write response.
S_AXI_BVALID : out std_logic;
-- Response ready. This signal indicates that the master
-- can accept a write response.
S_AXI_BREADY : in std_logic;
-- Read address (issued by master, acceped by Slave)
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
-- Protection type. This signal indicates the privilege
-- and security level of the transaction, and whether the
-- transaction is a data access or an instruction access.
S_AXI_ARPROT : in std_logic_vector(2 downto 0);
-- Read address valid. This signal indicates that the channel
-- is signaling valid read address and control information.
S_AXI_ARVALID : in std_logic;
-- Read address ready. This signal indicates that the slave is
-- ready to accept an address and associated control signals.
S_AXI_ARREADY : out std_logic;
-- Read data (issued by slave)
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
-- Read response. This signal indicates the status of the
-- read transfer.
S_AXI_RRESP : out std_logic_vector(1 downto 0);
-- Read valid. This signal indicates that the channel is
-- signaling the required read data.
S_AXI_RVALID : out std_logic;
-- Read ready. This signal indicates that the master can
-- accept the read data and response information.
S_AXI_RREADY : in std_logic
);
end axi_i2s_adi_S_AXI;
architecture arch_imp of axi_i2s_adi_S_AXI is
-- AXI4LITE signals
signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_awready : std_logic;
signal axi_wready : std_logic;
signal axi_bresp : std_logic_vector(1 downto 0);
signal axi_bvalid : std_logic;
signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal axi_arready : std_logic;
signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
signal axi_rresp : std_logic_vector(1 downto 0);
signal axi_rvalid : std_logic;
-- Example-specific design signals
-- local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
-- ADDR_LSB is used for addressing 32/64 bit registers/memories
-- ADDR_LSB = 2 for 32 bits (n downto 2)
-- ADDR_LSB = 3 for 64 bits (n downto 3)
constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1;
constant OPT_MEM_ADDR_BITS : integer := 3;
------------------------------------------------
---- Signals for user logic register space example
--------------------------------------------------
signal slv_reg_rden : std_logic;
signal slv_reg_wren : std_logic;
signal reg_data_out :std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
begin
-- I/O Connections assignments
S_AXI_AWREADY <= axi_awready;
S_AXI_WREADY <= axi_wready;
S_AXI_BRESP <= axi_bresp;
S_AXI_BVALID <= axi_bvalid;
S_AXI_ARREADY <= axi_arready;
S_AXI_RDATA <= axi_rdata;
S_AXI_RRESP <= axi_rresp;
S_AXI_RVALID <= axi_rvalid;
-- Implement axi_awready generation
-- axi_awready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awready <= '0';
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- slave is ready to accept write address when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_awready <= '1';
else
axi_awready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_awaddr latching
-- This process is used to latch the address when both
-- S_AXI_AWVALID and S_AXI_WVALID are valid.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_awaddr <= (others => '0');
else
if (axi_awready = '0' and S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
-- Write Address latching
axi_awaddr <= S_AXI_AWADDR;
end if;
end if;
end if;
end process;
-- Implement axi_wready generation
-- axi_wready is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is
-- de-asserted when reset is low.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_wready <= '0';
else
if (axi_wready = '0' and S_AXI_WVALID = '1' and S_AXI_AWVALID = '1') then
-- slave is ready to accept write data when
-- there is a valid write address and write data
-- on the write address and data bus. This design
-- expects no outstanding transactions.
axi_wready <= '1';
else
axi_wready <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and write logic generation
-- The write data is accepted and written to memory mapped registers when
-- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
-- select byte enables of slave registers while writing.
-- These registers are cleared when reset (active low) is applied.
-- Slave register write enable is asserted when valid address and data are available
-- and the slave is ready to accept the write address and write data.
slv_reg_wren <= axi_wready and S_AXI_WVALID and axi_awready and S_AXI_AWVALID ;
wr_data <= S_AXI_WDATA;
wr_addr <= to_integer(unsigned(axi_awaddr((C_S_AXI_ADDR_WIDTH - 1) downto 2)));
wr_stb <= slv_reg_wren;
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_bvalid <= '0';
axi_bresp <= "00"; --need to work more on the responses
else
if (axi_awready = '1' and S_AXI_AWVALID = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' ) then
axi_bvalid <= '1';
axi_bresp <= "00";
elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high)
axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high)
end if;
end if;
end if;
end process;
-- Implement axi_arready generation
-- axi_arready is asserted for one S_AXI_ACLK clock cycle when
-- S_AXI_ARVALID is asserted. axi_awready is
-- de-asserted when reset (active low) is asserted.
-- The read address is also latched when S_AXI_ARVALID is
-- asserted. axi_araddr is reset to zero on reset assertion.
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_arready <= '0';
axi_araddr <= (others => '1');
else
if (axi_arready = '0' and S_AXI_ARVALID = '1') then
-- indicates that the slave has acceped the valid read address
axi_arready <= '1';
-- Read Address latching
axi_araddr <= S_AXI_ARADDR;
else
axi_arready <= '0';
end if;
end if;
end if;
end process;
-- Implement axi_arvalid generation
-- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both
-- S_AXI_ARVALID and axi_arready are asserted. The slave registers
-- data are available on the axi_rdata bus at this instance. The
-- assertion of axi_rvalid marks the validity of read data on the
-- bus and axi_rresp indicates the status of read transaction.axi_rvalid
-- is deasserted on reset (active low). axi_rresp and axi_rdata are
-- cleared to zero on reset (active low).
process (S_AXI_ACLK)
begin
if rising_edge(S_AXI_ACLK) then
if S_AXI_ARESETN = '0' then
axi_rvalid <= '0';
axi_rresp <= "00";
else
if (axi_arready = '1' and S_AXI_ARVALID = '1' and axi_rvalid = '0') then
-- Valid read data is available at the read data bus
axi_rvalid <= '1';
axi_rresp <= "00"; -- 'OKAY' response
elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then
-- Read data is accepted by the master
axi_rvalid <= '0';
end if;
end if;
end if;
end process;
-- Implement memory mapped register select and read logic generation
-- Slave register read enable is asserted when valid address is available
-- and the slave is ready to accept the read address.
slv_reg_rden <= axi_arready and S_AXI_ARVALID and (not axi_rvalid) ;
rd_ack <= slv_reg_rden;
reg_data_out <= rd_data;
rd_addr <= to_integer(unsigned(axi_araddr((C_S_AXI_ADDR_WIDTH - 1) downto 2)));
-- Output register or memory read data
process( S_AXI_ACLK ) is
begin
if (rising_edge (S_AXI_ACLK)) then
if ( S_AXI_ARESETN = '0' ) then
axi_rdata <= (others => '0');
else
if (slv_reg_rden = '1') then
-- When there is a valid read address (S_AXI_ARVALID) with
-- acceptance of read address by the slave (axi_arready),
-- output the read dada
-- Read address mux
axi_rdata <= reg_data_out; -- register read data
end if;
end if;
end if;
end process;
-- Add user logic here
-- User logic ends
end arch_imp;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
use work.vector_pkg.all;
package exploration_pkg is
constant NUMBER_OF_CELLS : integer := 256;
constant NUMBER_OF_ROWS : integer := 16;
constant CELLS_PER_ROW : integer := 16;
constant CELL_HEIGHT : real := 14.4;
constant CELL_WIDTH : real := 8.8;
constant LINEAR_COST : real := 0.2;
constant ANGULAR_COST : real := 0.8;
constant INFINITY : real := 10000000.0;
type gridArray is array (0 to NUMBER_OF_CELLS-1) of std_logic;
type cellsAndCostsArray is array (integer range <>) of CellsAndCosts;
type integer_array is array (integer range <>) of integer;
type real_array is array (integer range <>) of real;
function isGridExplored(grid : gridArray) return std_logic;
function calculateCosts(currentCell : integer, currentOrientation : real, grid : gridArray) return integer;
function calculateCellCost(cell: integer, currentCell : integer, orientation : real) return real;
function normaliseAngle(angle : real) return real;
end;
package body exploration_pkg is
--- Returns '1' if all cells in 'grid' have been marked '1', and, in addition,
--- 'numberOfNuggetsToCollect' is equal to 0; returns '0' otherwise.
---
--- Arguments:
--- grid -- A GridArray array representing a boolean grid.
--- numberOfNuggetToCollect -- An integer denoting the number of visible nuggets that need to be collected.
---
function isGridExplored(grid : gridArray, numberOfNuggetsToCollect : integer) return std_logic is
variable isExplored : std_logic := '1';
begin
isExplored := '1';
for i in 0 to NUMBER_OF_CELLS-1 loop
if grid(i) = '0' then
isExplored := '0';
end if;
end loop;
if isExplored = '1' and numberOfNuggetsToCollect > 0 then
isExplored := '0';
end if;
return isExplored;
end isGridExplored;
--- Calculates a cost for each of the unexplored cells given the current position and orientation of the robot.
---
--- Arguments:
--- currentCell -- An index denoting the current position of a robot.
--- currentOrientation -- A floating-point number denoting a robot's orientation.
--- grid -- A GridArray array representing a boolean grid.
---
--- Returns:
--- minimumCostCell -- An index denoting the cell with lowest combined linear and angular cost.
---
function calculateCosts(currentCell : integer, currentOrientation : real, grid : gridArray) return integer is
variable cellCosts: real_array(0 to NUMBER_OF_CELLS);
variable minimumCost : real;
variable minimumCostCell : integer;
begin
for i in 0 to NUMBER_OF_CELLS loop
if i = currentCell or grid(cell) = '1' then
cellCosts(i) := INFINITY;
else
cellCosts(i) := calculateCellCost(i, currentCell, currentOrientation);
end if;
end loop;
minimumCost := cellCosts(0);
minimumCostCell := 0;
for i in 1 to NUMBER_OF_CELLS loop
if minimumCost > cellCosts(i) then
minimumCost := cellCosts(i);
minimumcCostCell := i;
end if;
end loop;
return minimumCostCell;
end;
--- Calculates the cost of 'cell' with respect to 'currentCell' and 'orientation'.
---
--- Arguments:
--- cell -- Index of a cell.
--- currentCell -- An index denoting the current position of a robot.
--- orientation --A floating-point number denoting a robot's orientation.
---
--- Returns:
--- cost -- A floating-point number representing the calculated cost.
---
function calculateCellCost(cell: integer, currentCell : integer, orientation : real) return real is
variable cost : real := 0.0;
variable dotProduct : real;
variable vectorToCell : Vector;
variable directionVector : Vector;
variable linearDistance : real;
variable angularDistance : real;
begin
vectorToCell.x := (real(cell) * CELL_WIDTH) - (real(currentCell) * CELL_WIDTH);
vectorToCell.y := (real(cell) * CELL_HEIGHT) - (real(currentCell) * CELL_HEIGHT);
linearDistance := sqrt((xDistance * xDistance) + (yDistance * yDistance));
directionVector.x := cos(orientation);
directionVector.y := sin(orientation);
dotProduct := vectorToCell.x * directionVector.x + vectorToCell.y * directionVector.y;
angularDistance := normaliseAngle(arccos(dotProduct / norm(vectorToCell)));
cost = LINEAR_COST * linearDistance + ANGULAR_COST * angularDistance;
return cost;
end calculateCellCost;
--- Transforms 'angle' so that it lies in the (0,2*pi) range.
---
--- Arguments:
--- angle -- A floating-point number representing an angle.
---
function normaliseAngle(angle : real) return real is
begin
if angle < 0 then
angle = angle + 2.0 * MATH_PI;
end if;
return angle;
end normaliseAngle;
end package body; |
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Pt4aY6G08/hKp6oRgX+LE6x/siAkxyTi2h/A4y834DP+NfcKRizMAIgCLeBHutJalaa38o0yOPpU
FaMqATD4iA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PD6PKePxx1WjqNdIxO6bamF2NWJhlSNxxfQPPiq6zZKG41qRVFhmUNHm5G+Le1hiZpU+vsDLbfao
81TB5+C0XNmbmFjbuM8Q2cCIrLbT5yDa1m1/rZgP0i3kYtN/EknkKztcksSFcuuv7ykPZim3HoXF
M95gnUw+hhg23LrzWEk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
46X0ANSzbYmfNrodtfIaZWJNBQGT5QaQMtoUiR4+ptVWyu7W3HpbZTExBji0EZNw+Huy7BPlb75u
RBb2POe6J2NgLYI7z/YszVZ3CWXV1JqKYgAeMdtXdyMcfUIaigxjXHVgUMHbJnWBYpjjv4DpaXmo
Dx76cxbc9cMUasNH9AJiDUhGyLcZNu218nyzhBIZDoESRDgLw0j/bl56Xm0ouzz+nVYk0tarfx0g
eQ0Gpm+bqFp3Q45FlHwEAdD6CU+jiAxPugIm9gQJ3djAVKOk0xJGjg7vIN9hL6STHm/LZ9YmzX5m
q6MYqyOBmxck8wLq0PZRYsClQytH77xxUSrWUw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
b8AHxogJeLJLnFwg68G0mDU/bvdSweERDfnDGmJeawspK9r/vPptZXCrv8oMsk65bVDKIT9ucqYH
gNwVeKUCfVCjf5CXjjGHfC2tBpTvHcPbXjirhDzK3ZW01eR5x5R8BH1Sc/qX/3sDl04RAXWGbQLP
J+5AITyxN3O0BW/aGek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OgYs0PPuBuAxzys1Gh/RlU5yuydu4lNweK+e6wKV3dcs5+hwP5meJITldDR87v3Df4HaU0WQepDM
4soHj8Ezhyx4YYgxuJjfEHS9dmLpk1NWtLV2DKOl9ZMDT2vwQOB5r2BLiVijkZpzu5xKnivXTPoG
p4e1GENtiBWz1cCmn1MJAtTp3Kq4r1lG6BZKtsn6mnHedcvvl0V5vXcjSxK/Q9Q8+7mss9OO/Xu1
gsFGMYW5swswLMnp2c1Xuc16UIoOm2XJXbNYFzuiQwss5OjCKxkmM7T1Gwjp5u+sgufG1knUO+Aa
eBdjjfiZb7ya0PTSKFFlvIGfUWm5HEy2wYGg9A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13808)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Pt4aY6G08/hKp6oRgX+LE6x/siAkxyTi2h/A4y834DP+NfcKRizMAIgCLeBHutJalaa38o0yOPpU
FaMqATD4iA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PD6PKePxx1WjqNdIxO6bamF2NWJhlSNxxfQPPiq6zZKG41qRVFhmUNHm5G+Le1hiZpU+vsDLbfao
81TB5+C0XNmbmFjbuM8Q2cCIrLbT5yDa1m1/rZgP0i3kYtN/EknkKztcksSFcuuv7ykPZim3HoXF
M95gnUw+hhg23LrzWEk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
46X0ANSzbYmfNrodtfIaZWJNBQGT5QaQMtoUiR4+ptVWyu7W3HpbZTExBji0EZNw+Huy7BPlb75u
RBb2POe6J2NgLYI7z/YszVZ3CWXV1JqKYgAeMdtXdyMcfUIaigxjXHVgUMHbJnWBYpjjv4DpaXmo
Dx76cxbc9cMUasNH9AJiDUhGyLcZNu218nyzhBIZDoESRDgLw0j/bl56Xm0ouzz+nVYk0tarfx0g
eQ0Gpm+bqFp3Q45FlHwEAdD6CU+jiAxPugIm9gQJ3djAVKOk0xJGjg7vIN9hL6STHm/LZ9YmzX5m
q6MYqyOBmxck8wLq0PZRYsClQytH77xxUSrWUw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
b8AHxogJeLJLnFwg68G0mDU/bvdSweERDfnDGmJeawspK9r/vPptZXCrv8oMsk65bVDKIT9ucqYH
gNwVeKUCfVCjf5CXjjGHfC2tBpTvHcPbXjirhDzK3ZW01eR5x5R8BH1Sc/qX/3sDl04RAXWGbQLP
J+5AITyxN3O0BW/aGek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OgYs0PPuBuAxzys1Gh/RlU5yuydu4lNweK+e6wKV3dcs5+hwP5meJITldDR87v3Df4HaU0WQepDM
4soHj8Ezhyx4YYgxuJjfEHS9dmLpk1NWtLV2DKOl9ZMDT2vwQOB5r2BLiVijkZpzu5xKnivXTPoG
p4e1GENtiBWz1cCmn1MJAtTp3Kq4r1lG6BZKtsn6mnHedcvvl0V5vXcjSxK/Q9Q8+7mss9OO/Xu1
gsFGMYW5swswLMnp2c1Xuc16UIoOm2XJXbNYFzuiQwss5OjCKxkmM7T1Gwjp5u+sgufG1knUO+Aa
eBdjjfiZb7ya0PTSKFFlvIGfUWm5HEy2wYGg9A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13808)
`protect data_block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`protect end_protected
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2625.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02625ent IS
END c13s03b01x00p02n01i02625ent;
ARCHITECTURE c13s03b01x00p02n01i02625arch OF c13s03b01x00p02n01i02625ent IS
BEGIN
TESTING: PROCESS
variable k}k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02625 - Identifier can not contain '}'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02625arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2625.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02625ent IS
END c13s03b01x00p02n01i02625ent;
ARCHITECTURE c13s03b01x00p02n01i02625arch OF c13s03b01x00p02n01i02625ent IS
BEGIN
TESTING: PROCESS
variable k}k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02625 - Identifier can not contain '}'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02625arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2625.vhd,v 1.2 2001-10-26 16:30:20 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c13s03b01x00p02n01i02625ent IS
END c13s03b01x00p02n01i02625ent;
ARCHITECTURE c13s03b01x00p02n01i02625arch OF c13s03b01x00p02n01i02625ent IS
BEGIN
TESTING: PROCESS
variable k}k : integer := 0;
BEGIN
assert FALSE
report "***FAILED TEST: c13s03b01x00p02n01i02625 - Identifier can not contain '}'."
severity ERROR;
wait;
END PROCESS TESTING;
END c13s03b01x00p02n01i02625arch;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: sparc_disas
-- File: sparc_disas.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: SPARC disassembler according to SPARC V8 manual
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library grlib;
use grlib.stdlib.all;
use grlib.sparc.all;
use grlib.testlib.print;
use std.textio.all;
package sparc_disas is
function tostf(v:std_logic_vector) return string;
procedure print_insn(ndx: integer; pc, op, res : std_logic_vector(31 downto 0);
valid, trap, wr, rest : boolean);
procedure print_fpinsn(ndx: integer; pc, op : std_logic_vector(31 downto 0);
res : std_logic_vector(63 downto 0);
dpres, valid, trap, wr : boolean);
function ins2st(pc, op : std_logic_vector(31 downto 0)) return string;
end;
package body sparc_disas is
type base_type is (hex, dec);
subtype nibble is std_logic_vector(3 downto 0);
type pc_op_type is record
pc, op : std_logic_vector(31 downto 0);
end record;
function tostd(v:std_logic_vector) return string;
function tosth(v:std_logic_vector) return string;
function tostrd(n:integer) return string;
function tohex(n:nibble) return character is
begin
case n is
when "0000" => return('0');
when "0001" => return('1');
when "0010" => return('2');
when "0011" => return('3');
when "0100" => return('4');
when "0101" => return('5');
when "0110" => return('6');
when "0111" => return('7');
when "1000" => return('8');
when "1001" => return('9');
when "1010" => return('a');
when "1011" => return('b');
when "1100" => return('c');
when "1101" => return('d');
when "1110" => return('e');
when "1111" => return('f');
when others => return('X');
end case;
end;
type carr is array (0 to 9) of character;
constant darr : carr := ('0', '1', '2', '3', '4', '5', '6', '7', '8', '9');
function tostd(v:std_logic_vector) return string is
variable s : string(1 to 2);
variable val : integer;
begin
val := conv_integer(v); s(1) := darr(val / 10); s(2) := darr(val mod 10);
return(s);
end;
function tosth(v:std_logic_vector) return string is
constant vlen : natural := v'length; --'
constant slen : natural := (vlen+3)/4;
variable vv : std_logic_vector(vlen-1 downto 0);
variable s : string(1 to slen);
begin
vv := v;
for i in slen downto 1 loop
s(i) := tohex(vv(3 downto 0));
vv(vlen-5 downto 0) := vv(vlen-1 downto 4);
end loop;
return(s);
end;
function tostf(v:std_logic_vector) return string is
constant vlen : natural := v'length; --'
constant slen : natural := (vlen+3)/4;
variable vv : std_logic_vector(vlen-1 downto 0);
variable s : string(1 to slen);
begin
vv := v;
for i in slen downto 1 loop
s(i) := tohex(vv(3 downto 0));
vv(vlen-5 downto 0) := vv(vlen-1 downto 4);
end loop;
return("0x" & s);
end;
function tostrd(n:integer) return string is
variable len : integer := 0;
variable tmp : string(10 downto 1);
variable v : integer := n;
begin
for i in 0 to 9 loop
tmp(i+1) := darr(v mod 10);
if tmp(i+1) /= '0' then
len := i;
end if;
v := v/10;
end loop;
return(tmp(len+1 downto 1));
end;
function ireg2st(v : std_logic_vector) return string is
variable ctmp : character;
variable reg : std_logic_vector(4 downto 0);
begin
reg := v;
case reg(4 downto 3) is
when "00" => ctmp := 'g'; when "01" => ctmp := 'o';
when "10" => ctmp := 'l'; when "11" => ctmp := 'i';
when others => ctmp := 'X';
end case;
if v(4 downto 0) = "11110" then return("%fp");
elsif v(4 downto 0) = "01110" then return("%sp");
else return('%' & ctmp & tost('0' & reg(2 downto 0))); end if;
end;
function simm13dec(insn : pc_op_type; base : base_type; merge : boolean) return string is
variable simm : std_logic_vector(12 downto 0) := insn.op(12 downto 0);
variable rs1 : std_logic_vector(4 downto 0) := insn.op(18 downto 14);
variable i : std_ulogic := insn.op(13);
variable sig : character;
variable fill : std_logic_vector(31 downto 13) := (others => simm(12));
begin
if i = '0' then
return("");
else
if (simm(12) = '1') and (base = dec) then
sig := '-'; simm := (not simm) + 1;
else
sig := '+';
end if;
if base = dec then
if merge then
if rs1 = "00000" then
return(tost(simm));
else
return(sig & tost(simm));
end if;
else
if rs1 = "00000" then
return(tost(simm));
else
if sig = '-' then
return(", " & sig & tost(simm));
else
return(", " & tost(simm));
end if;
end if;
end if;
else
if rs1 = "00000" then
if simm(12) = '1' then return(tost(fill & simm));
else return(tost(simm)); end if;
else
if simm(12) = '1' then return(", " & tost(fill & simm));
else return(", " & tost(simm)); end if;
end if;
end if;
end if;
end;
function freg2(insn : pc_op_type) return string is
variable rs1, rs2, rd : std_logic_vector(4 downto 0);
variable i : std_ulogic;
begin
rs2 := insn.op(4 downto 0);
rd := insn.op(29 downto 25);
return("%f" & tostd(rs2) &
", %f" & tostd(rd));
end;
function creg3(insn : pc_op_type) return string is
variable rs1, rs2, rd : std_logic_vector(4 downto 0);
variable i : std_ulogic;
begin
rs1 := insn.op(18 downto 14);
rs2 := insn.op(4 downto 0);
rd := insn.op(29 downto 25);
return("%c" & tostd(rs1) & ", %c" & tostd(rs2) & ", %c" & tostd(rd));
end;
function freg3(insn : pc_op_type) return string is
variable rs1, rs2, rd : std_logic_vector(4 downto 0);
variable i : std_ulogic;
begin
rs1 := insn.op(18 downto 14);
rs2 := insn.op(4 downto 0);
rd := insn.op(29 downto 25);
return("%f" & tostd(rs1) & ", %f" & tostd(rs2) & ", %f" & tostd(rd));
end;
function fregc(insn : pc_op_type) return string is
variable rs1, rs2 : std_logic_vector(4 downto 0);
variable i : std_ulogic;
begin
rs1 := insn.op(18 downto 14);
rs2 := insn.op(4 downto 0);
return("%f" & tostd(rs1) & ", %f" & tostd(rs2));
end;
function regimm(insn : pc_op_type; base : base_type; merge : boolean) return string is
variable rs1, rs2 : std_logic_vector(4 downto 0);
variable i : std_ulogic;
begin
rs1 := insn.op(18 downto 14);
rs2 := insn.op(4 downto 0);
i := insn.op(13);
if i = '0' then
if (rs1 = "00000") then
if (rs2 = "00000") then return("0");
else return(ireg2st(rs2)); end if;
else
if (rs2 = "00000") then return(ireg2st(rs1));
elsif merge then return(ireg2st(rs1) & " + " & ireg2st(rs2));
else return(ireg2st(rs1) & ", " & ireg2st(rs2)); end if;
end if;
else
if (rs1 = "00000") then return(simm13dec(insn, base, merge));
elsif insn.op(12 downto 0) = "0000000000000" then return(ireg2st(rs1));
else return(ireg2st(rs1) & simm13dec(insn, base, merge)); end if;
end if;
end;
function regres(insn : pc_op_type; base : base_type) return string is
variable rs1, rs2, rd : std_logic_vector(4 downto 0);
variable i : std_ulogic;
begin
rd := insn.op(29 downto 25);
return(regimm(insn, base,false) & ", " & ireg2st(rd ));
end;
function branchop(insn : pc_op_type) return string is
variable simm : std_logic_vector(31 downto 0);
begin
case insn.op(28 downto 25) is
when "0000" => return("n");
when "0001" => return("e");
when "0010" => return("le");
when "0011" => return("l");
when "0100" => return("leu");
when "0101" => return("cs");
when "0110" => return("neg");
when "0111" => return("vs");
when "1000" => return("a");
when "1001" => return("ne");
when "1010" => return("g");
when "1011" => return("ge");
when "1100" => return("gu");
when "1101" => return("cc");
when "1110" => return("pos");
when "1111" => return("vc");
when others => return("XXX");
end case;
end;
function fbranchop(insn : pc_op_type) return string is
variable simm : std_logic_vector(31 downto 0);
begin
case insn.op(28 downto 25) is
when "0000" => return("n");
when "0001" => return("ne");
when "0010" => return("lg");
when "0011" => return("ul");
when "0100" => return("l");
when "0101" => return("ug");
when "0110" => return("g");
when "0111" => return("u");
when "1000" => return("a");
when "1001" => return("e");
when "1010" => return("ue");
when "1011" => return("ge");
when "1100" => return("uge");
when "1101" => return("le");
when "1110" => return("ule");
when "1111" => return("o");
when others => return("XXX");
end case;
end;
function ldparcp(insn : pc_op_type; rd : std_logic_vector; base : base_type) return string is
begin
return("[" & regimm(insn,dec,true) & "]" & ", " & "%c" & tost(rd));
end;
function ldparf(insn : pc_op_type; rd : std_logic_vector; base : base_type) return string is
begin
return("[" & regimm(insn,dec,true) & "]" & ", " & "%f" & tostd(rd));
end;
function ldpar(insn : pc_op_type; rd : std_logic_vector; base : base_type) return string is
begin
return("[" & regimm(insn,dec,true) & "]" & ", " & ireg2st(rd));
end;
function ldpara(insn : pc_op_type; rd : std_logic_vector; base : base_type) return string is
begin
return("[" & regimm(insn,dec,true) & "]" & " " & tost(insn.op(12 downto 5)) & ", " & ireg2st(rd));
end;
function ldpara_cas(insn : pc_op_type; rs1, rs2, rd : std_logic_vector; base : base_type) return string is
begin
return("[" & ireg2st(rs1) & "]" & " " & tost(insn.op(12 downto 5)) & ", " &
ireg2st(rs2) & ", " & ireg2st(rd));
end;
function stparc(insn : pc_op_type; rd : std_logic_vector; base : base_type) return string is
begin
if rd = "00000" then
return("[" & regimm(insn,dec,true) & "]");
else
return(ireg2st(rd) & ", [" & regimm(insn,dec,true) & "]");
end if;
end;
function stparcp(insn : pc_op_type; rd : std_logic_vector; base : base_type) return string is
begin
return("%c" & tost(rd) & ", [" & regimm(insn,dec,true) & "]");
end;
function stparf(insn : pc_op_type; rd : std_logic_vector; base : base_type) return string is
begin
return("%f" & tostd(rd) & ", [" & regimm(insn,dec,true) & "]");
end;
function stpar(insn : pc_op_type; rd : std_logic_vector; base : base_type) return string is
begin
return(ireg2st(rd) & ", [" & regimm(insn,dec,true) & "]");
end;
function stpara(insn : pc_op_type; rd : std_logic_vector; base : base_type) return string is
begin
return(ireg2st(rd) & ", [" & regimm(insn,dec,true) & "]" & " " & tost(insn.op(12 downto 5)));
end;
function ins2st(pc, op : std_logic_vector(31 downto 0)) return string is
constant STMAX : natural := 9;
constant bl2 : string(1 to 2) := (others => ' ');
constant bb : string(1 to 4) := (others => ' ');
variable op1 : std_logic_vector(1 downto 0);
variable op2 : std_logic_vector(2 downto 0);
variable op3 : std_logic_vector(5 downto 0);
variable opf : std_logic_vector(8 downto 0);
variable cond : std_logic_vector(3 downto 0);
variable rs1, rs2, rd : std_logic_vector(4 downto 0);
variable addr : std_logic_vector(31 downto 0);
variable annul : std_ulogic;
variable i : std_ulogic;
variable simm : std_logic_vector(12 downto 0);
variable insn : pc_op_type;
begin
op1 := op(31 downto 30);
op2 := op(24 downto 22);
op3 := op(24 downto 19);
opf := op(13 downto 5);
cond := op(28 downto 25);
annul := op(29);
rs1 := op(18 downto 14);
rs2 := op(4 downto 0);
rd := op(29 downto 25);
i := op(13);
simm := op(12 downto 0);
insn.op := op;
insn.pc := pc;
case op1 is
when CALL =>
addr := pc + (op(29 downto 0) & "00");
return(tostf(pc) & bb & "call" & bl2 & tost(addr));
when FMT2 =>
case op2 is
when SETHI =>
if rd = "00000" then
return(tostf(pc) & bb & "nop");
else
return(tostf(pc) & bb & "sethi" & bl2 & "%hi(" &
tost(op(21 downto 0) & "0000000000") & "), " & ireg2st(rd));
end if;
when BICC | FBFCC =>
addr(31 downto 24) := (others => '0');
addr(1 downto 0) := (others => '0');
addr(23 downto 2) := op(21 downto 0);
if addr(23) = '1' then
addr(31 downto 24) := (others => '1');
else
addr(31 downto 24) := (others => '0');
end if;
addr := addr + pc;
if op2 = BICC then
if op(29) = '1' then
return(tostf(pc) & bb & 'b' & branchop(insn) & ",a" & bl2 &
tost(addr));
else
return(tostf(pc) & bb & 'b' & branchop(insn) & bl2 &
tost(addr));
end if;
else
if op(29) = '1' then
return(tostf(pc) & bb & "fb" & fbranchop(insn) & ",a" & bl2 &
tost(addr));
else
return(tostf(pc) & bb & "fb" & fbranchop(insn) & bl2 &
tost(addr));
end if;
end if;
-- when CBCCC => cptrap := '1';
when others => return(tostf(pc) & bb & "unimp");
end case;
when FMT3 =>
case op3 is
when IAND => return(tostf(pc) & bb & "and" & bl2 & regres(insn,hex));
when IADD => return(tostf(pc) & bb & "add" & bl2 & regres(insn,dec));
when IOR =>
if ((i = '0') and (rs1 = "00000") and (rs2 = "00000")) then
return(tostf(pc) & bb & "clr" & bl2 & ireg2st(rd));
elsif ((i = '1') and (simm = "0000000000000")) or (rs1 = "00000") then
return(tostf(pc) & bb & "mov" & bl2 & regres(insn,hex));
else
return(tostf(pc) & bb & "or " & bl2 & regres(insn,hex));
end if;
when IXOR => return(tostf(pc) & bb & "xor" & bl2 & regres(insn,hex));
when ISUB => return(tostf(pc) & bb & "sub" & bl2 & regres(insn,dec));
when ANDN => return(tostf(pc) & bb & "andn" & bl2 & regres(insn,hex));
when ORN => return(tostf(pc) & bb & "orn" & bl2 & regres(insn,hex));
when IXNOR =>
if ((i = '0') and ((rs1 = rd) or (rs2 = "00000"))) then
return(tostf(pc) & bb & "not" & bl2 & ireg2st(rd));
else
return(tostf(pc) & bb & "xnor" & bl2 & ireg2st(rd));
end if;
when ADDX => return(tostf(pc) & bb & "addx" & bl2 & regres(insn,dec));
when SUBX => return(tostf(pc) & bb & "subx" & bl2 & regres(insn,dec));
when ADDCC => return(tostf(pc) & bb & "addcc" & bl2 & regres(insn,dec));
when ANDCC => return(tostf(pc) & bb & "andcc" & bl2 & regres(insn,hex));
when ORCC => return(tostf(pc) & bb & "orcc" & bl2 & regres(insn,hex));
when XORCC => return(tostf(pc) & bb & "xorcc" & bl2 & regres(insn,hex));
when SUBCC => return(tostf(pc) & bb & "subcc" & bl2 & regres(insn,dec));
when ANDNCC => return(tostf(pc) & bb & "andncc" & bl2 & regres(insn,hex));
when ORNCC => return(tostf(pc) & bb & "orncc" & bl2 & regres(insn,hex));
when XNORCC => return(tostf(pc) & bb & "xnorcc" & bl2 & regres(insn,hex));
when ADDXCC => return(tostf(pc) & bb & "addxcc" & bl2 & regres(insn,hex));
when UMAC => return(tostf(pc) & bb & "umac" & bl2 & regres(insn,dec));
when SMAC => return(tostf(pc) & bb & "smac" & bl2 & regres(insn,dec));
when UMUL => return(tostf(pc) & bb & "umul" & bl2 & regres(insn,dec));
when SMUL => return(tostf(pc) & bb & "smul" & bl2 & regres(insn,dec));
when UMULCC => return(tostf(pc) & bb & "umulcc" & bl2 & regres(insn,dec));
when SMULCC => return(tostf(pc) & bb & "smulcc" & bl2 & regres(insn,dec));
when SUBXCC => return(tostf(pc) & bb & "subxcc" & bl2 & regres(insn,dec));
when UDIV => return(tostf(pc) & bb & "udiv" & bl2 & regres(insn,dec));
when SDIV => return(tostf(pc) & bb & "sdiv" & bl2 & regres(insn,dec));
when UDIVCC => return(tostf(pc) & bb & "udivcc" & bl2 & regres(insn,dec));
when SDIVCC => return(tostf(pc) & bb & "sdivcc" & bl2 & regres(insn,dec));
when TADDCC => return(tostf(pc) & bb & "taddcc" & bl2 & regres(insn,dec));
when TSUBCC => return(tostf(pc) & bb & "tsubcc" & bl2 & regres(insn,dec));
when TADDCCTV => return(tostf(pc) & bb & "taddcctv" & bl2 & regres(insn,dec));
when TSUBCCTV => return(tostf(pc) & bb & "tsubcctv" & bl2 & regres(insn,dec));
when MULSCC => return(tostf(pc) & bb & "mulscc" & bl2 & regres(insn,dec));
when ISLL => return(tostf(pc) & bb & "sll" & bl2 & regres(insn,dec));
when ISRL => return(tostf(pc) & bb & "srl" & bl2 & regres(insn,dec));
when ISRA => return(tostf(pc) & bb & "sra" & bl2 & regres(insn,dec));
when RDY =>
if rs1 /= "00000" then
return(tostf(pc) & bb & "mov" & bl2 & "%asr" &
tostd(rs1) & ", " & ireg2st(rd));
else
return(tostf(pc) & bb & "mov" & bl2 & "%y, " & ireg2st(rd));
end if;
when RDPSR => return(tostf(pc) & bb & "mov" & bl2 & "%psr, " & ireg2st(rd));
when RDWIM => return(tostf(pc) & bb & "mov" & bl2 & "%wim, " & ireg2st(rd));
when RDTBR => return(tostf(pc) & bb & "mov" & bl2 & "%tbr, " & ireg2st(rd));
when WRY =>
if (rs1 = "00000") or (rs2 = "00000") then
if rd /= "00000" then
return(tostf(pc) & bb & "mov" & bl2
& regimm(insn,hex,false) & ", %asr" & tostd(rd));
else
return(tostf(pc) & bb & "mov" & bl2 & regimm(insn,hex,false) & ", %y");
end if;
else
if rd /= "00000" then
return(tostf(pc) & bb & "wr " & bl2 & "%asr"
& regimm(insn,hex,false) & ", %asr" & tostd(rd));
else
return(tostf(pc) & bb & "wr " & bl2 & regimm(insn,hex,false) & ", %y");
end if;
end if;
when WRPSR =>
if (rs1 = "00000") or (rs2 = "00000") then
return(tostf(pc) & bb & "mov" & bl2 & regimm(insn,hex,false) & ", %psr");
else
return(tostf(pc) & bb & "wr " & bl2 & regimm(insn,hex,false) & ", %psr");
end if;
when WRWIM =>
if (rs1 = "00000") or (rs2 = "00000") then
return(tostf(pc) & bb & "mov" & bl2 & regimm(insn,hex,false) & ", %wim");
else
return(tostf(pc) & bb & "wr " & bl2 & regimm(insn,hex,false) & ", %wim");
end if;
when WRTBR =>
if (rs1 = "00000") or (rs2 = "00000") then
return(tostf(pc) & bb & "mov" & bl2 & regimm(insn,hex,false) & ", %tbr");
else
return(tostf(pc) & bb & "wr " & bl2 & regimm(insn,hex,false) & ", %tbr");
end if;
when JMPL =>
if (rd = "00000") then
if (i = '1') and (simm = "0000000001000") then
if (rs1 = "11111") then return(tostf(pc) & bb & "ret");
elsif (rs1 = "01111") then return(tostf(pc) & bb & "retl");
else return(tostf(pc) & bb & "jmp" & bl2 & regimm(insn,dec,true));
end if;
else return(tostf(pc) & bb & "jmp" & bl2 & regimm(insn,dec,true));
end if;
else return(tostf(pc) & bb & "jmpl" & bl2 & regres(insn,dec));
end if;
when TICC =>
return(tostf(pc) & bb & 't' & branchop(insn) & bl2 & regimm(insn,hex,false));
when FLUSH =>
return(tostf(pc) & bb & "flush" & bl2 & regimm(insn,hex,false));
when RETT =>
return(tostf(pc) & bb & "rett" & bl2 & regimm(insn,dec,true));
when RESTORE =>
if (rd = "00000") then
return(tostf(pc) & bb & "restore");
else
return(tostf(pc) & bb & "restore" & bl2 & regres(insn,hex));
end if;
when SAVE =>
if (rd = "00000") then return(tostf(pc) & bb & "save");
else return(tostf(pc) & bb & "save" & bl2 & regres(insn,dec)); end if;
when FPOP1 =>
case opf is
when FITOS => return(tostf(pc) & bb & "fitos" & bl2 & freg2(insn));
when FITOD => return(tostf(pc) & bb & "fitod" & bl2 & freg2(insn));
when FSTOI => return(tostf(pc) & bb & "fstoi" & bl2 & freg2(insn));
when FDTOI => return(tostf(pc) & bb & "fdtoi" & bl2 & freg2(insn));
when FSTOD => return(tostf(pc) & bb & "fstod" & bl2 & freg2(insn));
when FDTOS => return(tostf(pc) & bb & "fdtos" & bl2 & freg2(insn));
when FMOVS => return(tostf(pc) & bb & "fmovs" & bl2 & freg2(insn));
when FNEGS => return(tostf(pc) & bb & "fnegs" & bl2 & freg2(insn));
when FABSS => return(tostf(pc) & bb & "fabss" & bl2 & freg2(insn));
when FSQRTS => return(tostf(pc) & bb & "fsqrts" & bl2 & freg2(insn));
when FSQRTD => return(tostf(pc) & bb & "fsqrtd" & bl2 & freg2(insn));
when FADDS => return(tostf(pc) & bb & "fadds" & bl2 & freg3(insn));
when FADDD => return(tostf(pc) & bb & "faddd" & bl2 & freg3(insn));
when FSUBS => return(tostf(pc) & bb & "fsubs" & bl2 & freg3(insn));
when FSUBD => return(tostf(pc) & bb & "fsubd" & bl2 & freg3(insn));
when FMULS => return(tostf(pc) & bb & "fmuls" & bl2 & freg3(insn));
when FMULD => return(tostf(pc) & bb & "fmuld" & bl2 & freg3(insn));
when FSMULD => return(tostf(pc) & bb & "fsmuld" & bl2 & freg3(insn));
when FDIVS => return(tostf(pc) & bb & "fdivs" & bl2 & freg3(insn));
when FDIVD => return(tostf(pc) & bb & "fdivd" & bl2 & freg3(insn));
when others => return(tostf(pc) & bb & "unknown FOP1: " & tost(op));
end case;
when FPOP2 =>
case opf is
when FCMPS => return(tostf(pc) & bb & "fcmps" & bl2 & fregc(insn));
when FCMPD => return(tostf(pc) & bb & "fcmpd" & bl2 & fregc(insn));
when FCMPES => return(tostf(pc) & bb & "fcmpes" & bl2 & fregc(insn));
when FCMPED => return(tostf(pc) & bb & "fcmped" & bl2 & fregc(insn));
when others => return(tostf(pc) & bb & "unknown FOP2: " & tost(insn.op));
end case;
when CPOP1 =>
return(tostf(pc) & bb & "cpop1" & bl2 & tost("000"&opf) & ", " &creg3(insn));
when CPOP2 =>
return(tostf(pc) & bb & "cpop2" & bl2 & tost("000"&opf) & ", " &creg3(insn));
when others => return(tostf(pc) & bb & "unknown opcode: " & tost(insn.op));
end case;
when LDST =>
case op3 is
when STC =>
return(tostf(pc) & bb & "st" & bl2 & stparcp(insn, rd, dec));
when STF =>
return(tostf(pc) & bb & "st" & bl2 & stparf(insn, rd, dec));
when ST =>
if rd = "00000" then
return(tostf(pc) & bb & "clr" & bl2 & stparc(insn, rd, dec));
else
return(tostf(pc) & bb & "st" & bl2 & stpar(insn, rd, dec));
end if;
when STB =>
if rd = "00000" then
return(tostf(pc) & bb & "clrb" & bl2 & stparc(insn, rd, dec));
else
return(tostf(pc) & bb & "stb" & bl2 & stpar(insn, rd, dec));
end if;
when STH =>
if rd = "00000" then
return(tostf(pc) & bb & "clrh" & bl2 & stparc(insn, rd, dec));
else
return(tostf(pc) & bb & "sth" & bl2 & stpar(insn, rd, dec));
end if;
when STDC =>
return(tostf(pc) & bb & "std" & bl2 & stparcp(insn, rd, dec));
when STDF =>
return(tostf(pc) & bb & "std" & bl2 & stparf(insn, rd, dec));
when STCSR =>
return(tostf(pc) & bb & "st" & bl2 & "%csr, [" & regimm(insn,dec,true) & "]");
when STFSR =>
return(tostf(pc) & bb & "st" & bl2 & "%fsr, [" & regimm(insn,dec,true) & "]");
when STDCQ =>
return(tostf(pc) & bb & "std" & bl2 & "%cq, [" & regimm(insn,dec,true) & "]");
when STDFQ =>
return(tostf(pc) & bb & "std" & bl2 & "%fq, [" & regimm(insn,dec,true) & "]");
when ISTD =>
return(tostf(pc) & bb & "std" & bl2 & stpar(insn, rd, dec));
when STA =>
return(tostf(pc) & bb & "sta" & bl2 & stpara(insn, rd, dec));
when STBA =>
return(tostf(pc) & bb & "stba" & bl2 & stpara(insn, rd, dec));
when STHA =>
return(tostf(pc) & bb & "stha" & bl2 & stpara(insn, rd, dec));
when STDA =>
return(tostf(pc) & bb & "stda" & bl2 & stpara(insn, rd, dec));
when LDC =>
return(tostf(pc) & bb & "ld" & bl2 & ldparcp(insn, rd, dec));
when LDF =>
return(tostf(pc) & bb & "ld" & bl2 & ldparf(insn, rd, dec));
when LDCSR =>
return(tostf(pc) & bb & "ld" & bl2 & "[" & regimm(insn,dec,true) & "]" & ", %csr");
when LDFSR =>
return(tostf(pc) & bb & "ld" & bl2 & "[" & regimm(insn,dec,true) & "]" & ", %fsr");
when LD =>
return(tostf(pc) & bb & "ld" & bl2 & ldpar(insn, rd, dec));
when LDUB =>
return(tostf(pc) & bb & "ldub" & bl2 & ldpar(insn, rd, dec));
when LDUH =>
return(tostf(pc) & bb & "lduh" & bl2 & ldpar(insn, rd, dec));
when LDDC =>
return(tostf(pc) & bb & "ldd" & bl2 & ldparcp(insn, rd, dec));
when LDDF =>
return(tostf(pc) & bb & "ldd" & bl2 & ldparf(insn, rd, dec));
when LDD =>
return(tostf(pc) & bb & "ldd" & bl2 & ldpar(insn, rd, dec));
when LDSB =>
return(tostf(pc) & bb & "ldsb" & bl2 & ldpar(insn, rd, dec));
when LDSH =>
return(tostf(pc) & bb & "ldsh" & bl2 & ldpar(insn, rd, dec));
when LDSTUB =>
return(tostf(pc) & bb & "ldstub" & bl2 & ldpar(insn, rd, dec));
when SWAP =>
return(tostf(pc) & bb & "swap" & bl2 & ldpar(insn, rd, dec));
when LDA =>
return(tostf(pc) & bb & "lda" & bl2 & ldpara(insn, rd, dec));
when LDUBA =>
return(tostf(pc) & bb & "lduba" & bl2 & ldpara(insn, rd, dec));
when LDUHA =>
return(tostf(pc) & bb & "lduha" & bl2 & ldpara(insn, rd, dec));
when LDDA =>
return(tostf(pc) & bb & "ldda" & bl2 & ldpara(insn, rd, dec));
when LDSBA =>
return(tostf(pc) & bb & "ldsba" & bl2 & ldpara(insn, rd, dec));
when LDSHA =>
return(tostf(pc) & bb & "ldsha" & bl2 & ldpara(insn, rd, dec));
when LDSTUBA =>
return(tostf(pc) & bb & "ldstuba" & bl2 & ldpara(insn, rd, dec));
when SWAPA =>
return(tostf(pc) & bb & "swapa" & bl2 & ldpara(insn, rd, dec));
when CASA =>
return(tostf(pc) & bb & "casa" & bl2 & ldpara_cas(insn, rs1, rs2, rd, dec));
when others => return(tostf(pc) & bb & "unknown opcode: " & tost(op));
end case;
when others => return(tostf(pc) & bb & "unknown opcode: " & tost(op));
end case;
end;
procedure print_insn(ndx: integer; pc, op, res : std_logic_vector(31 downto 0);
valid, trap, wr, rest : boolean) is
begin
if valid then
if rest then grlib.testlib.print ("cpu" & tost(ndx) &": " & ins2st(pc, op) & " (restart)");
elsif trap then grlib.testlib.print ("cpu" & tost(ndx) &": " & ins2st(pc, op) & " (trapped)");
elsif wr then grlib.testlib.print ("cpu" & tost(ndx) & ": " & ins2st(pc, op) & " [" & tost(res) & "]");
else grlib.testlib.print ("cpu" & tost(ndx) & ": " & ins2st(pc, op)); end if;
end if;
end;
procedure print_fpinsn(ndx: integer; pc, op : std_logic_vector(31 downto 0);
res : std_logic_vector(63 downto 0);
dpres, valid, trap, wr : boolean) is
variable t : natural;
begin
if valid then
t := now / 1 ns;
if trap then grlib.testlib.print ("cpu" & tost(ndx) &": " & ins2st(pc, op) & " (trapped)");
elsif wr then
if dpres then grlib.testlib.print ("cpu" & tost(ndx) & ": " & ins2st(pc, op) & " [" & tost(res) & "]");
else grlib.testlib.print ("cpu" & tost(ndx) & ": " & ins2st(pc, op) & " [" & tost(res(63 downto 32)) & "]"); end if;
else grlib.testlib.print ("cpu" & tost(ndx) & ": " & ins2st(pc, op)); end if;
end if;
end;
end;
-- pragma translate_on
|
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.STD_LOGIC_ARITH.all;
USE IEEE.STD_LOGIC_UNSIGNED.all;
ENTITY BUSREG IS
generic(
width : integer := 16
);
PORT(
iCLK : IN STD_LOGIC;
oCLK : IN STD_LOGIC;
CLR : IN STD_LOGIC;
LOAD : IN STD_LOGIC;
OE : in STD_LOGIC;
I : IN STD_LOGIC_VECTOR(width-1 DOWNTO 0);
O : OUT STD_LOGIC_VECTOR(width-1 DOWNTO 0);
bus_ack : out STD_LOGIC
);
END BUSREG;
ARCHITECTURE main OF BUSREG IS
SIGNAL DATA : STD_LOGIC_VECTOR(width-1 DOWNTO 0) := x"ffff";
BEGIN
PROCESS(iCLK, CLR)
BEGIN
IF(CLR = '1') THEN
DATA <= x"ffff";
ELSIF(rising_edge(iCLK)) THEN
IF(LOAD = '1') THEN
DATA <= I;
END IF;
end if;
END PROCESS;
PROCESS(oCLK, CLR)
BEGIN
IF(CLR = '1') THEN
O <= (others => 'Z');
bus_ack <= '0';
ELSIF(rising_edge(oCLK)) THEN
if(oe = '1') then
O <= DATA;
bus_ack <= '1';
else
O <= (others => 'Z');
bus_ack <= '0';
end if;
END IF;
END PROCESS;
END main; |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use work.cpu_pkg.all;
entity cpu is
Port (
CLK : in STD_LOGIC;
IRQ : in STD_LOGIC;
NMI : in STD_LOGIC;
IAK : out STD_LOGIC;
NAK : out STD_LOGIC;
-- system bus
MEME : out STD_LOGIC;
RW : out STD_LOGIC;
ADDR : out STD_LOGIC_VECTOR (31 downto 0);
Din : in STD_LOGIC_VECTOR (31 downto 0);
Dout : out STD_LOGIC_VECTOR (31 downto 0);
DTYPE : out STD_LOGIC_VECTOR ( 2 downto 0);
RDY : in STD_LOGIC
);
end entity;
architecture Structual of cpu is
component clkdiv is
Port (
CLK : in STD_LOGIC;
-- CPU interface
CLK50MHz : out STD_LOGIC;
CLK25MHz : out STD_LOGIC;
CLK2MHz : out STD_LOGIC;
CLK1MHz : out STD_LOGIC;
CACHE_EN : out STD_LOGIC
);
end component;
component pipeline is
Port (
CLK50 : in STD_LOGIC;
CLK : in STD_LOGIC;
STALL : in STD_LOGIC;
IRQ : in STD_LOGIC;
NMI : in STD_LOGIC;
IAK : out STD_LOGIC;
NAK : out STD_LOGIC;
-- instruction bus
iMEME : out STD_LOGIC;
iRW : out STD_LOGIC;
iADDR : out STD_LOGIC_VECTOR (31 downto 0);
iDin : in STD_LOGIC_VECTOR (31 downto 0);
iDout : out STD_LOGIC_VECTOR (31 downto 0);
iDTYPE : out STD_LOGIC_VECTOR ( 2 downto 0);
-- data bus
dMEME : out STD_LOGIC;
dRW : out STD_LOGIC;
dADDR : out STD_LOGIC_VECTOR (31 downto 0);
dDin : in STD_LOGIC_VECTOR (31 downto 0);
dDout : out STD_LOGIC_VECTOR (31 downto 0);
dDTYPE : out STD_LOGIC_VECTOR ( 2 downto 0)
);
end component;
component tlb is
Port (
CLK : in STD_LOGIC;
-- CPU interface
cpu_iMEME : in STD_LOGIC;
cpu_iRW : in STD_LOGIC;
cpu_iADDR : in STD_LOGIC_VECTOR (19 downto 0);
cpu_dMEME : in STD_LOGIC;
cpu_dRW : in STD_LOGIC;
cpu_dADDR : in STD_LOGIC_VECTOR (19 downto 0);
-- Cache interface:
cache_iMEME : out STD_LOGIC;
cache_iADDR : out STD_LOGIC_VECTOR (19 downto 0);
cache_iCacheable : out STD_LOGIC;
cache_dMEME : out STD_LOGIC;
cache_dADDR : out STD_LOGIC_VECTOR (19 downto 0);
cache_dCacheable : out STD_LOGIC
);
end component;
component cache is
Port (
CLK : in STD_LOGIC;
CACHE_EN : in STD_LOGIC;
STALL : out STD_LOGIC;
-- CPU interface
iMEME : in STD_LOGIC;
iRW : in STD_LOGIC;
iADDR : in STD_LOGIC_VECTOR (31 downto 0);
iDin : in STD_LOGIC_VECTOR (31 downto 0);
iDout : out STD_LOGIC_VECTOR (31 downto 0);
iDTYPE : in STD_LOGIC_VECTOR ( 2 downto 0);
dMEME : in STD_LOGIC;
dRW : in STD_LOGIC;
dADDR : in STD_LOGIC_VECTOR (31 downto 0);
dDin : in STD_LOGIC_VECTOR (31 downto 0);
dDout : out STD_LOGIC_VECTOR (31 downto 0);
dDTYPE : in STD_LOGIC_VECTOR ( 2 downto 0);
-- system bus interface
MEME : out STD_LOGIC;
RW : out STD_LOGIC;
ADDR : out STD_LOGIC_VECTOR (31 downto 0);
Din : in STD_LOGIC_VECTOR (31 downto 0);
Dout : out STD_LOGIC_VECTOR (31 downto 0);
DTYPE : out STD_LOGIC_VECTOR ( 2 downto 0);
RDY : in STD_LOGIC
);
end component;
signal CLK50MHz : STD_LOGIC;
signal CLK25MHz : STD_LOGIC;
signal CLK2MHz : STD_LOGIC;
signal CLK1MHz : STD_LOGIC;
signal CACHE_EN : STD_LOGIC;
signal STALL : STD_LOGIC;
signal cpu_iMEME : STD_LOGIC;
signal cpu_iADDR : STD_LOGIC_VECTOR (31 downto 0);
signal cpu_dMEME : STD_LOGIC;
signal cpu_dADDR : STD_LOGIC_VECTOR (31 downto 0);
signal iMEME : STD_LOGIC;
signal iRW : STD_LOGIC;
signal iCacheable : STD_LOGIC;
signal iADDR : STD_LOGIC_VECTOR (31 downto 0);
signal iDin : STD_LOGIC_VECTOR (31 downto 0);
signal iDout : STD_LOGIC_VECTOR (31 downto 0);
signal iDTYPE : STD_LOGIC_VECTOR ( 2 downto 0);
signal dMEME : STD_LOGIC;
signal dRW : STD_LOGIC;
signal dCacheable : STD_LOGIC;
signal dADDR : STD_LOGIC_VECTOR (31 downto 0);
signal dDin : STD_LOGIC_VECTOR (31 downto 0);
signal dDout : STD_LOGIC_VECTOR (31 downto 0);
signal dDTYPE : STD_LOGIC_VECTOR ( 2 downto 0);
begin
iADDR(11 downto 0) <= cpu_iADDR(11 downto 0);
dADDR(11 downto 0) <= cpu_dADDR(11 downto 0);
U1: clkdiv port map (CLK, CLK50MHz, CLK25MHz, CLK2MHz, CLK1MHz, CACHE_EN);
U2: pipeline port map (CLK50MHz, CLK25MHz, STALL, IRQ, NMI, IAK, NAK,
cpu_iMEME, iRW, cpu_iADDR, iDin, iDout, iDTYPE,
cpu_dMEME, dRW, cpu_dADDR, dDin, dDout, dDTYPE);
U3: tlb port map (CLK50MHz,
cpu_iMEME, iRW, cpu_iADDR(31 downto 12),
cpu_dMEME, dRW, cpu_dADDR(31 downto 12),
iMEME, iADDR(31 downto 12), iCacheable,
dMEME, dADDR(31 downto 12), dCacheable);
U4: cache port map (CLK50MHz, CACHE_EN, STALL,
iMEME, iRW, iADDR, iDout, iDin, iDTYPE,
dMEME, dRW, dADDR, dDout, dDin, dDTYPE,
MEME, RW, ADDR, Din, Dout, DTYPE, RDY);
end Structual;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GN7VA7SRUP is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "(a=b) and (a /= c)";
number_inputs : integer := 3;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0);
c : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GN7VA7SRUP is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc((a=b) and (a /= c)) ;
true <= result;
end architecture;
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.